Skip to content

Issues: YosysHQ/yosys

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Autoname seems to get stuck in a loop and consume all the memory on the system pending-verification This issue is pending verification and/or reproduction
#4509 opened Jul 25, 2024 by QuantamHD
Custom Yosys Passes Result in Faulty Synthesis and Simulation Errors pending-verification This issue is pending verification and/or reproduction
#4491 opened Jul 15, 2024 by LoSyTe
Can't build from 0.42 tarball pending-verification This issue is pending verification and/or reproduction
#4470 opened Jun 29, 2024 by spth
IDES4_MEM gowin module is missing needs-info Issue needs more context/information in order to be resolved
#4453 opened Jun 13, 2024 by LaneaLucy
check-git-abc in Makefile for the first compile time pending-verification This issue is pending verification and/or reproduction
#4449 opened Jun 13, 2024 by nlwmode
formal: Produced traces make it look like asserts trigger a clock step too late pending-verification This issue is pending verification and/or reproduction
#4426 opened Jun 3, 2024 by NikLeberg
CXXRTL: >20x compile time regression with clang++-18 bug cxxrtl pending-verification This issue is pending verification and/or reproduction
#4419 opened May 27, 2024 by Wren6991
A topological loop is generated after using async2sync pending-verification This issue is pending verification and/or reproduction
#4414 opened May 24, 2024 by ZhiyuanYan
Yosys right shift error pending-verification This issue is pending verification and/or reproduction
#4413 opened May 24, 2024 by WeneneW
Abnormal output pending-verification This issue is pending verification and/or reproduction
#4407 opened May 22, 2024 by WeneneW
make error 'abc' is not configured as a git submodule. pending-verification This issue is pending verification and/or reproduction
#4403 opened May 20, 2024 by Krishnakumarmohanraj
ProTip! Adding no:label will show everything without a label.