Skip to content

Merge pull request #3 from swissfintechinnovations/main #10

Merge pull request #3 from swissfintechinnovations/main

Merge pull request #3 from swissfintechinnovations/main #10

Triggered via pull request October 3, 2023 13:13
Status Failure
Total duration 18s
Artifacts

lint.yaml

on: pull_request
pr-yaml-lint
6s
pr-yaml-lint
Fit to window
Zoom out
Zoom in

Annotations

10 errors and 10 warnings
pr-yaml-lint: directoryAPI.yaml#L1
1:15 [new-lines] wrong new line character: expected \n
pr-yaml-lint: directoryAPI.yaml#L31
31:1 [indentation] wrong indentation: expected 4 but found 0
pr-yaml-lint: directoryAPI.yaml#L34
34:1 [indentation] wrong indentation: expected 4 but found 0
pr-yaml-lint: directoryAPI.yaml#L36
36:1 [indentation] wrong indentation: expected 4 but found 0
pr-yaml-lint: directoryAPI.yaml#L40
40:1 [indentation] wrong indentation: expected 4 but found 0
pr-yaml-lint: directoryAPI.yaml#L42
42:1 [indentation] wrong indentation: expected 4 but found 0
pr-yaml-lint: directoryAPI.yaml#L45
45:1 [indentation] wrong indentation: expected 4 but found 0
pr-yaml-lint: directoryAPI.yaml#L46
46:1 [indentation] wrong indentation: expected 4 but found 0
pr-yaml-lint: directoryAPI.yaml#L58
58:14 [quoted-strings] string value is redundantly quoted with single quotes
pr-yaml-lint: directoryAPI.yaml#L84
84:23 [quoted-strings] string value is not quoted with single quotes
pr-yaml-lint: directoryAPI.yaml#L7
7:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L9
9:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L12
12:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L15
15:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L20
20:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L23
23:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L26
26:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L27
27:1 [trailing-spaces] trailing spaces
pr-yaml-lint: directoryAPI.yaml#L29
29:1 [trailing-spaces] trailing spaces
pr-yaml-lint: test.yaml#L74
74:11 [empty-values] empty value in block mapping