Skip to content

letitbe0201/AXI-DMA-master-verification

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

AXI DMA Master verification

SUMMARY

This is an UVM verification environment for an AXI DMA master core. The agents provide randomized AXI slave responses to interact with an AXI master, and they could be configured to generates data of various size, address, and burst type. Also, the response delay could be set up and randomized by configuring the minimum-value, maximum-value, long-, mid-, and short-weights.

Overview of the UVM agents

Image of Boxes

Waveforms: Read buffer: 32-bit | Transfer buffer: 64-bit | No delay Image of Boxes

Read buffer: 32-bit | Transfer buffer: 64-bit | Min-delay:0 | Max_delay:10 | Weight(0, short, long): 6, 3, 1 Image of Boxes

Poster

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published