Skip to content
This repository has been archived by the owner on Jun 16, 2024. It is now read-only.

Commit

Permalink
update some TODOs.
Browse files Browse the repository at this point in the history
  • Loading branch information
kivikakk committed May 22, 2024
1 parent 2017717 commit 13f798e
Show file tree
Hide file tree
Showing 7 changed files with 10 additions and 10 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -24,7 +24,7 @@ class BlackBoxGenerator(private val wr: Writer) {
((name, dat)) <-
io.elements.toSeq.reverseIterator
} {
// TODO: "inout" (Chisel "Analog"? seems awk.)
// TODO: "inout"
val dir = DirectionOf(dat)
dat match {
case vec: Vec[_] =>
Expand Down
4 changes: 2 additions & 2 deletions src/main/scala/ee/hrzn/chryse/platform/ecp5/ECP5Top.scala
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@ class ECP5Top[Top <: Module](platform: Platform, genTop: => Top)
extends ChryseModule {
private val clki = IO(Input(Clock()))

// TODO: GSR stuff. (details follow.)
// TODO (ECP5): GSR stuff. (details follow.)
// FD1S3AX D=1 Q=gsr0
// FD1S3AX D=gsr0 Q=gsr1
// SGSR GSR=gsr1
Expand All @@ -31,7 +31,7 @@ object ECP5Top {
platform: BoardPlatform[_ <: BoardResources],
genTop: => Top,
) = {
platform.resources.setNames() // XXX refactor
platform.resources.setNames() // XXX: refactor setNames call
new ECP5Top(platform, genTop)
}
}
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@ case object OrangeCrabPlatform extends BoardPlatform[ECP5Resources] {
val id = "orangecrab"
val clockHz = 48_000_000

// TODO: --25k? define somewhere.
// TODO (ECP5): --25k? define somewhere.
val nextpnrBinary = "nextpnr-ecp5"
val nextpnrArgs = Seq("--85k", "--package", "csfBGA285")
val packBinary = "ecppack"
Expand Down
6 changes: 3 additions & 3 deletions src/main/scala/ee/hrzn/chryse/platform/ice40/ICE40Top.scala
Original file line number Diff line number Diff line change
Expand Up @@ -40,7 +40,7 @@ class ICE40Top[Top <: Module](
}

private val finalReset = noPrefix {
// TODO: this no longer works. :)
// TODO (iCE40): this no longer works. :)
if (platform.asInstanceOf[IceBreakerPlatform].ubtnReset) {
val io_ubtn = IO(Input(Bool()))
reset | ~io_ubtn
Expand All @@ -52,7 +52,7 @@ class ICE40Top[Top <: Module](
private val top =
withClockAndReset(clk, finalReset)(Module(genTop))

// TODO: allow clock override.
// TODO (iCE40): allow clock source override.

private val ios = mutable.Map[String, resource.Pin]()
private val freqs = mutable.Map[String, Int]()
Expand Down Expand Up @@ -95,7 +95,7 @@ object ICE40Top {
platform: BoardPlatform[_ <: BoardResources],
genTop: => Top,
) = {
platform.resources.setNames() // XXX do this somewhere non-plat specific
platform.resources.setNames() // XXX refactor setNames call
new ICE40Top(platform, genTop)
}
}
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package ee.hrzn.chryse.platform.resource
import chisel3._

class Button extends Base[Bool](Input(Bool())) {
private var invert = false // TODO: possibly belongs in a higher class
private var invert = false // TODO: invert possibly belongs in a higher class

def inverted: this.type = {
invert = true
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ee/hrzn/chryse/platform/resource/LED.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ package ee.hrzn.chryse.platform.resource
import chisel3._

class LED extends Base[Bool](Output(Bool())) {
private var invert = false // TODO: possibly belongs in a higher class
private var invert = false // TODO: invert possibly belongs in a higher class

def inverted: this.type = {
invert = true
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/ee/hrzn/chryse/tasks/BuildTask.scala
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ object BuildTask extends BaseTask {
fullStacktrace: Boolean,
)

// TODO: refactor for ECP5 — different steps and build products are involved
// TODO (ECP5): refactor — different steps and build products are involved
// after synthesis.
def apply[Top <: Module](
name: String,
Expand Down

0 comments on commit 13f798e

Please sign in to comment.