-
Notifications
You must be signed in to change notification settings - Fork 70
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
tangprimer20k: Design involving the clock doesn't run on hardware #269
Comments
I have a few comments on the commands you use:
#!/bin/sh
yosys -p "read_verilog led.v; synth_gowin -json led.json"
nextpnr-himbaechel --json led.json --write led.json --top led --device GW2A-LV18PG256C8/I7 --vopt family=GW2A-18 --vopt cst=led.cst
gowin_pack -d GW2A-18 -o led.fs led.json
openFPGALoader -b tangprimer20k led.fs pr20k.mp4 |
I just noticed that I myself read and write in led.json, this focus works in my OS (Dragonflybsd) but it may not work in others. So it is better to have different files :) |
Let's say carefully - Gowin has some difference between what is shown to the user and what is actually inside the chip and, the funniest, by those names of the series that are used by IDE itself :) Look at the control amounts of the files that the chips describe - the letter R means only that there is a memory in the same case, but the chip itself still refers to that family. But the letter C is already a significant change in the insides of the chip and another family.
Yes, this bothers me, I was going to destroy the Legacy version on May 1, 2024 and even warned those whom I knew about it (Lushay Labs, for example;)), but while the old version lives, is not updated, but is present :( |
Maybe we should add a deprecation warning though |
When using a design that involves the clock of the tangprimer20k, the bitcode created does not properly work on the bord itself. When using the gowin toolchain (IDE or via
gw_sh
), it runs fine.The code used is the blinking led example directly from the sipeed website: https://wiki.sipeed.com/hardware/en/tang/tang-primer-20k/examples/led.html#New-file
Commands used:
yosys -p "read_verilog led.v; synth_gowin -json led.json" nextpnr-gowin --json led.json --write pnrled.json --device GW2A-LV18PG256C8/I7 --cst led.cst gowin_pack -d GW2A-18C -o led.fs pnrled.json openFPGALoader -b tangprimer20k led.fs
Versions used:
Yosys 0.43 (git sha1 ead4718e5, g++ 14.1.1 -march=x86-64 -mtune=generic -O2 -fno-plt -fexceptions -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/build/yosys/src=/usr/src/debug/yosys -fPIC -Os)
(via arch package: https://archlinux.org/packages/extra/x86_64/yosys/)"nextpnr-gowin" -- Next Generation Place and Route (Version nextpnr-0.7-56-ge9e7dce2)
(from AUR: https://aur.archlinux.org/packages/nextpnr-git)Bord: dock-ext v3713, core module v3961
`led.v`:
Constaints `led.cst` (or `fpga_project.cst` in the offical gowin IDE):
The text was updated successfully, but these errors were encountered: