Skip to content

Commit

Permalink
Update and rename lab4decode.vhd to Lab4: Hexidecimal Decoder.vhd
Browse files Browse the repository at this point in the history
  • Loading branch information
Steinwerfer777 authored Sep 19, 2021
1 parent a8f1022 commit 2091ef3
Showing 1 changed file with 2 additions and 1 deletion.
3 changes: 2 additions & 1 deletion lab4decode.vhd → Lab4: Hexidecimal Decoder.vhd
Original file line number Diff line number Diff line change
@@ -1,6 +1,7 @@
library ieee;
use ieee.std_logic_1164.all;

-- Segment Display Decoder for Hexidecimal Units --
entity seven_sd is
port (S : in std_logic_vector (3 downto 0);
HEX : out std_logic_vector (6 downto 0));
Expand All @@ -23,4 +24,4 @@ HEX(1) <= (S(3) nand S(2)) or (S(1) and not S(0)) or (S(3) and S(1)) or (not S(2
HEX(0) <= (S(2) and not S(0)) or (S(1) and not S(0)) or (S(2) and S(1)) or (S(3) and not S(0)) or
(not S(3) and not S(1) and S(0));
end action;


0 comments on commit 2091ef3

Please sign in to comment.