Skip to content

Commit

Permalink
try sending 2x config register set #802
Browse files Browse the repository at this point in the history
  • Loading branch information
Paul Gardner-Stephen committed May 18, 2024
1 parent ebe3999 commit 4004a20
Showing 1 changed file with 1 addition and 0 deletions.
1 change: 1 addition & 0 deletions src/vhdl/sdram_controller.vhdl
Original file line number Diff line number Diff line change
Expand Up @@ -110,6 +110,7 @@ architecture tacoma_narrows of sdram_controller is
2 => CMD_PRECHARGE,
6 => CMD_AUTO_REFRESH,
16 => CMD_AUTO_REFRESH,
29 => CMD_SET_MODE_REG,
30 => CMD_SET_MODE_REG,
others => CMD_NOP);

Expand Down

0 comments on commit 4004a20

Please sign in to comment.