forked from mshr-h/vscode-verilog-hdl-support
-
Notifications
You must be signed in to change notification settings - Fork 0
/
systemverilog.configuration.json
44 lines (43 loc) · 1015 Bytes
/
systemverilog.configuration.json
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
{
"comments": {
"lineComment": "//",
"blockComment": [ "/*", "*/" ]
},
"brackets": [
["{", "}"],
["[", "]"],
["(", ")"],
["begin", "end"],
["case", "endcase"],
["casex", "endcase"],
["casez", "endcase"],
["checker", "endchecker"],
["class", "endclass"],
["clocking", "endclocking"],
["config", "endconfig"],
["covergroup", "endgroup"],
["fork", "join"],
["fork", "join_any"],
["fork", "join_none"],
["function", "endfunction"],
["generate", "endgenerate"],
["interface", "endinterface"],
["macromodule", "endmodule"],
["module", "endmodule"],
["package", "endpackage"],
["primitive", "endprimitive"],
["program", "endprogram"],
["property", "endproperty"],
["randcase", "endcase"],
["specify", "endspecify"],
["sequence", "endsequence"],
["table", "endtable"],
["task", "endtask"]
],
"autoClosingPairs": [
{"open":"(", "close":")"},
{"open":"[", "close":"]"},
{"open":"{", "close":"}"},
{"open":"\"", "close":"\"", "notIn":["string"]}
]
}