Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

BSDL parsing bug #12

Open
Oremuss opened this issue Mar 10, 2023 · 3 comments
Open

BSDL parsing bug #12

Oremuss opened this issue Mar 10, 2023 · 3 comments

Comments

@Oremuss
Copy link

Oremuss commented Mar 10, 2023

Hello, I have encountered soma small "bug" and I think it will be some sort of part of parsing BSDL file.

I am using TMS320F28035 processor and everything is working fine in GUI except input reading in GPIO24. In GUI the input mark "I" is always checked (always showing 1) whether it is set to input or output (OE and O disabled). But its only visual reading of pin ( actual value when in output mode is switching between 0 - 3.3V a.k.a. 0 to 1. At first I thought that it is something with HW and then I checked it with Devkit instead of PCB and same problem occurred again.
When I excluded HW I checked BSDL file and GPIO24 is last element of constant PIN_MAP_STRING as well as attribute BOUNDARY_REGISTER. Now it looked very suspicious being last element and not working properly at same time. So I did small change and swapped GPIO21 and GPIO24 (so GPIO21 would be last element in PIN_MAP_STRING and BOUNDARY_REGISTER) and GPIO24 started to read input correctly and GPIO21 became broken (again only reading is showing always checked mark in "I").

So IMHO there is something wrong with indexing or parsing last element of PIN_MAP_STRING or BOUNDARY_REGISTER or something related.

TMS320F2803X_TQFP.txt

@jfdelnero
Copy link
Member

Thanks for the report, i will have a look to the issue.

@Oremuss
Copy link
Author

Oremuss commented Mar 20, 2023

Cool, let me know if you find anything or if you want to describe it more properly, test something or debug it :)

@jfdelnero
Copy link
Member

@Oremuss I didn't managed to reproduce the issue, but if possible please retry with the latest version, i have fixed several issues.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants