Skip to content

No error or warning reported when a vector lsb or msb value contains x or z bits #1140

Open
@Adivinedude

Description

@Adivinedude
module dummy_module_name #( parameter WIDTH = 4, parameter LATENCY = 0  )
    (
        input    wire    clk,
        output wire    out
    )
    localparam LP  = WIDTH / LATENCY * LATENCY == WIDTH ? WIDTH / LATENCY : WIDTH / LATENCY + 1;
    reg [7:0] register = 0;
    assign out = register == 0;
    always @(posedge clk) register <= register + 1'b1;
endmodule

When I run the above code and simulate with IVerlog, The only error message I get is

Starting Testbench with iVerilog
Finished Testbench
Task finished with errors exiting

There is no dump file or any output.

Metadata

Metadata

Assignees

Labels

Projects

No projects

Milestone

No milestone

Relationships

None yet

Development

No branches or pull requests

Issue actions