Skip to content

Commit 9cc93c6

Browse files
committed
xsim: Rename define from to
1 parent d96fb65 commit 9cc93c6

File tree

6 files changed

+11
-11
lines changed

6 files changed

+11
-11
lines changed

src/axi_demux.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -625,7 +625,7 @@ module axi_demux #(
625625
// Validate parameters.
626626
// pragma translate_off
627627
`ifndef VERILATOR
628-
`ifndef XSIM
628+
`ifndef XILINX_SIMULATOR
629629
initial begin: validate_params
630630
no_mst_ports: assume (NoMstPorts > 0) else
631631
$fatal(1, "The Number of slaves (NoMstPorts) has to be at least 1");
@@ -774,7 +774,7 @@ module axi_demux_id_counters #(
774774

775775
// pragma translate_off
776776
`ifndef VERILATOR
777-
`ifndef XSIM
777+
`ifndef XILINX_SIMULATOR
778778
// Validate parameters.
779779
cnt_underflow: assert property(
780780
@(posedge clk_i) disable iff (~rst_ni) (pop_en[i] |=> !overflow)) else

src/axi_err_slv.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -244,7 +244,7 @@ module axi_err_slv #(
244244

245245
// pragma translate_off
246246
`ifndef VERILATOR
247-
`ifndef XSIM
247+
`ifndef XILINX_SIMULATOR
248248
initial begin
249249
assert (Resp == axi_pkg::RESP_DECERR || Resp == axi_pkg::RESP_SLVERR) else
250250
$fatal(1, "This module may only generate RESP_DECERR or RESP_SLVERR responses!");

src/axi_test.sv

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1196,7 +1196,7 @@ package axi_test;
11961196
automatic logic [AXI_STRB_WIDTH-1:0] rand_strb, strb_mask;
11971197
addr = axi_pkg::beat_addr(aw_beat.ax_addr, aw_beat.ax_size, aw_beat.ax_len,
11981198
aw_beat.ax_burst, i);
1199-
`ifdef XSIM
1199+
`ifdef XILINX_SIMULATOR
12001200
// std::randomize(w_beat) may behave differently to w_beat.randomize() wrt. limited ranges
12011201
// Keeping alternate implementation for XSIM only
12021202
rand_success = std::randomize(w_beat); assert (rand_success);
@@ -1356,7 +1356,7 @@ package axi_test;
13561356
wait (ar_queue.size > 0);
13571357
ar_beat = ar_queue.peek();
13581358
byte_addr = axi_pkg::aligned_addr(ar_beat.ax_addr, axi_pkg::size_t'($clog2(DW/8)));
1359-
`ifdef XSIM
1359+
`ifdef XILINX_SIMULATOR
13601360
// std::randomize(r_beat) may behave differently to r_beat.randomize() wrt. limited ranges
13611361
// Keeping alternate implementation for XSIM only
13621362
rand_success = std::randomize(r_beat); assert(rand_success);
@@ -1456,7 +1456,7 @@ package axi_test;
14561456
automatic logic rand_success;
14571457
wait (b_wait_cnt > 0 && (aw_queue.size() != 0));
14581458
aw_beat = aw_queue.pop_front();
1459-
`ifdef XSIM
1459+
`ifdef XILINX_SIMULATOR
14601460
// std::randomize(b_beat) may behave differently to b_beat.randomize() wrt. limited ranges
14611461
// Keeping alternate implementation for XSIM only
14621462
rand_success = std::randomize(b_beat); assert (rand_success);

src/axi_xbar.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -125,7 +125,7 @@ import cf_math_pkg::idx_width;
125125
// make sure that the default slave does not get changed, if there is an unserved Ax
126126
// pragma translate_off
127127
`ifndef VERILATOR
128-
`ifndef XSIM
128+
`ifndef XILINX_SIMULATOR
129129
default disable iff (~rst_ni);
130130
default_aw_mst_port_en: assert property(
131131
@(posedge clk_i) (slv_ports_req_i[i].aw_valid && !slv_ports_resp_o[i].aw_ready)
@@ -265,7 +265,7 @@ import cf_math_pkg::idx_width;
265265

266266
// pragma translate_off
267267
`ifndef VERILATOR
268-
`ifndef XSIM
268+
`ifndef XILINX_SIMULATOR
269269
initial begin : check_params
270270
id_slv_req_ports: assert ($bits(slv_ports_req_i[0].aw.id ) == Cfg.AxiIdWidthSlvPorts) else
271271
$fatal(1, $sformatf("Slv_req and aw_chan id width not equal."));

test/tb_axi_delayer.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -83,7 +83,7 @@ module tb_axi_delayer;
8383
@(posedge clk);
8484
repeat (200) begin
8585
@(posedge clk);
86-
`ifdef XSIM
86+
`ifdef XILINX_SIMULATOR
8787
// std::randomize(ax_beat) may behave differently to ax_beat.randomize() wrt. limited ranges
8888
// Keeping alternate implementation for XSIM only
8989
rand_success = std::randomize(ax_beat); assert(rand_success);

test/tb_axi_sim_mem.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -84,7 +84,7 @@ module tb_axi_sim_mem #(
8484
drv.reset_master();
8585
wait (rst_n);
8686
// AW
87-
`ifdef XSIM
87+
`ifdef XILINX_SIMULATOR
8888
// std::randomize(aw_beat) may behave differently to aw_beat.randomize() wrt. limited ranges
8989
// Keeping alternate implementation for XSIM only
9090
rand_success = std::randomize(aw_beat); assert (rand_success);
@@ -99,7 +99,7 @@ module tb_axi_sim_mem #(
9999
drv.send_aw(aw_beat);
100100
// W beats
101101
for (int unsigned i = 0; i <= aw_beat.ax_len; i++) begin
102-
`ifdef XSIM
102+
`ifdef XILINX_SIMULATOR
103103
// std::randomize(w_beat) may behave differently to w_beat.randomize() wrt. limited ranges
104104
// Keeping alternate implementation for XSIM only
105105
rand_success = std::randomize(w_beat); assert (rand_success);

0 commit comments

Comments
 (0)