From 163d519bd7d91ac41c6df12bb291dd90a494d3fb Mon Sep 17 00:00:00 2001 From: git Date: Sun, 11 Nov 2018 13:12:14 +0100 Subject: [PATCH] fixed release notes --- EDEngineer/Resources/Data/releaseNotes.json | 2 +- .../EDEngineer_1_1_4_0/EDEngineer.application | 4 ++-- .../EDEngineer_1_1_4_0/EDEngineer.exe.deploy | Bin 3715456 -> 3715456 bytes .../EDEngineer.exe.manifest | 4 ++-- EDEngineer/releases/EDEngineer.application | 4 ++-- 5 files changed, 7 insertions(+), 7 deletions(-) diff --git a/EDEngineer/Resources/Data/releaseNotes.json b/EDEngineer/Resources/Data/releaseNotes.json index 5f7f3bce..384e954c 100644 --- a/EDEngineer/Resources/Data/releaseNotes.json +++ b/EDEngineer/Resources/Data/releaseNotes.json @@ -1,7 +1,7 @@ [ { "Version": "1.1.4.0", - "Content": "* Added a new menu entry to fully reload your logs in the application if you ever encounter oddities in your material list\r\n\r\n* Fix materials for large shard premium reload blueprint, thanks to @CMDR-Cometborne\r\n* All guardian blueprint segments are classified as very rare, thanks to @CMDR-Cometborne\r\n* Attempt at fixing Toast, thanks to @MaximumWoahverdrive" + "Content": "* Added a new menu entry to fully reload your logs in the application if you ever encounter oddities in your material list\r\n\r\n* Fix materials for large shard premium reload blueprint, thanks to @CMDR-Cometborne\r\n* All guardian blueprint segments are classified as very rare, thanks to @CMDR-Cometborne\r\n* Fixed Meta Alloy Hull Reinforcements unlock Requirements, thanks to @MaximumWoahverdrive\r\n* Attempt at fixing Toast, thanks to @MaximumWoahverdrive" }, { "Version": "1.1.3.12", diff --git a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application index f95c59c4..e4b09a9d 100644 --- a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application +++ b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.application @@ -21,8 +21,8 @@ - 3RAL+GexJ4XxAbjNwr/vzc5LuDhfYTdGukD6mR7+76Q= + Zkgx2AyT/qlRZ9AQE9M9Dcl+7tRCLCxJwNlGZueYuCY= -dkHL7Ja2dJgQfCBk63T+L+Ae62M=MifD9ZvrCVCmAS1r7qTkM9NX360DbKaYFrQq1x+ReeI1IHvprphlD2rHM1eXMWTTqJP4yXv6FCQQ403AFObU3s024htZEQNJ0cDvxReniIEmB8EvvDEA2qWt9EW3/E6mIzzv8JqCAclaIhWGEjbbN2IKIdaCzRAxWjHLAYjJ4oc=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxJHcVuVazIdzFrwg3v8mHED+kBRY=BfUfiZVR86B6oq9SZ+ngocOzPmbBIWF7q9w7Gg3qMzjjCZL5lFR2F70HpjbafIiF9K6OQQi1cFjyle+W4ItoHiBMahg7L/ZfsyyjeG+SHJrrlT9kMIoXFbtoeNuHira9qPd37zTft0S0dkLpjKggiQ3fjKCq5fJStLJqBYJnst0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file +SFqZLSRti14aC6uUJCVU4DOvbTA=BWXaiWduDGUNrhJBZYQ7op1VU1FLRz3DAuxWuLBGiuuZP2JVc9uoqzu8I4wh9QwtH8MGMardr1CkPj6CIJSk+Fwyf051Zd/SF3bu+j28kwBvQT0vHn4boJcfsTWIHxQsfcFjAR0lmTroo25uVoBZYdb9NaXrpYbaGGPM2opH3C0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxsfzT0bbmqqe/Kkpn6qg5yFrkJB0=P9Ii2CEpcNdsNMb6vH5gz5kBhVOrrQqWx+x8gQyRYUCaxcxlzg/bRXjOmsQ5CGXUMqy8hd/kYb8Xh4sf6ahTb0dsgbAjxmvQCAeQjg0Ibs4DRe8wC1wY7hdYN7oCt3pJQcv8OfU8rMSjmlKYxVThsAV9cLaSpx+KNwUVh69OJK4=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file diff --git a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.deploy b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.deploy index d27a7073f2a29dd01c20fea42412e19b4f7542cb..7bfefc4d2821dd4ac1307b7b12380f82d1f02f96 100644 GIT binary patch delta 685 zcmW;IZAepL6bJCRIyU%O_SIaQ+-oW(Ko|%I5g-yofoKo| zVu1j}0TNI^2;#v$kN^^a2#A3M><398lz#xE02)X^Dv$vN$iczKreZb`ckvgEtQAM< zEZ5DK7_Yk|S1PtK?M;o%^$kq9xwYM5HD5C~wb{H&l`O;iE7_O#350rGK^7bWX+Qze zK?YEQOppb#!C`O&bYQnDkU~Bj>v#4p-iGSB62U;gnT46fs;G|%)m)u`*0t;36ZsQcZzmsK)hPPceD&5xHNhCCO`e3?t$q%lQn3mO|54}JXmD|*- zX_2m_D^4m_L>=W#ABP$zUmsk$X>&VA+t5YlNQ=L7FYahticr2m-l=7G{K2?^=-B?21F16Vu*w&hz1Er zVH3naEU+MhIEaS?kV7IQfdV$e7T5~OkOE3bg>8@qDp13ApRJ6O({!QE%AKP^{vmGU zZd+)TgLKe924q4OWP=uRKnFV@7xF+4`9f&bpp@z?%FtnaPZ&_5hf#Dlx?vy(s`pk8 zY`m)YcVXqrG$3&I!wCpo;U+-e1l@z(t{1iWMBI2+Fv@N6N^ z8hO^lvpYvv^V%SzD-qmW>JQp8WVRKSJunreT=((Dy5FDt))&(& zvZl$Y;fX@o)X@51;R2WA$aT)xnGb#5abvM{UJ}*mwFUaMfiE{FJNWO9)0_kAwRW|l zRC;o{r}19qlGNebRk4T9dOm-;@>JX0dw-;FrmN*)ulsiM@%;9T{T;^cMor~QDG6yi I?ZdChKYC#Png9R* diff --git a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest index 067de0d4..244c46ca 100644 --- a/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest +++ b/EDEngineer/releases/Application Files/EDEngineer_1_1_4_0/EDEngineer.exe.manifest @@ -63,7 +63,7 @@ - 8yCpIL5TcgBsm5js+1weY/9bzRxlacyzK+72pY7a1Qw= + l3ehTXpFluNVGg/eg4gzYmOSodG+SKU0/3kZJaxbH78= @@ -276,4 +276,4 @@ -8iyE2YAMV4gnyPIgt5+lfcjHT20=yu5eNY54rdUsfQPAFw+ROPfDsKuGzyzxb6AOb5Ts3yGloH1luBClhaRJvwHtTK1jQg358b5BvO3q9a6bgsz9ydKfiiSM5aP2bcfbJQp/04YK5XXkAy5fHzbM4wGwcQQRJN+Dpy80n4/INgRf8No4IKPbfT2yylUW8g+k7NWRtcc=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxXPXbP0OafKQTSN+zi5AApe9Cj/s=iqBqMhX7pSEUmPLUlb/gJoQrsAf9lOpcivOSJhpcTCAG27/D4LV7GZ9UcMjTp0GKLUXpNsxwhsqXN+oS0A+i2m2wH5tpkqRKVrlg6Talsp4yopT7kaM+ykTkqB6dKWvIgX5kOLlsS/CBvYtiJXG61Ylr8iwC3Bm6c1RQ+NSDG0s=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file +TMSZQcpqdRLjxunEyBDbc3AcorI=LRnnRPTtpCh4cfQ6ml4GSo9pHUkoscXT3iC9fORNCjg8aLMj0ViBUEJAVPfAHG8+KGVsSPmS1L2oifF7kDLk5Xj7QZQ93Pro/DVi7kB21CgOJ4WSv7Sp4AV8wzUii2mjFytFtvWTJxe1wQzkzI6obkwcgwEzgAFCIGk8Ll8HRso=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxpuSGLs15wvBRDTfb8+jGNMJKRgo=Igf2V2WBu4JXR2bnDYhwk+NCufoRg4QYI7r8LINBIPx6osE5tsRG6+ZvttrwyshgFSnmrGKx4XAWG+BjRh6XTVqbyMlnO0P7EmMs4sRXVb4PpauHK45YyZEMtnXHXs1+hmqmj8D72yrUiHyZG6vKxThrP9iDg1rTCW0yba7PxvE=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file diff --git a/EDEngineer/releases/EDEngineer.application b/EDEngineer/releases/EDEngineer.application index f95c59c4..e4b09a9d 100644 --- a/EDEngineer/releases/EDEngineer.application +++ b/EDEngineer/releases/EDEngineer.application @@ -21,8 +21,8 @@ - 3RAL+GexJ4XxAbjNwr/vzc5LuDhfYTdGukD6mR7+76Q= + Zkgx2AyT/qlRZ9AQE9M9Dcl+7tRCLCxJwNlGZueYuCY= -dkHL7Ja2dJgQfCBk63T+L+Ae62M=MifD9ZvrCVCmAS1r7qTkM9NX360DbKaYFrQq1x+ReeI1IHvprphlD2rHM1eXMWTTqJP4yXv6FCQQ403AFObU3s024htZEQNJ0cDvxReniIEmB8EvvDEA2qWt9EW3/E6mIzzv8JqCAclaIhWGEjbbN2IKIdaCzRAxWjHLAYjJ4oc=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxJHcVuVazIdzFrwg3v8mHED+kBRY=BfUfiZVR86B6oq9SZ+ngocOzPmbBIWF7q9w7Gg3qMzjjCZL5lFR2F70HpjbafIiF9K6OQQi1cFjyle+W4ItoHiBMahg7L/ZfsyyjeG+SHJrrlT9kMIoXFbtoeNuHira9qPd37zTft0S0dkLpjKggiQ3fjKCq5fJStLJqBYJnst0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file +SFqZLSRti14aC6uUJCVU4DOvbTA=BWXaiWduDGUNrhJBZYQ7op1VU1FLRz3DAuxWuLBGiuuZP2JVc9uoqzu8I4wh9QwtH8MGMardr1CkPj6CIJSk+Fwyf051Zd/SF3bu+j28kwBvQT0vHn4boJcfsTWIHxQsfcFjAR0lmTroo25uVoBZYdb9NaXrpYbaGGPM2opH3C0=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABCN=GAMBIT6\MaxsfzT0bbmqqe/Kkpn6qg5yFrkJB0=P9Ii2CEpcNdsNMb6vH5gz5kBhVOrrQqWx+x8gQyRYUCaxcxlzg/bRXjOmsQ5CGXUMqy8hd/kYb8Xh4sf6ahTb0dsgbAjxmvQCAeQjg0Ibs4DRe8wC1wY7hdYN7oCt3pJQcv8OfU8rMSjmlKYxVThsAV9cLaSpx+KNwUVh69OJK4=zB6rYAktApBKezEf3ToJb/hC2LaPWb8R3QWSNpWgwbTHnGTILhNEg78mvtu7KthLjGjMkCZB32e6wJ6+uBFvIzZi8qhBngBBggbJWRVNJh1l++UYZqJrFM/dg1rHM+XVJEwGL00fm0B4VQpaTigMfeFprRNXW1CP3JVbQs8Vtm0=AQABMIIBxzCCATCgAwIBAgIQO259Pwzl9Z5B6O5NZ4UgXjANBgkqhkiG9w0BAQUFADAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MCAXDTE4MDEwNzE3NTEzMFoYDzIxMjMwMTA3MTc1MTMwWjAhMR8wHQYDVQQDHhYARwBBAE0AQgBJAFQANgBcAE0AYQB4MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQDMHqtgCS0CkEp7MR/dOglv+ELYto9ZvxHdBZI2laDBtMecZMguE0SDvya+27sq2EuMaMyQJkHfZ7rAnr64EW8jNmLyqEGeAEGCBslZFU0mHWX75RhmomsUz92DWscz5dUkTAYvTR+bQHhVClpOKAx94WmtE1dbUI/clVtCzxW2bQIDAQABMA0GCSqGSIb3DQEBBQUAA4GBAKkajbWmp5e2elVw+9KmK8Byzq4OnUOP7rS2F/qu1zn+JTyY9yu9FyQLK1cBCfiW8dpuWrAF4XA8Bvd0fNLJ3ZaVLdZFlxHY87jfzOP7wyoXkAq25KmPjUT0Tn6S7oaeSKqGI1JUO+ZydXg18IWDrVQDT+NzoUCfpplOh5DmuU9+ \ No newline at end of file