diff --git a/src/main/scala/ee/hrzn/chryse/platform/cxxrtl/BlackBoxGenerator.scala b/src/main/scala/ee/hrzn/chryse/platform/cxxrtl/BlackBoxGenerator.scala index 9259917..4ed5d59 100644 --- a/src/main/scala/ee/hrzn/chryse/platform/cxxrtl/BlackBoxGenerator.scala +++ b/src/main/scala/ee/hrzn/chryse/platform/cxxrtl/BlackBoxGenerator.scala @@ -24,7 +24,7 @@ class BlackBoxGenerator(private val wr: Writer) { ((name, dat)) <- io.elements.toSeq.reverseIterator } { - // TODO: "inout" (Chisel "Analog"? seems awk.) + // TODO: "inout" val dir = DirectionOf(dat) dat match { case vec: Vec[_] => diff --git a/src/main/scala/ee/hrzn/chryse/platform/ecp5/ECP5Top.scala b/src/main/scala/ee/hrzn/chryse/platform/ecp5/ECP5Top.scala index c340f67..7f5c13c 100644 --- a/src/main/scala/ee/hrzn/chryse/platform/ecp5/ECP5Top.scala +++ b/src/main/scala/ee/hrzn/chryse/platform/ecp5/ECP5Top.scala @@ -10,7 +10,7 @@ class ECP5Top[Top <: Module](platform: Platform, genTop: => Top) extends ChryseModule { private val clki = IO(Input(Clock())) - // TODO: GSR stuff. (details follow.) + // TODO (ECP5): GSR stuff. (details follow.) // FD1S3AX D=1 Q=gsr0 // FD1S3AX D=gsr0 Q=gsr1 // SGSR GSR=gsr1 @@ -31,7 +31,7 @@ object ECP5Top { platform: BoardPlatform[_ <: BoardResources], genTop: => Top, ) = { - platform.resources.setNames() // XXX refactor + platform.resources.setNames() // XXX: refactor setNames call new ECP5Top(platform, genTop) } } diff --git a/src/main/scala/ee/hrzn/chryse/platform/ecp5/OrangeCrabPlatform.scala b/src/main/scala/ee/hrzn/chryse/platform/ecp5/OrangeCrabPlatform.scala index 9a2197d..e8f9fd6 100644 --- a/src/main/scala/ee/hrzn/chryse/platform/ecp5/OrangeCrabPlatform.scala +++ b/src/main/scala/ee/hrzn/chryse/platform/ecp5/OrangeCrabPlatform.scala @@ -10,7 +10,7 @@ case object OrangeCrabPlatform extends BoardPlatform[ECP5Resources] { val id = "orangecrab" val clockHz = 48_000_000 - // TODO: --25k? define somewhere. + // TODO (ECP5): --25k? define somewhere. val nextpnrBinary = "nextpnr-ecp5" val nextpnrArgs = Seq("--85k", "--package", "csfBGA285") val packBinary = "ecppack" diff --git a/src/main/scala/ee/hrzn/chryse/platform/ice40/ICE40Top.scala b/src/main/scala/ee/hrzn/chryse/platform/ice40/ICE40Top.scala index e0b3735..e510891 100644 --- a/src/main/scala/ee/hrzn/chryse/platform/ice40/ICE40Top.scala +++ b/src/main/scala/ee/hrzn/chryse/platform/ice40/ICE40Top.scala @@ -40,7 +40,7 @@ class ICE40Top[Top <: Module]( } private val finalReset = noPrefix { - // TODO: this no longer works. :) + // TODO (iCE40): this no longer works. :) if (platform.asInstanceOf[IceBreakerPlatform].ubtnReset) { val io_ubtn = IO(Input(Bool())) reset | ~io_ubtn @@ -52,7 +52,7 @@ class ICE40Top[Top <: Module]( private val top = withClockAndReset(clk, finalReset)(Module(genTop)) - // TODO: allow clock override. + // TODO (iCE40): allow clock source override. private val ios = mutable.Map[String, resource.Pin]() private val freqs = mutable.Map[String, Int]() @@ -95,7 +95,7 @@ object ICE40Top { platform: BoardPlatform[_ <: BoardResources], genTop: => Top, ) = { - platform.resources.setNames() // XXX do this somewhere non-plat specific + platform.resources.setNames() // XXX refactor setNames call new ICE40Top(platform, genTop) } } diff --git a/src/main/scala/ee/hrzn/chryse/platform/resource/Button.scala b/src/main/scala/ee/hrzn/chryse/platform/resource/Button.scala index 0e16125..c7c3882 100644 --- a/src/main/scala/ee/hrzn/chryse/platform/resource/Button.scala +++ b/src/main/scala/ee/hrzn/chryse/platform/resource/Button.scala @@ -3,7 +3,7 @@ package ee.hrzn.chryse.platform.resource import chisel3._ class Button extends Base[Bool](Input(Bool())) { - private var invert = false // TODO: possibly belongs in a higher class + private var invert = false // TODO: invert possibly belongs in a higher class def inverted: this.type = { invert = true diff --git a/src/main/scala/ee/hrzn/chryse/platform/resource/LED.scala b/src/main/scala/ee/hrzn/chryse/platform/resource/LED.scala index a0bd04e..702c4c0 100644 --- a/src/main/scala/ee/hrzn/chryse/platform/resource/LED.scala +++ b/src/main/scala/ee/hrzn/chryse/platform/resource/LED.scala @@ -3,7 +3,7 @@ package ee.hrzn.chryse.platform.resource import chisel3._ class LED extends Base[Bool](Output(Bool())) { - private var invert = false // TODO: possibly belongs in a higher class + private var invert = false // TODO: invert possibly belongs in a higher class def inverted: this.type = { invert = true diff --git a/src/main/scala/ee/hrzn/chryse/tasks/BuildTask.scala b/src/main/scala/ee/hrzn/chryse/tasks/BuildTask.scala index 80c7793..69a5a93 100644 --- a/src/main/scala/ee/hrzn/chryse/tasks/BuildTask.scala +++ b/src/main/scala/ee/hrzn/chryse/tasks/BuildTask.scala @@ -17,7 +17,7 @@ object BuildTask extends BaseTask { fullStacktrace: Boolean, ) - // TODO: refactor for ECP5 — different steps and build products are involved + // TODO (ECP5): refactor — different steps and build products are involved // after synthesis. def apply[Top <: Module]( name: String,