From 241015a8347d148b9241ede3eda238349212b341 Mon Sep 17 00:00:00 2001 From: RGD2 Date: Tue, 2 May 2017 20:33:59 +1000 Subject: [PATCH] adds new buart, works at 921600 Moved async_in_filter.v because it was annoying verilator, and it belongs in its new home, as it references ice specific hardware anyway. --- j1a/Makefile | 8 ++- j1a/icestorm/Makefile | 6 +- j1a/{verilog => icestorm}/async_in_filter.v | 20 +++--- j1a/icestorm/j1a.bin | Bin 32476 -> 32380 bytes j1a/icestorm/j1a.v | 4 +- j1a/icestorm/j1a8k.bin | Bin 135356 -> 135260 bytes j1a/icestorm/j1a8k.v | 4 +- j1a/icestorm/j4a.bin | Bin 135356 -> 135260 bytes j1a/icestorm/j4a.v | 2 +- j1a/icestorm/uart3.v | 69 ++++++++++++++++++++ j1a/shell.py | 2 +- 11 files changed, 95 insertions(+), 20 deletions(-) rename j1a/{verilog => icestorm}/async_in_filter.v (78%) create mode 100644 j1a/icestorm/uart3.v diff --git a/j1a/Makefile b/j1a/Makefile index 13b72be..b3c7cc7 100644 --- a/j1a/Makefile +++ b/j1a/Makefile @@ -55,4 +55,10 @@ mackextload: endif -.PHONY: connect clean bootstrap mackextload mackextunload macconnect +pcon: + $(info Use C-a C-t to toggle reset line as necessary) + $(info Use C-a C-x to exit. No shell.py features are available) + picocom -b 921600 /dev/ttyUSB1 --imap lfcrlf,crcrlf --omap delbs,crlf --send-cmd "ascii-xfr -s -l 30 -n" + + +.PHONY: connect sim_connect j4a_sim_connect clean bootstrap mackextload mackextunload macconnect linmodload pcon diff --git a/j1a/icestorm/Makefile b/j1a/icestorm/Makefile index 2864872..82aaf1f 100644 --- a/j1a/icestorm/Makefile +++ b/j1a/icestorm/Makefile @@ -1,8 +1,8 @@ -VERILOGS = j1a.v uart.v ../verilog/j1.v ../verilog/stack2.v +VERILOGS = j1a.v async_in_filter.v uart3.v ../verilog/j1.v ../verilog/stack2.v -VERILOGS8k = j1a8k.v uart.v ../verilog/j1.v ../verilog/stack2.v +VERILOGS8k = j1a8k.v uart3.v async_in_filter.v ../verilog/j1.v ../verilog/stack2.v -VERILOGS8k4 = j4a.v uart.v ../verilog/j1.v ../verilog/stack2.v ../verilog/j4.v ../verilog/stack2pipe4.v ../verilog/greycount.v +VERILOGS8k4 = j4a.v uart3.v async_in_filter.v ../verilog/*.v SUBDIRS = .. diff --git a/j1a/verilog/async_in_filter.v b/j1a/icestorm/async_in_filter.v similarity index 78% rename from j1a/verilog/async_in_filter.v rename to j1a/icestorm/async_in_filter.v index d71ecd8..3714ec8 100644 --- a/j1a/verilog/async_in_filter.v +++ b/j1a/icestorm/async_in_filter.v @@ -13,31 +13,31 @@ SB_IO #(.PIN_TYPE(6'b0000_00)) inpin ( .D_IN_0(onereg)); reg threereg, tworeg; always @(posedge clk) {threereg,tworeg} <= {tworeg,onereg}; // triple registering helps prevent metastability when synchronising an undefined signal into a clock domain. - +parameter FILTERBITS = 5; // Final part is somewhat of a digital moving average glitch filter, with a digital Schmidt trigger output. // this one takes 24 ticks to set rd on. // saturates after 31 sequential highs. // Then will take 24 sequential lows to turn off. // Saturating back on zero after the 31st. -reg [4:0] fltr; -wire [1:0] tops = fltr[4:3]; // top two bits are used to decide whether to change output state. +reg [FILTERBITS-1:0] fltr; +wire [1:0] tops = fltr[FILTERBITS-1:FILTERBITS-2]; // top two bits are used to decide whether to change output state. // change the two above to change the timing. // (increase fltr size for slower signals, // decrease for faster. should be no less than three bits.) -wire incr = ~&flrt & threereg; -wire decr = |flrt & ~threereg; +wire incr = ~&fltr & threereg; +wire decr = |fltr & ~threereg; wire setr = &tops; -wire clrr = ~tops[1] & ~tops[0]; +wire clrr = ~|tops; always @(posedge clk) begin case({incr,decr}) - 10: flrt <= flrt + 1; - 01: flrt <= flrt - 1; - default: flrt <= flrt; + 10: fltr <= fltr + 1; + 01: fltr <= fltr - 1; + default: fltr <= fltr; endcase case({setr,clrr}) 10: rd <= 1'b1; - 01: rd <= 0'b0; + 01: rd <= 1'b0; default: rd <= rd; endcase end diff --git a/j1a/icestorm/j1a.bin b/j1a/icestorm/j1a.bin index 0453a8503ddea2053a9f23cf32994182d04e2d37..3e366282993ab562ad1ea957ca63586cd90de364 100644 GIT binary patch literal 32380 zcmd?R3v^Z0wKqQZYIAEla9wE|*QCDKbsMLEvR7BJRBBD|om1 zt!9;s+Nn?)4pTX~LQ%=xkcOjje&n=G`n)mr^dhpfTvKKcjnRQJ>dxp+gqEw&wNwAY z`3mJ?#kf!?BhbPV`YX{S^}S0c*eA1f042=AY+{tox1hq3L_IHplE#KD3D{=tT7}a1 zimCiwf?*EU(4u9NP!AYyVD-dFq5KxoP_pcKPqoZXfbNXuq*%Y-p_1_+nZSuTfn|+7 zo%2ZJv-hIx(;c(|C7z%W=}5&m0VUTOnMxCLSm+hp!s1cTXa<#glEx_YPE>6j7NU|( z8ViwNL{Wo!))yEbcAGhrJ0*kNzs-&qdGI121COJjjM6HxPne8&s0ga=NtO@9Jh_2-d9RVZmKw|LR)b2+;Q%F4o90q{x;@<>Z57uslR^o7Sc>s_;aXBC!2??) z%N~XUm1|t&X@IgU9sFlnWMF>Rj#n{CqOTQ|>BI!V07SRwt$6;3?Q)p)ClpCjMno}X zmqgFIHn&o#IaCAd%s8Qd>CU;?o^DY>D!X*JDN48K0=;UD+r&aNo0)O4V@f^foU8AOp%gGOV>cO;RYyC{Vd(3p$XBfKo7LrdDf^ zHN@~R#?3?v>%=bma(P} zvNXqO*$8irE6A0%d#O|!v69sFm95)m>Dl=UfF};yi=E%Lrp<($j{J< zx+Mvr|IR*1qnK9NlmUU`1Xx3gSzw$fsa8y-wgf2Y@SUulz7C)i@al{bb~)@eQ0d0S z0(f$y9J#tEXMdSkm0=_L4Y#UfZPf))SL7oJZm>9>29==eAt$AgIY*+b>=H&q&-T+i z*0McP(z6y_z;p@c-AO1+F&-9Nv(|1DrFM&z2N>rlv>QX$o7rY>NM z=QHEe+BP~UkN-)W1eIL{#*2v#$P5$q~CD8(zq~PjheU%bRg9q z0xBh25SjPSnV)dr$CW2aY#5VgJ*TmyfXYo+uuzFZh@A>f0m-s zO4LEf8p_>7OR###Xda`egkADJ4&Ro9T>{}M&DGf}85E<}nx+eK7WG0roZyMTf~kW_ zOn9q=J08dAAy=R@skyOF*`?KyN1GdIJi~f)si+0Zp5c(VNP zj6n;HQJ7_w!Y)(##$PW*2a?JAI1(r`1ltNyy`mD~|FkJoVZ8J2r=krV!*ziI8&SEk zOO$sq#g+z?QtPk(?HAlnQ?JDiNfqM5Z=*BFeVW&C#VaYqQKZRu4_n1?oQl zvvky|r|XI8v@KYW+GBkv$7$5f3J!MZq5yw+2{eAc(IHW8{)(wQ>Xso>c0w~hZyyc_ zI6KY|)*c2bHL+@!gW3fslb&$@zDgEVI zYLWl|QgrUI%!y1TSqXPAc0k(JO?P*xk(V2s>%Ry04@DaWZ;gN|l@aM2t+5&P()FLkdJe^bk;L8(UnLD<~?v#Ql*9rd1QlRxJ&mHpNYnbHJJZE>vs5Uuuvg z&OIfnZ3}z#9ItVyO+Ng^zvFfd8rfzJ6{a3%Et^HRLx%bX<#rx<)sxq@z-VgzDzMc8 zfpu}GNK+SNX_FXMR7*93j88ZiT>h7Z9`T8tbI^p2j}*qD^Xj#JkI{H(UM=FldWvh}QGZR7xL zD(bK2STi=_@y(J>7&pl+P-!2ggK>3k1Z6Ss6KA;+9sC!jQa_4?z{v(?_LnS6%RzaQ zuC$NR@D-BsBS-xNMNUc~g1|Ve7+N8@hFGqYTehRoq<2pq`KYoiY+LY`L|JUK7_~-1 zyxHkoHsl{C;}V0~vus)ry1YUc4yaL-Me*g4TR>&ny3K=nvL>{kbi+gZG4Bn&TdIrpJ!Uf<@Bc)r&-SCs1lRY`S-%t$gDEcg5^j*H8^%I5r2v z;TAB3+bk)UbiAfzoTe7z)M)Q<xQ&zdqGr=|>%O*8m7C)70Le zQ2pQeFeA1L$`V}rZaM1xfA{=fpyUgr|L>Fd-$j}H-v#_X0xpqH$%qgQS(+E%nCOOc zg)T@^!Iz^?9Rn3;8tY9JdShAS(TxV*6{to6%Ba9kX=4fL z3*|IW=Ba3QOu&!4&)WkoO$sQj=xRK=As#F-KM8fUtEn9a0X#1~q!{1JI21S34RMZ} z#;B`ZTJIRvQIKXmqjD*C|$i8V76N}$PLoJ80k*FiCE zH2B^{PM{ffhuScz!1VO**PNcrnt7B78ZX@vHaPB{QxgURAP8 zwlVM#T#gm1sB*8Vvk@UuBtucw00^p@55^(Zp^zn#G9oUh%RLh6oq)EC=V!S}*zO8l zKp1;gk0#aXacEmCqtlE^06Io5qpTdkDD`Of)@^hk3B@?f6^x^-jEL>BHHJ8D3ty73 zP>@WgK&3>PMzYxh1>b03|5E`0A!<@~sWqz+95@NB85Adg{&HPF2adAKYKrkY0bJ6s zT40w3P|}!f%7`?`5~kjwWa+@vp>at^O;@tC9t2Vya*fxPSdZ&12o(*55~jbpfl;bO z2=Q114km)}GOB!sQEs?|&k>yLXpJ{ttx(c57k0Us%UW?{mrkT{snGymI-6NA3wuC3 zEX|)c#WFbG-U^hk%P;n5Try(PVK}h8BqA5R-{f*B-=;fYJVHq{jNz!loDG%W-68l+ z&4#L^G9sO5-u68xqt%16JLn(@mT-Q(c@ zdI2Tt2(byfV15TEYl;5Imw0>qrFILb1Uyn}v@bgo=k&&!z?<N~;GetPDfy(-`nqpa8i~Wg4;|f6ER8Wu2 zs|P~=7(lVa4J%L<_ygg~RAxqA9riFR!*x{NkjvuL*KI?3Q7OECg6cXRRq6p6K`!6_zn81 zQmk<#Y`S<>0yLj8vqV@ird|SnnZ*qUHVwQU#2SxdGJpXJ%P-BI2**>l#h!j>ypb8V z8)huW$x+xF6d+LA?1{3*2H2uaBzq{U9fh(c)uua!3NJAahGzSeb1PCP+wkUy2&)I{ zy>FPw{<1I9cy075QOY?84KO^p!jjGg-JaJZuK2OvbMS;~7F6flWhW zD$RirP>(S1IYq&_C4kc5Eo=qs44}12`w&zi9Uv@+i+I%&d7|E!m>BiVV^y(@sMHTz zQNuDZMWqfcOZ4;+<@JZbI4T+4LCeIq)O|7-dQG9!fD+9r8K5${KWbv{7`)ARgCF!us$3P{F z2paD~w+*{gfweq^#%ofLInl)+j6rbIG!2YFJ;J00woB4c)^u6Q4%^uLg0f-QWoaHL z`!}cs=^(z$9)HvVP@F3rbPHa7!5bW(1U=O-B32J}t=?Ehn6NRkqx=UFssMKtr6f_aLBH1&xKouir_r}B! z>eCnx8P7u#5(S$d-`fO$=+2mTTe@R2;)YU*Ks*>YqP z@99%t2bIo&Pv7xyp6EUcuN(mA6mMiLo7$Rb!nF38jBOq|K}bDN}}55 zVm8ASj#3-|HX)Kr_a!UDhrYCb5sH1p7lf;6k zJvXL=UVt3K4o&Y!!~Cd1pPk5J>3+%TfoN%^nWqAPP+-u%NgQ*nhj;++XD zyyT(BGBXDLkW45Zvc#3+POhFm!xcImde`3=WqhQa=f)^B5V%BPlvp7|>o<+dAs#C# z-jAtFPCTDcPQYI!+hv^Ch_nU-4w`dWQzC28OYcMDpmI?GrOkFJl?%ow>p|g~JFf1@ z=(Wyu(+ny%&ZfUHO58>c!-B+7YTh=u3WU0N#WXV2l*mlg+JD_u-{eyB8hb4u_t+&h zbJ-{v{2;m}znz;3B-ZeaXsh^{qLTM<)L=$)ky)DTC%s#=_a;Ou^<7K#9gg<&340h{ ztu*e&VcvF;HAE7fHKi|$akpL3E45--y`^v&Fbt~)&8!|9Isn0S?M=96qM_TMo?>&( z@9dW#Yoy4CxCAZBG#9GwXw%7|98L$tG-g)h+~D{klmLM5v=~sExm!zPm|CymfUZ0@ zM(RPB6;;?@(x!21n=LwE$wlrKtnqIXWQmHJ6ufhL?PahfZIyxbUhHy%N_I>!-csI& zXbpaY8&f?4U7+OVjdbCiRjD5*ZEj4+2&L1{5rz%mJY6`u)B%wtbvBjj@gwY)SlUF7 zICzz)Jw0w9SEsbB~xuoql`cI!n>Omu2Mp%uFP@3+ zeBIc>n_X=f7{|*HJsU&B(C{?~IpV_JVhFWkxdb)FIX~T_amEX=ln=mPCQ2_B2>-|R zWGNA=ve`Vkn)~t0;x9_&mqV7lf;FCZva^dp|6_lL0;u_z+gVf&ECY# zX*=-;muS%BxvvdoQy@*UU1D*zLm9u%|<_vGGFkm+b2k2YQ6P>YIE z`5Y``*rXd=Y^F{yfHqEeA5BmgP$HzxbIWW9LDH(vzL*cy9y9V6B)PSKlIqzLCD@RS z=6QUg7*(}3E*-oU%tmIdt;(^+wfR>biRUO>u7k>?HW`;X0;YZg>3K)*k2Mi3U$$tzAgQ0e_D(<| zEn_O*YleD&KQYafH0p?fEZNnA5RQPBf4?jb8a3Fgtz2+?n+mNg6WjYC@I=jvm%nu$ zOorwib1%3Szyg#gHLIA&p&>3MTn^0iqGd<8$m0|G@hpPBEKznDGeMwyB}t=^p?Z z4UkcLcF&N#d102l^+KTzxkI1|>=e@T$R+763k}Y_@M42;BPGcYs(?q_#RG3&)RX4( zo*pGzQK^_OkXaB!UiIrT0t3PQdrJR{yg=ReQ0|@Xe;&)h*S|;w!qVUAYWz+ag9^iR z!8%rHFCt;=Q4!i*u>SqD7Y@yZazOxl;4D@b%uZS)3vGoDhNHKMQBa4Th)kyj#R4Yb zkQyWNXd``(I)s)ls2DG?xCf~S=rPN8sn@a;e@}8JW45#%C=2ag0BX3E1h7e!vRKV( z8x;)4wvJnik#pHi+bDw$_AdzIj0AUEd485t#R0oI)VdIG?T$4JM>!B`>UaTa0hobo zT>#$L{W|qnw2x>&lkVCQSqY{F?mC>*rBjOrBpvkiFrk>ypxq`St6JlEKw)vFr!W9I zRC1ylANe`g#0vr;X|Hh@%DPOx$!)+DCAK}y!N$uCyG^hybf5&HqVcWh!~c7dNKzlJ zKU{%^7X(jh9Lji{921a`EJ>th{5|CKbMf0GmG3|uQ`w@F6=%#s6|$slLcuxeIYK=P4U+beD4K>e zqLzYC(w5|S)m4oM8ek8lkD|g*>8Mev3_%q^!zL;=&6y`N!q%37e@oZl!!7Np5#(6< ziiHc=F0D+N0HDB;yGB{b2U+^0U1s-?OlS*^ z>ce+AFVhXU-@J$#d$C~&Q8B0=tjVl^4Vv+uk}7Ph@sqPU>{3v_k`Yh}FuwcQ9=#QN zH!$*xee@+_=LlS`C%03=;l{>i@W|k=R01dMK*W`#JX8miCz#l;fD(HlIWz%JmC|?` zgaFeKR$C8HYPCiSPA=PtatxqQI(RwpAFEJ?}rrGc0ArAo}b@3LVClRZ6h*Mu-y^Q{)o8r1<lwRq=h)}38rj&uct0?6FE=hkwTO5BJqL_xI^%pyEJ-UsvJ^kSh*dU0e*8FW+1&u5`(&~cbd;*WP=bt;+I&2XrOITcbr$9dIQ*6nc_uL^mw(H9NRtpfIkczHtVgZomq~Lk_bW0{3>D}^i8#h`uJlR&4LpofD z4Dd5zR$ES522{kBeY2w#?t#iAPRb@PIV4=wat0rcXGR*9A<3IowbMpRB9kXNk#sfb zKo0~RllI1=m z#*ZiC^DRJ>V{ElRs^wXREC%&MMG0d^OQTS9QQ>)+V;SjZ(><^nR?l>PBPA?bLi?Li z&1i!~s3+PfxzXrGWxnN*nMH#{MRW1U;ugT^*2)wwI-2FMuVH}bu|Wuuz{g&&%f^N* zRd@bdTYnYkny@jA9_=Qne$@dgS-27;3rwzOC~x6QIyDFI5gm4{+)i3QqufqM17$H& zrZ2rRgJB5`3gHAP8LvD|4MtFAGklW;Ei% zxo$E#g+LoSG^-4_ky5c90LgZs#NJIpVjj%E1A!i48zVt-j_bsxp~0DeN*7P19!x0c z!IUWmQ4T{n849IB(M8S&LhmehZiI%o57a?brN`3eM^3DVo88y%_?#rV+>75#wZSk> z%K>6qOQ%FeZM%-8!3W=ejtj3p_q{4X%;o60JN}%aiXT3CotCl8qAe!w?LJ!u5$R{V zpmzu|-@a^HFON1ppO{`uqXu5Iw{_D#RhOaZ`M$D#OlCa6>Y&)47!2uv-^`J^Zt}XW zf(s@?R*ZSFGGo!C;T0+L7rnDy7A*r;^p$kD#y@+EPXX|w zUBl&4aB0w$^8~iAF}qhmTyX!Uw6x{jfY?1@=_2YLPbEW?FvyNCa2)L80m}z>)SRlI zREmv0Vk7nys(Sr8CKhWv&!%D1bEvQ+GMZhzW4$0+{Lc3J44lo33;WRne>qZMMnj*~ zCn52LXfw29HLaDB@1);D6McLY8wYFSCr@Kq=w`SB5{qC@6^B4TO+=rY>~gawT;VE3 zQrs0;g2pc#z%X|aLZX2mLzUQ#zB*o#ES`ufEY>3}+x=531C;wJ5g+3)dNvkXeCcK; z<$(4JySOTo)6}>2FdQU9U`{MfK6xZxnea53LObX0rM!E9A--PIB~?aL10C#gB+H?#M*Yy2=4ed6e_><(}S@|XD`6F&GZE` z-mJESoqY7#Dsaf6#w#Biu%v$U{b#MOq$BtLCw??(T?h=IQ}K)fwb5WfwI^TGjk5LU z6CQ;gUi_xa#axUMs*vdDyPJ0=r-_Ug@Cp!}&+X2lxqR8Rpb{w$!;u+`aZ3-Eh%YtM zbewOX9{kYsgKQgKD!slM%MwDd4Z%iKbl$Em+#Jv%O#)dvD5ib4^}`~xMK9sT{XT#o z*=>3^=GO!k-pr ze*Nh0{pfx2)%S)215p#s27W6j#>bkUbeAv1wr@k$Qb7L^1uOSbK zci1Eb!(UeaHdeC{ufw10x}m#+WIL87GlgW)V}kP`)skX^s>G1s(yPy=iN}Bai7jaZ zCbx~kS*Q)L24>G=B_*gh{li`N8$vUm{GT?fs=w+ z{+t}a)Y>U3?+UT#q`Vq!$}c%$fjt0yK9Ut%XRURcnU!=9-%#?d|D zgnRb4=<4|-$T=VNiX*#6QWab<8Tj4!>XFi64HHrnB0VTUwd(-)=RY6Nr2F8TtV^0Q z(8n~0suW&c)>{#OvX@L`KBYo)KmP;N++RYVd znB%^0P`-*~iI&dO*>jWjAI<9#%b&b<38dYI2K_yO^A?p18j-~hl_cKeAJn@)`kbPP zPP5*u53h_7s6Z;O$3s}{@R3HBfb@2^YA%7S%b(srl198PSJAyt&$97PyFy3+Yk-1F z>T;Z(%A~-zQdMZgch}kgX?G$fnlNr6Gla;-&D$8LEm8g2%+?U#_&dPRE=mNX3P42kbh!1#lG0w_hM!Pxze^gsnR z{WO#*8{g@#B#}!K{dM~X0vaFGaeW!CPUxU z&F*t)ygT`JLo!SWx*=E8W<6NNbJtys$d}u<`zR7;9;Nlhf($T8dqjGIrWj zeZlY7@CFL&2FiP{)3T)B+G5ToW6PTjK)fBYyrk+7Y|;}yPV^D&Be2%27cylqbt;)R z=b8*PKHb9za35W=FpGkv-F1T`$~HrR52f-NZK2&ix_Tku#J>OXoC^pu{fk-38&p~t zs_fEwK9hOr)okp5)N>}ZVfJw=TCM zKx(W81RXo97j~Jv%&@>X!M$RI?AVcMaZ>$2>0k`pZmZDBtM9rEb_tuYtDk&W(xb^P zGFs5BZ+L4}o-iBIxSu#wbJU+!??SU^aLf{)&v)?lb3Zr=>Kpowf}+^sx*aZLdGZ4q z#=*#F@{>2+#rx3WH(qUnTqGdn5HCry%=4|w;X+^ppsJt z6dd8gpR5+DUYJvq=rn}evEN_s-{>yT)5iy=O?_N$K$|gg6F(R|1(@vcL>%}K!Y;={}(9H z$f-a_RQcD>AE4PGT8~WD0u#!cxQxH=Cv`xDJCfC9y{LR`wJaO&kWyXc#@GZ&5~d)n+Rd zJCI#v;X2O|-*$her1gJ`#=+7-Dq@uX3M)?S)WZ-dZ2g)^Z?S4}6#^}~I3CY$(|3kD zC`&{}V^x$v>#G?>Wdv$FdxoS71*I!h*2SrNI)NYCTOo-;-e1UJhSjowFKROJPgWJ3ZXQ^3dT+_N4Si!9|32h2%txOI3Bu zLMyUDxnWO>ZmxGE#9H9*Tgdr5hZ%h{ldFJPIjAGpl6!~}s3Vz0Ee^{~ai-->tDVoMM}kfD}mEtjo}hNqA9nJz*84f z@HA1#ccOs1gkdu{7er2R=YDK9W33doL>iYkdumVuP?|lQHL7wfXXlwpiCTn`3wuzO zD3pUB*5b}e_HvLV`Xi0w7_Jg{PQ{+gp*-#^mnoLq4E5m2rY@;iqKNk|0#pt@)kV1q zgpciHBJpL`*;!=ktMkLSD)@ohHqr)4p{_$Vp_YpEBOn5m0?YxW-4CQuip{n0=ECMW z-m;ACgn%un7>CB4^^p-Jw7CNEJF@Yu{SZl|cx1|4%dQ|dq}*YYEK4~#r{}L8gFfx7 zb6540%ed>n7j_oE-bp|?HZr2MtCSHzmN~~_#)y>HP86!E)!Bte}@Jh*cIWDrxJkGaL2gyY0Hu0C+(P|;i|i7)op*uKMJZAb z7xGuTraE_^;^Hr|xOKKW)@onxh2k6fz zvLm1jGT0@XC7fH-28~DdOi7U4c#gn7JQ97h1e9ux&xinFMDE;Zf02%l`5-v_T%U3soUaV2#802&;!t0(ZXCnTW{+@L`;2$22T{-g_1d63t-zuV9=T zqXd-pc!Q9?+1M-40=I2m(}lJJ)uXfaG^ zd~F`xX#f#zez7|V0MZGcPvK<;Ky}&4J$(D9wQ&Jd;_h=+n_bSe{vwA_A{F*=jA0`} zrxS1HPdNCt7*xUz^^(I+fEpp^D5)!8RdkpNSByk|_@Ix^BcP+>UI8}Xc;KO_ zVdG9To-(i$<$M3hF&q6!wDogtdk-~&J}}$BHv&!!ln$MV7ALlP+YX?ZkRpu%k)R1q z1NKUn7T~AY(xi_Fk?z+wFCe(-7X_jj4WwXjJ01eRzqF~yb=3G_vYZA#x3eD|7pD@d zv7!WuNci_*N_!}o)auCk zVYj6n6Vb&cgGj{Cz4t?-NPW_>O4BYXu@QgeuJkDwno6dJGlRuze_q zH4@Eq;|!dbX$g&DCNpjU2mZ~0ltZw$kMWSyXt>DfURuXSB)QD8 z`Kiw#)h~f98`} zyQzd*$QjEhXQ?ufkPzGolw2~w_V%mpBH#& zdX8p1DF`QLd}V}>rwl=DCe#C|0;P6LH)%@alw|NUfq>+fC0H?tFBR84eEoRO8(nxh zFQUmZJ0&{^q+`;@;g?Ggje~(g(?H76AH5rg*jPQ9kbD!j9W(05mrF+2vgELLm3)42 zZKb5$e~yIe@+K`v&X^!Cm!c?rMtWxkQYYM13GJKy7{z|j6So24#71H&9Z0o)kdfR3 zS5ApqCAQ5uzM>=j0#L!$3zvf`?j`ZJ@Aw&+JkMoP4HX}MVL!o{=^Gc@Ao*!20Rb|@ zvs@wYu?>5obd9|aCh0+!l$Xc@F`2Li`dM>iU-~jV$VQ|lFiFnAyXkg7LaR&(zID=Y zmg01A7LVlnu{^RAwq%*ytaYQTO)n#>YDI24MJsh_7Nuuim`7D;Sd-}!IA9@d zyesTx{EJA3s<|;m+{I@sPtmYSj`zVSX^&w)qqZw$`fQ+lcHl#_@#lAE)UsWkpt)Q} zG$7=D?fqoGs6DC+r?XC5cCFx!!KDj|o(5%`@Rbp~p^3+lhYt*b@X|Ny?a-}$8SUDD zR=e#MoEGSS@f@mSCAHy|>{%DE32WBj@3|0tRj#na6SaCjzB2L#+Y-hM9OB5j2d;-? z=rA!+V5yz{DBxj2QlA_fPY0xr>sV(xMbeR^&B0qAyG$JP^<+Tkb$^hNr6qc>UE}p1 z!4^wXt^@i;_w$d`N$*Spl7>Gzu!VE`j(O=AR?igeB^LwIX>yvs(FQ6VoqoO<{ie19 z7oiv~=#UneR`Pko5L9y51|jwI(B;fqW=KnejrXmmnl&MdN4jyGDc|{Bh9W zU2ZuUbg7H}@*DEQv6`T+*ywq2fRBjf@S@+@5bML?@6R{9?^1fj$CSkzw71Fzwy@Id z@%(S-!baJrQ`W(c2Uon_K9WBVn17B0$|wJTZ|fYW=f$-f;qRWP`a8`sp>e`T!n}x^0c5&Vh#r+S%`)=Td$MYYX0obRot+E0?QUC+XMx)E*#f zhlN`fr}N48|8i{-hY0LF7jA6ATcAbmungkM1B8QZ?X%nXr)2w99g5&%ck6{Nl?Z%> zc1J!7CWf9)5~en2VL3H#`0U_5T<=(8=FGRmfroc+EH$16KlNLHBmL!$Dny?y2Bn8S zc>`4EUi9YSo#-gliur>wn(+&_9hsmZIV@dH8kP3+LA+Lqer8LHUKy^q8QePn9Z|{8 zmLxH*o{B*630)v&>`2lqbm zK`TV-+zL469+v=NuL~;41#}DI zOXJy(a`N{lELWdpSIyjh8Wq` zr~Hfl7w2HSSseP73UP(02@=opHRcpr@a~DGX_0>$z9C?q9RrK&$kA6-;hrc}q&fJM z=_THQT4=L>l70gw|oATb389Q*7Iuzd7*8F{!MRte$Sg;*l>gw z7?VBUxD>xt$oSqZ&v`+&z=veiLwXLsP=_hH~&)g1;0+~9ep<@^OAG{)&DRp75XF$~T+1oU0#2MeL&Va0y9B4H z-1l471zr;TA@~kYeJl)XUkbdb+X7FB(AUrRg01KJetWkUt~?j^vN`aafAhS_XF`9& z!{DMx7*J`88uguQ(I zdCjYWVCHL(FJyN8Tb_5rb3xDroz!K$V8O$%L9CtifA@lEUk2X1_k+M09Ry}$5EP#r zcw-yE-+u&N-HO1w_65)T_bWWF>>e)|{df>`P7i{LwOCKX0`JyagW%@1Ua;;E;A{81 zi(UnP9YL^s2xQe8c%Oaj1&y_yx8`9lcyy{4)Xoorrh|gu85er%1I&d4Hz45#y!D5A z-qM`s<#q=_O#{raH~@QK=UkYP?FFS^ee{tqd)@OYzlL~k4ZK-rp#L~8IR8GF*L5&M z!wb3$&nxN*g3Mws7+Mts4V9j^-+Yjj^t?^wSl0V^!7H!86e|L+3vIKDz2M9zJ+H6Z z^Io{p54U_6db>XNyv7pXyCm>BUiE^vdm+Xzyr3T9ZiP#FVM*ZkofY_x{Uh|Mn?1i; z54{<>?~OXi_r(XEpBm?TZZlLh-VeLp4ZUA~==rs+UO1`2^OnPmmSQ=(4qVQ=fqz-r z3m^WS=cUk|-q-i)hlFAG!-2Q*1}`X?2|nSjCf^f;o2mKpF zwbJ*g#q*sexRXbqUJ-byS}eaGdton@{nV@%&fVmBN2kIN7VouGdtu8aEF}>Ji%$20 z)U`pltPZBA`Qghj+4dOl_@O7Zz(nzruwStZfgxfiFC-dy^Md zP51n?8HPL81peqXewcb9@T%?){9{iIgQLp>|H3Bd3d`~CFJSWZVQ5|!_}#PpU}s(6 z$)9+BW>FB*y3k($`bWX!hV2hGa=hnt;_vFD=Xbv6dlesf{{5dqZ>M{H<4=9Rc8>4e z`K;$12>Bj*nCD$vw=*2q9Euv z9O2jS9VgYd^c4uaCYFc@uz;r?gf20>Ht zfLRLL_UX&6A4A*$-DZvTeJs9zWV?JH``Fbz*sbBC-=-eG1=^?18f@)8z4KrSRiAGD zK?lG>`iz$YkCzVw=nx0#D=;pgw|{w0$oMb4vy1yPw@^9v-6F6?nws`gAYAxDW4~0d$^rI~}0r|9h>&^ou7Xac;k^fo;J5 z>X0tLhcu-DOVSUt`zRf7Jrt7r>5bl_0UzpLJ1q+C=F}sodvvZIQ+RghTR@*rch)f7 zKF$0?DaHr%Y1{%jU;9Tqr}+A+rU%^~1!rkI&fMCC-QDwte4Nzgwy_FGsDrZ#-}jOqYq+m zJD}^rtYEs#fwawfpkGiY!?pat?&SX1dHEdk)jWbSXb|@5T120)rzS>wVZlyAIvw`L_IIX6Xml!7| zt;F`_2UF{oF6Hssf=R{PPd_pKjLf6o3zx^y4JPX?aAry9k@Jp0ERV|F8?i+Mp7Y}L zx!f+!ug5Nr#XXC<89p%}wkmvKSrfyj@6hwyE&?k7Fpt6}!FBq1_j;8tqAcfS_Y?R< z|0i{V$J@9)=621ijO?TidO*Ev{`8j>{znS&fN1Bc`M}D1)UY2S_(uKcNrXTS?4Qvb zsqrhqj_!F*VR^RP2XXVXpXxdc0L*>Okhv6%zEJ{cQU>ZnxgGaS+2Y8fnkwy1Wzz9pug#Ik-FQ zJ!cN|V%G{R{3}NR3UnlLB=et5glb6dbg5iCNiM1ZP3rAc<3?%-a4~KdWjUwKb}O<_ zo^AC2ETktZ9}R)PJT12hIoyMEx!wHGeum-GZxPP}w?H=M_Q%brhe2wIjd`$lK247z z4@_MBah=|rzEI__47r8znPp2aV0`wAy2EtNxQ1#}|Mjmt#qAb8{8ssUljo`S4fYh3 zUpkZL#kN3&`JaTMhi)Fy&GgZZHxMPEe`DovR0HSj zvIyUAhIA`F16^{z^N#3K;}5s7&VoSxRLM289-%fh{%uoozcmr=lJR|7{*uc7j`2MI zXI4hl!^#LB{xu4&Tf6lf=g!-R2lVKEz`y9Kp|3?3v!!{-0gV zK5wu*^A7 zu*>td+KWE$L6xj=t^%5HOLG}cFP@j`8TA#0d5=uI`8FTI=$_j-81wo|*Z=$^kmGOK z_lb2dHm^VX&R4K)ujG;B9~h7GZ21dJU)9Q^Uk8}C_Wrw;0LGojq;)C$@^{vB8&oESeXf;gQ%!pL_5^okbT+ zW;{0aY9PbE={M!$K(D{zh^_B)jCb#2&t1jNz+QFYLlBey*sVXF2xk#oP^{tP?t3T2 zZ<`7{!J>85cd)!VS1p+Uarl#7TQLLEg!y~d;fBHwMwHqIq3#d8rfDUH`(0Nj=CYm- ze`*P0rZ7CPc3cePct1V6W)jPL_<_R@0a!5ev@4Ee`|F|tjVD6O>AGci2H{f^vwfk_a?eO`#sz9^$#Q`f{kG2rIp8c zFql5S_z;K%_b~6j4>-RgmANE9|K&p#wm@(GK11eL!>odz8coOZ{4=UvpMv>=26}h_ z+tI4g8~(w394Gs(2UxIma@jtp2CKV{+RXhkhOA~M7qq9&Jdx|~4e!D^GYFo({K7Ml z1*O%sBe}nEPH`ITUeAc~v5fD-mp@&>db{pN2VpM{f(Ku{AMHV~y7J+>8BY81x*0sr z!Wnf3q3XSK$^118-}{r!pK?8)+{w;9$V@(P5r%ndtzl0vo=4rezs43;xE}~6;FCK> zv%Bx4A0zY5oHIo2cuGw_Z^-nTJ3A_S!o12Y-I*eVn2_?^$urS6si279GZD zuX<+PPkHe=gnL*O@%gt>Jl7UdHcA(!zG3n?3+oQ$Mx0+yuGJm2D`o;Eg@p0e%%Ue4{ZX&t+jb%~WvfDg}nBK8W%2-Y6l4LIMMx~Ah) ztXluTYn`RM40>}zNAO3K=+8Q(m*~g$SD7N9MhDQMxzGmX?5e4h(4ECll9CqMKE4lsk z%eEFX{FH8J*?yC%Gd?jVRgh!b-d9KP}4(~yV5%k=Yj+=r7sxS8?XdzlHYai(v4 zU>T2p;@qzZ%|V6s|FmTG!BLk-9RJ;Mc{^gfT%*7N&KY6}8ik<5NNKr5tPx_3hJ>Jk zA!0Pt7jUQrMwuhVNJ8R3rida_0|*6*LeRFcqDF`Y5g8aTVA`p^379GX#1maTeUaKae?h!{oVxlo zy4u^+o9fdw&X3}*%*Hh57yIjvd#ZoEPapjC%u_yH{g&yzSUVUv(a*e{8T`$=VWYLTsG-OooBAB(b*>fz*GRQ zT>-$c9inrQAHp*gd0c8Do8=zh9tDvZ0doy^ihjv_@*VVuqX&Rf0d&ooJUs!ivv)ez zhQ9etPEN>cjhar!6lKo4NAICPg_ zzs@c&dE`C3j|)3RWLt`pV@YxQ*EspcqgJrhk9xh9)B2m3UUeH%k6HVAlJ7bF4cR4I($TMC^-BVzBNYn0!aQXWX^ItA36 z#(|vl7mM44zE>4MhYkI#{*SC%z>~TN{weI=EY3@;N~AI&Zd^><6^w@`5wC@vEUa}> ze|3NZ6~t-PRFkC%XKhQJ>qWl^^&}rb*;yd&P3%=iEk%RkQtJV~ zsW-G!qu7-}%rZt?LAtX{Y|anB+ev#;G&VtGJl~p&tGt%+eYm6)&T|XrZyKqlkAh4~ zFir$?tQ1|Td-SD%6O0xqXM7sK-yG=YLwAI8){0Fkk@L#OwCqE5HsCjU{%N;p11V4l z{qBn~_8I)F+ff7H0Yy z5ZMoT8ABIy44MGncF=b35y^rrl&Kf4N%XNG?6N@@x8BbaXn>qT-fIsMEcbM+k4oP{E# z^s~xDhH~ti(5HiEsu{ixwukKh!ZqyebkYo6Ya8(f*F0k?-$DNXW7Fg} z2lP$8&hIL_d5R0uBDJgNTd85dCjpDKfoD{Kdv3#Br=tfSa{7S$3Rn^LX>fd-~*U@%A zrg|@KqL!@qdjP$Puc=>P*Y?}Y)}rsuH^}K}SH4bpllkO1)uTz(TYpeHoupr9HZNh8 z^((M!o^S6B(~&{&@o!CIM0dLfsp;?xB-&M9T%r2f-GmFsxnzXuA>>9oREN+`s4~5= zRJC*s@dx_!Y%%+Ei0Rss_{D(PlY2~mLEB0K{B)T4?WSqSeQq;;JOtnUW@&uSmLFAr z@MZL=o^GOc6jz-E249?|dUL+&;eV?A1Fv0_^!cd^9W=cTJqxJYT$G`fQDk;Ia$;|z z8+wg8_<#G9eS!l|H$B{?dKv4cYt4k~f4SlMTZtM>64XK@Z2ES({(reW{Qo);h0NpWBx1LcJ4c*+ zIdwn~#U#YHCDB{5aCf5rN&edo->fvUUH&~1!$irVNa_x`T$IoP-~VmO$<~(r+s1EH zTGT|lK&4@s9bA2QsBd&Rl2thh`SDbKq$bKRqe#6^%Bb-5MSY$9H@W}AaQ_C<%|5N7 zn~^Qx`fUlskJSGUGL2vz0Np}zXZ7E-6jh@|+Jx~0l~5&joJqaZap7CZLK~;Asry#* zHwrRR4aMT7g8vx?16wN|9%z zEoTPU75gL=kQ)c2VO5|95-T8YkLwcU*CtR}6ep*ant#jn^&MRd>Q{z9mzz?WJN7fBG)w~|3?P|j(NDr+E1Cr2TV(alJf zZq&dRNj-dfhA+mRxZ5jaNmK(WIVqt=MH#Xnk4R2t31n$1ku>`dxzsDm7$qTkz<4%~ zbO*B5u;(L=T9u1Z{ZkPn+B}qyT<#~3G02d(A?=&QqBdv88gX;+i;^L2AUDNOu$uS+ zxmJ#skYQ;AiDy8ijQWzOqB4c7tR$#MsP!NhX+LY+L}oo6%O)rCj6xb8$Ds^#btM`O zGmfl_tcZT3JeRiOruZ7@wag5+g@^h9!7U86yk=#?c=7yOkhJ6b|Mvgw~Sl(5E3VOct+ znlw~ zka=Y6Qgc`pkVDi%#+^pcUUrD25ueAVZYQ0(M%d*K>JBp=bu=J9c%V*GS(a6?5T;H} z42(-Mq6x{ejaG#2cm`C`nM%$yJR|4-NlXmJy<5To!i)rE*cSjs=wz6kWmJ9=@LPf79Cdv#!1u{Mvy5`-S(W}u~g#%{weA{ z(m9)rpo6KTtaC#<<&DAk)k3nF2s7#l#(^DUDq({WB*mIq+gv;+3&|ELN5LL5a}?SY ziP3xTY<$jP^%R~7=4cZYVf+*9FUC`zI!2;L1+Dyt1cW!7Au)Ec?;&`W5-M%2wN(=O zcVS>)T%Dc-m9WeCy>^*%bI}!+q{^ye(cegY;#vvIWLX-{E39#=K06_amaaQ~ig1Ki zxb6f&XM(sL^m!@llEnI%b&Ulyyt)^X%KrMeNAZ%XD!A;brdTamiXnwTPXf}R`bguT zvi5BScC7g7btfq5w`m{Nz4&xzMlKbIeRYkoX~RXYdJ2@o!d9|y57~C^&B_weg)Cv0 zt&rszRR5PqmKHh9kRLo{8yI(4$kS-YqR6WkuCHtC2fpgqF46RQCm^X(+Izq&+a(!u z7sdrtmCuYrdRg_*zF6ADBRfi3Ypvp!6dnTUoJ4ciH#82l+8rjU4FZ)$iw#8sG@E=t zLOt+AFbRu_pPWF{$H+;ntF;X=FzUm0iPg21Aq&B{M6DEe-Ra>zPaXsH49sOnGIm2f zWM@r1)k~!i56;Gv&+*DzYq^)gj|v%*WR^N+kMQ{wzYWS58i zB?Pe!yvV$#Ut3p84RwV;GUhk1ESnXK$eK2ML6%AoV`D^d>eAdj32Wh~0+%w8+aT(M zbCY>gf7oBH&sY$i*tw^**3kvcBwd&o+oc!`BQguE#l1!(97FQ-s?LWj<)H&E#Abp@ ziWe_uSyDr<+fd+WFLU0|S_=vjigp|G=doQ@C7a+c6BNrr7K6OJvSaeFzf7T>Q`x%OTovWWfqK~7lF{tRGH&*^p~r|ln1q;+NIb`pM5J+w z)PpwF7vo4VN39`I#f)P)!=fvkqp4OvUc)brWQnE6?jzAWp_Y0QhO;!^g|3gA0>AB2 zzM=*MFn^CU4qn?)=L$KtTTwd)gRVNMB(lq7W8E3`U&oh4P6~rVl$Wt(tS@3Cs)xog zt+G_s2U)jKOS!*x=|$@Pfl~07n-ol<8xNWkyD?QaGhva{OXbSk3sFzT2^W*?*5>Ae z2i63Vh%w`4{e4-xWU+8E%Gx#)HBt(U&wZTjvSK4*#mKzfW#c> z4PZoVz7m$loLLO0@S_Bqy6J&&NKNc#NE02lncQefyBP{-MphW_fewp!LP8o=R+iKR zG>iWE&W}{LeIIs3667j$C!uQV&T!n}kR|G}wr!=T`g>E0AaIT^sk)XMFbW_oM`mRS zL37HBJze;Opf+$WU1xGRHQET(p>b1Kb0R9U{XkMn>f8fZs(YTTA**c!;v=Xy3Sctz zx2v>uxF2Nn)>v>hRH4SRy2tJYqm3L4CgLkPj$XVwFys(L9aLAw7mjiE&>kEV>Rnk*chF4-xU< z_;S*ch|0s_G$w5#2c{tu8AB<~MnnZ&Y(yoz=<+~9hTI)LdHlymZ;-xDW@fC zw|Hb2@1D}xO>}fKlxglPT-`%-?1m0N8ms5k3C5z_@(V$bJhf_8QA#8aJ(I!|KVjB1 z04MJPmDmzgcXB_>rh9Q2(dV6UpFub@NWm)3W`l2SCK!=XXwADgifXC~HbA#d;_eZz zM$diVQl4d|`61^Q%(y-2?wvh~?F*rm(_sB+!OG>`0@^3J%Y4Ur9Bk)uv{B}j%*)Es zP#c?oC2B5vZ90afH)^OYe$+IHI_DQ)JV8TQJ7o8VNl3%vg~E)% ztHX$D#zsbjCv!I{Tpz5s?gb%CyFkD@b7+7aAIC(EG&vix1m6jEcjL3Cz659lNPN;sRh=kJwyDX@l2#q@yW#dcpY?W5%K~4e3mv!%+ z*hUtOX;+kIdJ|aVCP%>)G~CKEj_u_>6aA~Nc;16+%iRFy7B{BE9ocLz2bGSfj~Hi2 zR;LYS+X-$I=IA=+YCs|fQwQ0HU2r~|4fN~265TPAbCm>@%`hlHlJF)#mYl@i?uF7L za|XQ~tCC=xsJj}F_qHdX9+ZxFB4@~64+D*Pwn%OT6KFEn)J3Wo&W#PNG=j89-2WR; zSwDIDt_UzCnYD_u~!D3@1~}fi?Wi1PLb!-;i7zFZU$PG zx1T?es7<;vqmE{ckmE-I66%QNxMfr_<0etZkc-J02_1rQ*ytfc&P`wF%mC-?)#JR# zMaf*V=3xmr*U%+k9MhJpMBJSccOk_4GjML^PBcfU=~vefoltUBhS*P)!7had^%Rq7 z!!E514U6oO-N=tUjJfG5))67Tw5X7G6bScw{bi||jfQ%vy$5XwDx+nT)nf<`rmkkM z7sAU{jZb z35gCrtcyve%cS+Vx|YEum*Y!GFm-;GCnQqVE>prO{-w_rW0;U>xp1K=KK(8^PeQlnY3!eb}OCLKX|G68~H2e{bY(a10@Hf{}5O zeEDV`QvSbA|F0kqPlx}zQ85MnXAd;7RsH`hMK=C#h;rFsRKU!!&b6^3I=E9cdAO?c z5rGihATbRizYD!3Fm0j36ubwT=vr9-mpaq5`YCn=y_`4Z1F~1e=+ua3WbEM{WYxxI zbOb>3G!>xW2w-MYR4HCzC!GW&1|qlDc{RoWiK2zD6||%P@1jgJs3W>n%IKCQ3qzMN zm58o0V6S{>)V5FImPaPv5M?UtMLhH}Z)3<@)kWFG0gT-^afL!;SIZr<Ux}KrUYac-O5>xAJ(0qB{q$~w$}ozwrRv6=j@ciBgcNsl zp>H$AIn<0vLF!Zq$OghTmH2!bDSvQuODsM)t7Vgn>Hk2L0Z2vneV0eyeOgOC{(1dlQbXio{cP}jL0URi6ABDnBGm(X%ry26{&oFH&zY8 zJYvXquy^2Eq+uzGJR08t%JNrrp!waGTMw92G~^`{AqgLhWc%eYB!DBx4mR~a4u5SR ztY_;QtH$t*bd7Ts%LVX9rz%M72r_A^I=O(wGHFF8h|Q%}r6);EdcPMkqEb|w5+S;w zKpK@^x;@9WX=cnSbG?!UxA#(Mt8sXqWE3e01-le;`?mr)Hsr<8V5=~VuQP8xgKY(MU+Kug}cFL!u0<*(_B*J?XU zdOU@Ozu|2T(eXHUiBe(YP)1Hj7l6n^*u;x?+$(^ZnhM%V&_m9EczEFwP>-ujS@gzd z&FGq<7RsqqyU?c26DmQGZGuKfE;YTYkVcAEZkXaIv||R`u)Sc4gj}tDqmr zGUJ$#HdN4vT5CdAnj5bX3I~a))E+J%nR~k21Y0h5Vk0W4^4Kn$Vt^#kec@X*Ad2Zk zf?}|lVZ-S{+f(PT#>u`@plUc>qPfeFG!!hNz>swLHS7v!4ruEKIlT4rN4yAXRMDq+ zzQhx&cafaL1U&Wb&s1?S(U4c65!z&)kEb}5Kl)eT{BiLQ$4F)T&O^=kdEF!+yWatl za-Kda(g5leeh9=zc6%KnKhcEz+}m4wge#nZte*JT=?Z;Z?!@wQ7tbz0#_6l1nm6>z zfle`XY-YxdH$PF#vlVrOn06kwJ;Ob3#bwe@)-ZGSLqeflq6SGuS8PZZp9+^;d#;D_ z@Z+a8L1JP9Ah8kd?LnPtZVKu=X+@`(jJML6k|x!UMSoe58!=vp(<@HO9$+MP8$?&8 z3kd!alRypsvBqhqRlFRKu6Rp(iqL`Vj_8Sad52e05-S|-5xqGM7gD+BuePbss+oon zk<937mtO|Ete1*QB}ZKdWJ=~Qk(^E z9e;gzy2r)HxliwXhl*#(D33)i?BD^Ro)4b-{HD*iU)H|d#Nij75W`UKJFvtKL4DYW z65soRS4bEM3P=m0_tC-u8<5|%PfDeln;BBfFIb!Tnw_R@Bia<4uX@naY|Es9R`eK5 zJyTYb-Hc|b#AJzKQ$R)!LzXYba^|3lA-i)fgD|qm^k4x)`EXC=N?yn73pVu#CuXz8 z@3T^;J&d}P%pqeu#8lRMAoV^fCsZDq=JZj?!B#(MnSUv`6BC4oP*0?=JWje@r42mz zsoX_xe*dK&NHuu^%aVp&$CFM75@#fO@S!2&PSkqwVHIbGwWS&8tS{6PW8qjFH`f7fzEj$NdbDo);6 zr=T7j*P;boFe0cU@m$hTg4gjqkOQ{IskJWw64{7K1B`gw-<02Fb;S}L7{E+WyQKud zh*%ww$gjtw|U)6(4M7{^6uZEhMT-331?`?=_$Ys8lP^luhPa zv*0RXMTKV|cC?QE1-fotefD0@k_j;d(jk0!vmRH&wN98FqiJ=`U5L#}uDGC)ZaKbo zL+wIiXmPjYKtdp#em@UA7=Y4|njD~aB{KO-nzRP>kAJaVfEazMC6Ir4S`uaT@8 zFP2hr8%Uzc(4dBasYhs1@Q1`kP5n8hCp zCPfmaHEMrMI)dIqi)(CW-}$>PG~!AAfCfDvo)j6=5CvoQn7uyPQlKoCW|Auv@wrvh zk7mkxOUm984;9s6CgEOyk7@oS5Dd=XN*b^ZT7%+iI?`k)#FzNoAr5#tw(y=63X{eB zVHPr%*91~=yc4LS$X`}K;{3&t8hl8jX+9r}xS+Q<2cpa~(+CEYaTCawwh!0SmB2ps?phpk$dL?#3Z7%VFPS!c8n94Dfzxs_k5aCoVm<>o#TR>K(W-h}Y*Rd8u|EX>=UJs%tQocgqgZHcO zm-R}0DiQL)!vpQ+nNfV14OuZbqBSGomE%os8jBQ;wAzM42-2Zi%$>AHlR0FC2$RkS zKO;F%&UwBS@ZWVtOGDMOs*E+|clJ@(kGPPf0;f6ZZ=l&IulNuz@?!_!FUdL)%Nblq z>%YcRmDn+zsl<_b$Eu^Mp!OX#9dk(JJAFMe-<-$iT{-1_UCd0HJqybjFmsaEU*TaA z&V#8t)ZC4>$_4vbu8Y@nLagHH_}UDyEDtBhPf!NV4GDHhj?3{Si~w&Vz>RshUwjN; zA}MnX%ThHWzJ$hU>|t3(edBL_rqVVb8@U*%ha^LiH5%bR?uSzxi!OJ0&8{-0DN1;Q z)IjrKIJ~mfG9=6%Z+Yd53|V%}hehZ%43C~M{b0VC!ED=p&pk`vaMAS;>+4l?At%hOiW!BmcP z39q}JN9{uV;6mnrO3Qv_9U#emt08L#Rm5*6u|FxH6~&;oi>oUEX{QH#wOvU`^d8S~ zPB_O-RFHvQPy>tzei{_#ju+1;7!k$sjycLl*KT)TsRz*(((omgmt9}?Z&dv=f>G0Vr4vhQn{R~I4?Hxp3Hr6a!bN=>r zXNncQYDEv5wgHmLD`wuXxINa?jtM_JvQg^ErGD5arXxrLMBN`j#?RT6!5We1qy~q^ zvwG;}7e$NZd4z8tm`O|nK-zKl{k>Teuv~QsWQmRRJ%DVma`+Pf=)0C~X2#98mY_sV z9-Df+kRgYy+PJ;NvZFWQL>2fXh#9A`R@!oGqp2^o!E=Fe?8kRPmRJiW@Tmb=F=q`! zX6Clt3(wU-pGu4BVMKgR^u1*s<<+>mMn>8Im}0 z|HH|q91r=*Y*}S**{hqqsq8JfX7hkV45uO(o=`_pHXqS+qz-&%^EJ4+Fv)L>ejln7 z87d+%U&XU+!lNEE^gf0hRuC_`MG-C0BC3jtS+UXf9;sGQPAHS_(W99EQ5`OcY$Wb}U4zxLH)U`?!l43bS2nd!NV(%0W1(dTXSc z%_vp}!yHLbKAwd^rA0@xoDUO?t;K&!jBY7K$pKj)?pt^15s!VOzoOCeC`}~udlb%0 z5V^BVk8aIU$KA|Iz9ON@fR|x;ueE7KNhNk(G_W`;Lhcab3lskEKlm;Y&|9`$keZ_; zqO*r_Fp}bWq)2a+0f`2>E1ZZkI$?&R2R zl3K_WfNWM=FXyGf)qtxHa^c&_RF%L4WE*R(Y6nw()UPn#dy_nzA<>OIdZ8P0?>4!H zkzCr13Kzd4c8M3QMokkc3OXZpT&NzwPo{$;ck8vRY!;I)%L(36W>&gD;;m*M4Der) zDJuacaXN}aJF!qY@ORX~CsSm*-!M^>B~TRZu480YpnxZY(+%H&J(vkf4kz;+wn2u3 z$a5Fs3P`Vmi~l8AIZzdAyg6#fZdF(eSp|OGn|(^E7m{lo$=7T$Xebmbje`GZ=ZYPI zZ#j2?)uxSQ$mX>q*Y~Q`c$|BrUdt5?_%JrjHbLSu+bPO@ryJR1Y%>@)mV$8uAvBd1 zJdCebSa0{CHAYh%*vB%AmDiG&R58@y^T_FAVJ-)+%N3IPNSqpQ`n8A4M2Sl>#@p%? z2^X&?$dIMuyUG%pd z$^>LH8_{8bOIv&jM=G08AxpozI}vp(#e$L7QiNxk)98%EXnE@t&@AN4gFj5sZCovJ zNm|>Zo!J0T*^P2(*~SgIxaUY0S9}dd#=Vb?q%k+8t9ugc4$h{WDOiK_cq$y5i<{8-SCv zG9=N2wx$KLQQ6`VawW<$@=*I9_$H7(J+B*~C#P;j{Ow&1={_h8vO)b7^I%Xo{vt&p zcGO!kqLpjV)L_iwd4xYhBfC*^d=;GP) zdnMVfB_4yRi}fTjJRwWa&4pdQhXG3fZyr2l=`&>{*sGG8w3IyqPee&JSPB_Tt5|mx z7?1BOWG95g6LKJl-FO74W;~CB*#yXXT+D;7H*q1G*oa8tV^0@{=`aLP$qiN>tPjKA z#RVk%VGbsw{^mNi!Evux|#VyGJ z9pr&_{deW65&4HMZhA!0m=6UT-+-%IVA`$QCVkY%8n+cCFNL~dlTVVnp7`T4`J||6 zhucUM7re9)qn>e=#}c<+R9pGHdp3?ZGkbsCgz+Y5ksv z&yZ~T?tfsp^u)4yUP%20+PyELEn9N1;j7ON=^ zmW(ZK2Vy{213Xb`8ZQ*Y1;=QphO3l$P1G*U0G2P*^b{HdjoX1M777wB%Jjj-IWFS0 zqxaq%f0pXJNHjHUP%gr_DvBp3lVQQ_u%JU(TZd;k7b2WBz%EMEwEjVVb; z@uA9js2KeThhPdjJc%gl*Uzp-9lPLk8ve4h-xovFberbn8lp7zuUuFHASw-sTk-wU z!;Rv+FTfIZz}us4t(R%XJoxce1;<9>t*4ki^X?iO?k2V>3X#nRS|QskF@=x5y9tQq zwX?9KiYXURPqbd>A>L?7^2F;Jf6ISSfkjjXm#()Usw=PEnL~2?zcwZSLCJdhPB-`| z`Qx*Rtr8WDRYb>qa(4+$(HF`kc*Q>Vjb;x6xERBzO-pm{dyW6(51q#Q9Fb zNWe>sao|0u8;VPxBzejD6)XtF41VxouGn0(pR;bGML+q~su_wteM|{~zm$8gcCE)P zaBl3E%~$O$xny{?2Ald)m~(7c6Whu$yPmk2nL$E0$bY2JSIzb~d2)Pb?mZNZP8{3QJWn~rNi(SN*FvDkVX@+chG@JI@pwf7#63$J!?=S6Y^L~qk z_3YJ@awQGvd7&NgrOSb1*;aB$9(T#RyYSrDjrTy}F)S2wayncZBR*Q4Ryc6PCpWg} zPT-KjHD0R)YZ;6P3$>&H2e5%qv;@J8qO<-s80}TCjAQ3| zIN27Ttg%2OOqQR6A%KQubCx5UKkCMIFoW3h_G-w|*xjf+xZ@? zZfcf)h^jrl_U{5koI&{dojW#BpBu@z(8XJ_y{GgXi2Nl6#CUG(6T_2OA!)X4etI>8 zjOwOJ+)D1++|C6o97~?^P;uMa?F_kteI|WUF2$V$IYPSbt788%HW=0YSHm<&=%x&a zt*0~|e`RSNv5YJ{WDW+wvfTnqJl^GqCnj%J2>Hdk%FH}*{jXNr1%_+ho?>^eB(aKFO z;`1EEZ;;4dykAnfiuA-y@I++H`l8FG%kQfhjcDW0sAgc~Us8_-n|{6#{snV>)z5#_ zf(bf&8_5n^q#1;x4ZOYhTX$2Vq#f65=41?RZkOp6ir36*#8-H)CA$spphx=01|8>8;*)JJ zx2sz{GWyWy)AIMRM%+07ru!99I(a2=d=r}0OYZ>yw z*4=6-$QWBT4pff2zSuzPWsoj2+s*sti1DPg_9mAt0Il%`hg^5(nr4a8_y)v8e@4t< zP&{LBfI^P)Sj$+5&i58)evX?%xR(9;E&r;s-fOkdnp0?37U6p&CGCl@nj zVWU;}=p)TZz#BO!tIFLjW}&0;l(8IC4xj|t3bWUExjF^&`~=|)c4u-q)wP_Db=x)J z1QG7?qMN4nCO}#_D;uRE6U<`LZ841}K2gG14aVIj!nQR3YGoUeA@MyBHr~0p+a)qM z%E|xY8Jz4%gQ^;cx22s5&H(Y{s-L~Oo6bf0p#7Y z>#-Kd(&Ll(Tib>+mqTj1Y!;kLvVMGj#hbNdPOSA(FUNAPsN8vXx8z=J_~j^`G(>4f zn$LZ32zHqR$Z>4x^n90z_yh4}B)FMeg>^&W3x}WF-;OT|!41_ekcM@|Q3{5Gw-4xH z-tL#<#l#+`gc?(>k=H3+_MljbLsTvxMK|{2dn!>g;k@E*EE*>YiGo|UwXj6tQ|Z{v zi%}L=#JWL0;e8|h)O9x(HQ+UhQv(FvogJj+R!JJGI@0r96ocfgx-=B{YA&>Ij)@?# zl`4w(jIB()>otlij%vj?@Il*}R4v{Tl>o8>vw#M+Z`9N2h5>#3r;BS?YzJrIwE9LiLb#}PS`|%Enn&PuC1U=vQ z!V55Um12ihcF2NAd$=QOJQ6R2Ji=Vaked@3m^$34s-krY`914d6nQO!lkg!Jh+cY} zA)oVdZv&N5;!9Zdw-gvK;o;CFY4yN;;VUE9WjDHCQF-rbG~nN#=wlfrT_6immBe;g zHWTWEaUo>IjB)mOxzs|2XS|rAF|)Am#R~x}Ak4VOH!3#al#!TZH~ODn0}ssR7qJZg zOEP3s5wUnA57+nK6Mr=CW~)^kcjVI;TxF}ibroDGzE$;a3&*0?&Ay9NxO1L`uJHSI zVxvULQHDpo`M8Rz{`=)fz2r8RFOf8RVGToa#s4H(y`8N5DC4lCd+S)wNGiHQLA@!W z4eIj_SNtFDg$VnRqFG!>5&U?PUw1w{GLL{sOoog@jUL-PmcZVl@Tj|ruDHa%C2%aB z*`y99MTDAnOi>|NpUw7%v;Z(&%)~&+D9+b^TH21b2xur#S1hWEE5@nE&Q;v*` z>PR2X;2&$?TXJwTr>a~`-y}{yP?awAAY3bYM&gw-kwkDYLUHI#G#y0bwXg-!2s@pF znGU&RtvqVS-=`(;^ppTQFN{ok0 z5ie?$rerUW$x?}mBZ;Y`rM2fEg*vk%#k3UAJfp8%dPq;212W?nSfOO|e-bWxMFh1frn46U>_z5!R1P|3rC0T&P zh`lVz$PCF4L-Q2>wWU%rI`$bPln2N;X#p3_36Pvj37Ekf@pM7OPEWCuZbx;xujS%~ zq+l|9$0uFWMySCrY_H=2Hq$MGmnuOc?2G4M7^FPgiL-jD-ttH#6CQR$1@1|8fQ)Tr zX4C!eb1@!GO(_BgEkxH_C6uQMmcquA)dWw7gT4+{&&bIbq}J_*`YRz6f#*OamJg&V zW-w_f&cpFSCPK|Z-cn>_0LogFtss+r}7Cw7zB88Pc=|qN~gC1Mltv3S_|M-rms>iyPjCEokDKH_Cw|cOH!Y+Ih z;DtJ^Bg5EW9B1^PGMUVPO7IT#3|yL_Zk8pPV0`mUlu%77E-tMHO}5zHj;N`cEjAgu zA9*`{RoOhIatbCbA$rQJP(Q^cg$8yh5iuY!dk~0;JbS(g)Gh9Q-smHg6pQKsgJ&V{ zoRK@6DnK593&A@l{)nxwF>Nhc(@f?3A1vXXim56y6jYVFwgZ7>|X9z zpFrvIUVjVDb@saS~wMUf*p2vX%$i;v!~-8f9E8b8!c=g%Z)*4iBbod61<_ z_ot&6awcU636LwINNJ$hMjpu}jhH>WPgvI_6rfx6}<=B!$ zCB_EiJ+Mp2GE3#Tj^cKxXN5}=xnv8Zi&I(&=f*Y)7tH>0OT`wJ1KZ_NAVPjP@SvD$ zP&*82Gtd8YpEg{XO5Ecro@td9Qx#_3XVQ(FmT0&x2ge{wsmvq)R<8M5BIWD~#zP3l2u-sR1dn@Ws44AE$t) zs*Z^9`DBvuoqvg9^a7Pl^+wD{COU`MPOKW78p#vyc>wZCm#)Z&M)Tmpju%-yEt!>i z9zzZ>ZiFfz6t})5_ls^=ygmFKIgCmx|D!#De-Z+a3+wUyaI);l1ZDE84N20BuZkEi zZE!Irq`|^qyL2n40b$nV2SJQn3i%B^bajWIU)l@iSdar1H50Gna2po6SjX4lEA%24 z4QgWHGr1xKSvE)zo&NHW_Zq5OGOdZKdG0jDUzjRhdt5R56#TIO;TkoShpkQgkAduzbs1fQsTnSr~%u4>t4mdZ(6>0ns3@V_Huunh( zX3uhk*@}OlMnEN5=QaZtX?Uo$GKf&@bSd(HoQ_Mr1eGhjbTlFH<_isN{EBukIqWYh z=PRZX7k(oh7cSwzJ83P4aBy;o%494MW0zcTic6_N1lo{tW+uN1QjVTM=D=aqRXjq0VKRqzlO5i`ZY<=-`3!7Hg+%qUZQE(J27kRu2Q<&pym(aM= ztUwd&vfGtlHjflEIUW9zSId@S$a4Oh(C3zlRqevDq~hfa`+qQNCn&eJzFuMWtg(e> z>EBz681g)7CVLpDT(M$a6k))?6wZ2VduU5ygThx6twUj#EXx78HqJ9KAt@$787Pjz zLf-duL#&V`A6!5^WRs=p0QnU0I*vAlW@rk8fKlAfRk~xm3n&EDjw5Eu6#7K^tTV zC>W6%%8*kHMR`v(!!9#$>l|UMEg`xP9RVp26OFK!;avw9$9zYU@!X4dF^ttg=s3&+ z2!xPj90fq0hAR>#ICA;+$B;}0J77O zR&I^i0e@+&jn;8&QVgu)=Ql#X5>&R#Z?aM&T8aj|Ngk2g?ebPCGWFqU*%yr8yTMI> zjH2!1C#_)lZN|*a1zAk-+AQNwxxAd6>oiN8rmC4|Q)Nm5MkzrG&JFnj96&?km+YX* z$S%oI1`x1|uwAxG2xJ775Kx&}jd~bSiWxtT@W~blggvDvELTv^R;WiIOa_(Mq?7;> z8@@(R`R8WTvn=P~%JM6euuH5epfbZ%kR`4{T?D&C0^<@l-rW{^AaN;GMylc!5>tXT zp4}7xvXaaE@>qgmWO1stm*1kkyeWIH~w~Fb=08N3dPSxS~K}(a756@N9g!@$kN3;bnysNxeqna z24ueBF#0U?D4zR@)6I6-grx{n z?h|zwJc5L@6T3j2qLhiH!JMvmZlwFGipVaJSJC&5Wc2(tCw1XcvQWjp>fKj&Fk~fI zYQwfvVeU#mrsp_-{0JN?-hMeW@#s$|4am|q*9GIJ9Rg&;c+EW3c{CUYT8;#$n0sy~ zq{v~G2P1MHUQf2W5JM3kHzr;um5{MYkVT2-O~-~^_E9blIL2Llpba6k#~qhYs()&F zQ#bNp$~8wVMBc+XjtG(}u3EdQodbT3FQLE0YnVxt?{epf-+3!Pp#bT*5;N_*CdIXo z<2}3s9a{?2$61C)eh^3WN82`@sFaSZkgjw#@1)zxa|W- zg7Jp&5DES@-%Ky0gj|kJ&7^2ubm5-oJlnbb?|{5`zGRBt=RYi`IW+NdQpMB)j?FNf zvn~k69Q6IwE3`i)SNj*<9R~IYKhS^l{pxAHf7ji9xTz%69iQud_2U}&cf)AhdZY}NreejwKBP&$EM zy+sGVZ3~0rUI{c_z6a+$rFA{|`h%~uf2QT@UtHpcRjak%PFj=n{pBC&km_|)pAQt-m#}8^DnwJOk#>xUyB*S<^IzaP&pZwrDyUl(ZQfo|@f(!sgSz{9<2n+{Tr)-$Uy zUX>ptntkx8{hnE%@4mqQ@-aUcou~C%A7H#%Kd_UKj|hWKH^lRyzY6_HiVs55og@vQIPvN{OW$-e$=nf8CZEeOYs(BY}D=?(XUe%+QZeELyeH$NTvpM4Vg zo%4g>lA~aQ{dHLQM(BIL3B&3M(DSlDFBltW2_8TQELHV zU|PHh)plxaZv@F${Xb`-7Q=sQ|X`Mbc2>vi0WOM|&rCSGbeW0Iv zT?Y*V0$sUC2Omz;K{HG(22(cjfLpKi-(C##Z5aEyIHvWO+z<=A)e)UJ8PEYmy5}479DZb8K<8;$q154~*R;Rz#2{R%!S8WlxNkj7 zx*-gUf!{9?1`DB+>cL_7*>Qf*q;%MIo(}#5*Vl71+-#j6Zuu|_+G~7$(gLkp7lq*; zKJ~S+Akb6(Q-@Tg!!z&Ey6uTDRQGDX@;}3H+Md8~xFzs&XT!YOwV!*g?++U4`};Fm z=gKfV?;>AUr^B%0V5qm`WBLyX^y*`MzxI&!--P^=r)z)oK&@}|w4VoiXx*v(TMzlZ zx!Bha&h!1LHCo4}`?~FB-!Hqy*Hg~bdY%Y^o8Suf!ImCq41!yS24T^lFcb@epzpvS zFb{>{z!$>6fGuZ!1v@=A2sb<&glX7M#}52pUpZ5Q@clWMnr8*Unb3>6Hw;p^%f&&E zHiKYGE&BHj!drI);g->1ASei%Ux59;6@;PzdU`zwuUsF7ja|^y_8_ePR~XD(8U%Z8 z4g&A(FuX7x1|tfBFjW(Vox8$tJ1@Iu1Y!GqL3r#XL0Ee^aNi4p&;JsHO`u~#c@PYq z7>3@OAbch-2s=LyLj7S7G&~*zHK{QCU~do_?}gzI%)$P9gCKbf+|FxZP`En`6WP5%`W>hf z^{<~LqWkkBqjvcpPek?BtLH`chW1}Z_4MVi;;3JH7hxPFS^tXd>zz1`aqVy z^H(u$ARl{(`v-lNT_?~U(v2L2fd7v^0Dn4^H}#G9k&nS8q9XC@TYcbM+CDHcUfN67mJzyz{;(Q?)0O%Q9t_p;H`{9 zcRcJw^4+w-hW!N2Y3EPj{uJK8{Wa~M9rd?|{S0mc<32hXQH>7me_qLS1afE!`UcLA z$6?xI+^fgfQTdHnlJTcJ%5EI>$5o(zpxY~tav9&<`z+%Ibi*P&2J1zXb+$0Q_HigOCoPCaW%}{KY`=>J@m<$Fke!y!8|93nt6qw;y9$c`u_Kz^ zbjRFi+PZ%|IeISksu8j4zJ5;B-ahXf)CXGJ7P-5?eR*;;esi)ss(1f-QuG|@Ga@mo zvf*rwLDepZ8}>oB6-U$9+26+fLejipft#ETa{#cc`URVzPs@@hL%{#Evimqcble{cxXBoMD1FC%*1 zhWYV$OB*GswTt%$Y!3AHPuPqDdi^Jg$8-PrPw34LiXX4dj`xTCxckA3cFI2g#W4|I zv{B&h2ga{|ji4WH`Qyvnh~9twI311i__joZ`^FG68t1E`z9@$j4l>vs&=pr2DEs!& zIazu?dRj*OTy=Idt<;5!xgOKMAJgeql{!&BYf$9AeY)sLL{s4R^((;-mYZuQfj*Q^ zEY9A)ytN%=ZJ(24azAy>nWzs!8eR!|4MOXOm+(EH3tn;fZe4SpjLMJy0jnVT?JdIQ z4BNr*j(jYaLBsL6(R0oz6$bZ9bZ1o5|HC!S++SUE5jM@heW(wDe&CKfNAmOTh3D9O zPd>d9(Nz#QpRDBP!iDwqJYHzGtc>9n(9iq#<1#%pnV$=c3;sNvaqTCcWW12P8BTPM zLtfnPFLy?`@E@q2)R# zFAn|hTove=xF6PBlM5LetqDxI3qyN zIrD`eEV(8KT7K{Q$3E@{TM)c0+~@n=Y1muc7zWM11PS+O|H1#%e!4~Lhc<+MdlmL_ z`F^;|3-#&CegCKFAXrrG`wOveJpXLp-?Am}tv7VAX&d(Zj|E}>XF`8!%-3Cyp}ouZ z?`;nJ`er|jmxsZG>w=(psvo?()c4!%z+W|72ft_!{iE-K0o~{amKOx+MSgHpp7zhU zGxRUIPzMDcg#M>rXg#Xb4=WKwyCbx}Zy$o(gQ5Qjg6XMu`+6HpQO)s#h9z2OTEd`g z6#`p$;rqL_zbY^Er!U5Ow941RKMwT#ydZeur7&F4;|C*}!k``-mSNa5Oqqh<8bP@1 zM4&z$d*R(W_~9BIl$Hm=buPo1a3>Wr_iM?{rq z_ro<0>tH_Y;q*s+-yI%=l||666^3)M!N@yJhvO&sx@>Bw zXTi4npB3n1`f1%iH}H?S!1v>W{2+CW_8XQ4I&}^i?Sdd8`+&;O@}YY&R@y5iq1h^wM5xax|S`9L6OFd=GvRh{2QL@;U>#Axu5MX>?L7}_X4BI%;h5+%txRfCVQq%oa!OgpwwYnpSforE5o^Kpn(-{vhZV*8doL z+uvdphK}?fr}eUArK_eBeZ8J&;wa`dMM}Hij*}Mm?zZ0h$RZg zGxuLkRBbbR>JfJ*Fda{T89pEy*98A&Aa_8bk#~&w!ly*XrlE#^hB#D-6btUc{sK$8 zMU)>)d;;}*+E`5U2yqayo^B(0(M41S6Am6>io8mcIE5+3ggltS?^dI(2aITZ2sQBn zP8msTqW1R(iH1!?Er=!TN3<^*MwHV^^v>tRKRrd9e-3#|Hqo^?P#4T+=tRunEO9Ef z#|c-E@d)t*^1If8ZYMsynJ9KG@wP)m4)iO38*^XB>ZK&OlX04#O2prDG%uY4o|B4h zO;P$5%(4h(?@HIY1jlc;Xj)iGbonGxV+ybVB&+r@)Xq(cd>)Kf%4*dE>`N+hWe(~3 zMg+5VrMrcC0)nJ3-5{=NAUeH|Y4m+kLmHIsSWPNz z4e4DQncH3mGT56|A^EVYCh!` zT36x>TmOk1q=@t#z;7kHNSy&@kgx_Y@ja9}e^To3en8RF;WGC?wHLv>Q9N8kMv?j~ zOkyPJcR-6nHlr5*7G?xjY6Am!(2up5%DOfe;o<}4W2hg`muj684GiHi>oF&Q4eVr& z`#$3SLDubnGvoh_S|2s^=E=;rS7>dF(L8Jtu!4WVT)nI>0;)`VO><9#we8F{VLk~6@Ky_>R000*XV%H*wcZck{bO6} zns=Gs12)jvh8*G})<*#m#ta5FSExB3p>!YQ{Sf#>8JNd(U=LTBlLxTg0DbA$ ztM#zESW~&?9dBt}0PLV_Dlm~Ut=kr(&k)T&U&cp&}NPrrCjM3N~PxfdqsvVEB1(8E6-j zk4GLzxRte$m+B5j8N49;yIJ{L%}OOV_`#=ig)zHU=9jR0%`ZXO*i#yjwrx3JmWU%PxDoPj1gquoJ~d%zvHRo0)J?hT_!QGHs&!e1Rb z_ncC=2g&WqlSDqn-f~Iy%?f08NrGtkMvlRDaZ1npP81&0Xyo?D{^K5Oq40S_f4w35 zn~9%2qWsh;!Eh<$DbxOXJBH91TA02wWQ^{i3bQFJN3Qc6%Q~KHD))WO9&u zVH(^YQGNB^>ryBMQGKUs><7m)-qgXOtAGSt#oXlsmqw-{YSdDC3w3ZHI6? z$``)YSH>}2Tj6%$V8gk9d6BQ=M0Uuzcq&RyVc7~#Bv4=|GTjLfi4dai^)sIIdY z+y)y*`})nsHYwYl5|nw{MeA^T0DV5u>IFMjRzB({^N#7WA|9Us^39OKoC2)}2Vy_i z?pa$bZENL@GLe%R+IRwl@v;P=64dD4E8jaiuhxlu;342Ttp0!Z1ymWT9V*?@9Xr-z zd2D4zWnkLux)Bv6)Av;EDVJ{X@rN&faKWwjUdQpVk=cgi8nBiBuqpJwmYa9M&c^x) zqePq$Xqb*+$?zR;Nk3=i9(aGI?9lTe;j^p?myB-&b7%KbZ?<1(UDMo4(tmzo%u?Zt zkB@&&`t^6+D3I^&r!V5t1O1Gn`#{;}Ke`y-+4$N1V)^d!UU*xm)87!rXZnFXwp;j} z<0o6aH2B5H-g#{unJDdSe_te5*uJ{cZ-B5BytfO-Leb`buHrK}YAdebIN0jRl4D>y zcb!CTsE-?$skmo|L{PWmp1@Hg^)vosa!oC)gre=jFU1YGG6T3IMe68(kOXbfMoXwz z+@debF-p{@rzR;N>bG)LmV+R`SlNCB<1=0lbU`ec!Dj3~)@j)fWPGbRh|C1@Y3v3J z1$n_Q4+#x4?UTA%yE|N^XwuMCS93+ZQc~N;$b1TWa(2tOmRae6x{=$+KQ8KOl-J|( zmX*2lT@-DKL%un1YfPTA6MN0iz3rFbnbp%+>N^@JqlXPJ|i*+-j(8(xy?aBrFe=JUAZhQ8QUh`h)Pdj4^-w;lS?Qn2xmSMLLrM_x90 z^jNUz?9c{8am3l%4K+f$yXWBsfOW`zIZ60ps(SoFt(@?D5FVBjn%mnh*_Mtb8=c=f zDAXIggIf*AGhR9_)bdAwSKw}=IeY~Cy1_phw+Wi#oyo^#9Jg~o38)T5GK%Fp-pdKT z!HWw2-b>xSuGu2TH@C=^@iHBk@?|}S`Ar_WY5Z^-ZWQ|vx_MIi<4Ly2;jH`J1B5@? PHe$eKB)jH0VvPR+HD{u^B9PvmZ1{z^ypoj`MwbUEYwg;pTEqWs$ zO%?5$5FinRL8}cu4^`XH*6VPqy=raU0a3XI9eZs>YwP%awCdoaqK0t)-&$+$z4ku) zoH_GK3!QI%v(~r1*LpwqK5M`JFYb8#n~2US5jA!bc@@gS>;IGaj}kq~Y4{ED%5NfZ zY3YiqybuXpMV^;U={!TVdFszfy+XmPYISn18V4fnXbulh%Gq6V^2Bjhzv7K||WWM-1krf4&AkL$n!m1SB+U03`-rlG~gPP#zH z5G{5*Y=7`67gjJ?e(7_224!urBOI2$;wV=2Gj9~pxrL5QuCNh!(#=^e)&&b5r!5KpVac7k zfl#C=i|F+G=MmM+3Pa5=p6&wm3Z1F@)Y<{vn=h-Q$`+=QoW$y#5F+m{eWk&TzJfLm zwh$C^KwMxDR3AnqsTKQ43ZFLAJ&tnm>g$wASochZ0$PSZI0|PGKvLaW^?Rqe)mJt} zDAk@li!ta+8x@g%HF0D;hd7Tb8o|P}lEl10>e?$4az?ZnIXj3#Z5ZByyTV#T&WNg< zvqgliADZg%&qqU%)Uzp4CN|MF(yq4LR!sk`1oM65Z-RuP%Hrm%L3^Bp^lXxm6PzvhPX@Hk``RXnz=8la$1-Cm(&rnz z{P3?9b_B@?ECo6$0o7Wn4Xd@%66YwFfbIuJElzdRVp`ycWV5}gX3`R6sRaJZmcY=_ zNSUyaj2w-~;yALaub8?>{?6C$+h0%_%BRqBXcG@RfX4#5XX9e!zQ4@EL z1kplo;ga1Vf+BK56EBb8dDokk-s$9WNu3nc?!F68>^cKGnAv=evr^bavLj`opPvoj z6~m|#`DGM_Bz!@#8eT+7$L!OYh+52~4w(SY&nh&gQ#g}2_#TCdXd4EuHENtnIx@`B z&xkrI8KEERhK<${NtcCp=&ztI#5} zuTfo^H zP}(Di>^4zFG0HR5I3laO$(Nw{QKDw6F$p_}FJ|}r42H{`Gc0&eXE3y#b0)5-cTz0t zjL?=9PwJrY!ZspXfjW}`_3NC`mwiM$Sc|}Op>R4vkI~Tc@1zVilWW9$T8Vx}cBwgh zBKkr`(a(h9fL)P#9rY7dLf#nUR&I^9f=;2&UB6<|6ho|F7^;ciny`Y<&^37I%Wv}~@KWSqVPZxT&1&aNw_|Ev zoH*%(ynsBDvuk8eP$A%Q_K*LJMQt{AJlix3%S4UH`u?|n9)(+96L~>5>H1T#27H^s zbf99MWG7~6yhF!RquWNqWyD=p;~nZjY^rn7SW+G5OUCus$o;H?ks{N5Sa~Lpu>f*L zHDN-GcM5SEXe%!@H?53|{SnOCwfiyl6OSef3ALOtwjN-g+b*G59+pD*0mu5BosNS6 zHVmI_Bb`0`L5-D3VCAks73=l((sPf)uSyJf&%O++D{JOXc@-yjE&5rpZX2p9=AE+T zV&}Z^#FgnArN+~frl@%SNcq5Qlh%^h%wd_z>qZUOpFu^rRnu-I zm#KEO8O7QLy0IA~l3i+CS*gnKv!dLXFww?9m186?dW$g(0cOkZ;IShx|y7Kw>u8wwJ278gk`)p?4k%^_#S19#js zbdC^N6?GO@jgOoKq<-v699(EHY2xfQfz%Ys5<8ms%>*|=9t8p;q$%f2WO2@$^wWLzScK+Y%2Ns zXtFiY)RN6aMy!_x{lcb@ktz;|VsdM0FZuL9Yj!cmrcM=eSH@d>Q*>RY@YvX`>nU8f zi%1x{RX(wW70t#0*fuNIPK-sS@sDQC{ftQApZd=v$-V>LPA8B@e?cN8*(|1n6tjbt zAbBlvro6S-llftQ3RM-_KcYs=V!xM=S6-bogSB883RTb&lCm1nGqtB@=g_AJ>wUU< zo3e@l=N;#KHrU#`K_{vOKQu{}{^bzB#^M%uz5MRKM`tVG$ctYuZ$k-td!CTXn9b91 z5KEx=`5ATTJs(yYMo=2h=G2dTI+-aIMDW=jUbT8#tGVj1dyWh>c$u-&#3O7nLpBA4C`4KCUcT%M7M5< z$P;w6F9mWt8>kfvV|H|*&NHr_-+kBF+MtnC|D1lR}<=2X&N4H(nOXqZf^;E_DB;gTV_wa>8 z&runM4)iyZvbimcD6iyANsvlCYc<1terD9OMue8iID!1s3Ga(Cq}G9xB=c7Eu{-K- zknA%E^K!+BCe+%k_#?0Tc!VO1>$vX7>b*k}76wyz{&V9VpSD87l9Ib{SR$rL4#Xfd zBD`9h71&^2j=Vc5EOfew|n z#nO&dXAF(GMgDMf>B$F1i#VWVYg}rnlJDs{Lm<+Gyp!|;mr>S%-qN|>ddIX&Z;jUt ztE>`{c+N-&1`*f zr%kDsRs{uA05uZS^uVztuOpRbp4 z)=qIfrYm;uI z!+TyEewKxS)IjN)u?8hCnrDSb3~eh*dp}T)MOT4f>fLfwCS-`VHFBK+{H`wAM#_c^ zEp^MK9X)Bt#X^35W}&KmJO+vhsqe`YM`7H9!4?k{U0RG|_V2o+91mE6&Sg#2Lww$- z-)vH`B>M$XxonL`n{lzTsx{Fy;hgo~<(%2_8PT+|d7ohT^hgKWJJMxK5~oa1uX1S# z9#GfiUn@%+m8^Ul94|C8=A9Svc_Y6!cTplgGtzb8eN(NEKTkX_t+P;QYi2Wq6F|vr z?6tnsR52}Yc?~w8I(ZOiWvW_WMB0oS`SjV(fQK_|(<<2*MS0 z@KwRJM8YA&XkkAKroXoX!akkCVnuZvs~7tH^a`28cDy5!J7Ri?VXgYbPZ3EeT8DaW z0Vb6g8X7EM$`r%Z7JrW)N=~0{$9|@nJ?*g zoMtHY$&VblcVjif*~-pF7G$L2fcL!yqi3^oCp#TN+ykz`s4r*KvHJk;zFh)2yXV+R70l-M)MXt( zFo@@8qcFfAwM%ZMh75_~D)PPyg7?kdiO4c$?@6s9vjv$kvyL8afKdL{|3Yh|?terN zP#J-a0#&*{mTMR!&P+^(?+Ky{$KiP14vwTWj8+N12n@N#>-aSBf+c%R(SZd+4wJ*p z;45l?8W<&!7m!&(51r^~`IwCA*?eIf$oM{3DVV9wrZhK3r&1YgtwJ#H>iYf0@|b?+ zX*$q=k!vw5CPr8v;AamH46%jl^NJW{`X*oERL&&Yh)Uzlzqw5cDaB*b?m)Y;I&7uk~#O=;vpiUF+{?sc9HU zkA`k#k(M4d3@js8^ZSjwndP@8g6fHJBXpi*vyG6QEZFpc*cJK({H(MI>0j3QUawve zucOGyR7hD&dlffe@rL#N8yl;O_{@&mz}h#OUuj9*sT8w#ruJ}$=l*o(Xcv`?G7YBIYV=f>sTH z1-n*sFvWPNvlR3@JpW5SKP#}D+aIKA!2D-^G|CVP0!q`c>PvPpOwhS{u%kLNV<+n4 zl!qp@tv1f6=6TYLjYcpy`2t3M>`V3lf$@@ckPSK>R?%nOKIIUK(Nd<)AQO<#lJPUT zOUmaJYr52kEI}R9ze;uHGyi2hdPvJ^2#UhR`fhVdC-9;q{M@oJSzdwuFwi0HHQ^g7$`1LLxLF{>>fI_8m9M1SV(2Pa= zt^6DC&cL3^UF=KVT42=gF*Ehn&eSoYwm)xynKUWG;YA!6aB}mYK2>!iGo7h$0T4(Q zRP4+Q_RY<=`4UOktoLU+m%27%^)ziUCH5;75u?q%Z2+k@?_vyKK1;jiGVx@uf0mCZKOIos_B&&9*!`WCUI%zujGVI?@sL=NI%n%mr@O^er_Fc=CR>Z7O&T&@T?>|#_RiF< z`5sHmGNd`WX|F<5Qo@STxw2`nMJncKy|tRiWU{r~+&S6S5NShp186fHH`2Ms(W$YxY?&IB=qV`z{$3osa@?1bjY)D2vV+ z@0ix;W$qpe{V6siSEw`rcOVU*X*c}OPRZjmeja|7 zV5tNaxdfJ)*&-M4lKJ8i7%C<&QI|?!sRSNRB@jPAejMdVK92ITl$E6tSSo?VE&=x( zXR+h@Z)9KmH_Gc$R_9#;ONUnTF2W`QvWc>Y1WzrZ@yDHMc@%Oa<`GA$2XXT-`Pfsf zxk)Z59g;4M8g59q0T<6cIdwUBkdzH(L&^k~DkEDgkAYBrI+Kf%=A(K-d{iUL6<50+ zloOqQuz&t0{+n5t*E7$h(QSUMB|p^6&)VZpd#QXLe|7rU%aJ^qNft*lvd2eUOV2zX zAN9DDi=`4+fD-u6-0Uns@|R33mB3O7JT6LLX(W1#SEE{-^xN}jYdmvQy$BIzH_;L| z^9lZ0lkm}O~|nZ=TBlSVNI%vza)X0u9? zW0ZITGB9J~jP;ag#8+V9tKg(>$x1H@O=WP8mIde1S)Z@d#qDV3(plygO%Ac5@snb- zSH@-L`m|z>^JS2TvgS6u2JxJFa&ZVqmeRk#h8K$k#Fx8D=EN+GJ7I|87My%!T_42d zVNPyhJd>t0xv19og-i@IE4!3l+!b-KEG;QYEVwej&5bJAXR1c2FJ*3Oh1is0Kex`H;hUVf{D(k^Q z9Tar320U1ROQf`$Iv!o+%lJ~;m>f8tSGKgI^T#rIzc+I|w62M~2(F0Mw}Ne`yPQkk z+cZ-<*>oix{1RsjYEh1{^iw|VjE%G?YyFapBkNA<45Vs7TZ$zY&bJr7_2ygStYdI5 zR%@k>9(}JhcA4~ zUu8E((=F6&??paVE~_DU_&7dpd>NsUoJVabcFJ#q`>GHqV|%3G$Tkuiv@ZYQ%XPZjks3(Qu8`- zRH2Y<1-O&xYP3dVOXtjc_Gx^9DX*@~txYU8&~`q{T91-o;RK)78q1xdbH-PDo@3SQ zrwbAzGa>aN0i}t_Y_zI@Fg^JcO?qn{;L(4{Se zmd|v7X)Y0M-g-iv45QBQy}A_`8$+r~=t?w52WEFInxi-)nvU7MbP9h$v>2K`Z3wZl z;If$w@EXKGFI8>h$?H!tA?3yQ_pRX3bvEGtu`}v#NI&~J8ylU=UY6vHXdSn~*^MBb zM})>`&Ku)J$+Pa~tLzw*c3U`hb!F#z?C{!3J4QA*Im7hU3BD^P_AbmYpcR6r3Eu#FS!r{L9rBeLT{?C=bk zBSTM(OsEqV=Z*MqbRrp)+UfER@1rJXm^VT_l0(&Rr<0flg3B;pn6ESC3b;6?J>-lp zE96y7NP6cJxe}e=+$?@=M8fqVA9rEmli0wUK@$Cg5653`W<-`yxY*n&8z!{^sTo}J z;~qY*j6`!;h1<4|W;7D9f$dypz2=ikdIH1FGgCGhy?kIq&u4}j_RcV0*c+{pCWi11 zJvPct*VLHL_`FeRWj_=sP=jki;s}E&kM}{C5jj|)ReYtlAld1fI(q^cn)_~z&QI=&M;lJdPQwf~(-B%Q~iIz#DUedVNOzRQ7skSE32G6V<8wNo?m6 zHE1g5#UrMpgF+Nea8_u<(pX4(YLc(h9rU?jTHvjBEe^2H=NEdn;1cJ8WAFhCi|4?V zjOL-gpflN-8vRV=#oLDMcRtX`ilK05FQGL8TI|n?4RKGVxA_uW{uERUb{n@Ghl+rr zoUIVmR*8#^6#1UQ(12xz0MnGH9stkNvTU%v)g3}LnFe@$HfuCkRgf8Yak>^AGiVoWFw0-Z59rz>*1Vy3A7m`Nu4wI66}yh zm6r6I<=auPCmYxmul3_PL+?v6C`?C1j%cXY0rw_vm$Ht ze0KJp<}MhUY2$~%=`zbs@+YfFKg&v>znkl!6H(1KcCrPZDB2D2&V*o)*n(d_tyS`U zeHNS3v%%uX%KRB~ST0={w+Y~SgKE`$Wf0RHEpbM#I5Jl2M`W1}ip8Ny>`8-RMVyf4 zi3Bz5V%h?C#|+rck)gE;OtoSZ(|N6*)@=3CV`4*)OlWhy>DOte(5Z|2s> z2SNFqwN#mjvGp|(MAYhg&Wqc;(U989x2>I}YSr*txmgX76MJQWg`Ot;H2|q1xrdJ)RDX2SJDa&!bfCv8%#VzW(OKymbb}- zK4&B~5o~H+5qp(i`es%l5_672E4tSd<5;pABZxI9bv8YJ-`Ie=r=DYUrV7lbGsa0{Lk{J?^Vw5L7rQyh|MWIVcQQn>3YGiJQQ=e$Rr<^C~xh4XMdLRJHwJRBH3t$ z)q0fbo#>AGhU|rA)Hms&r7Hla5A!ohhi;s|FA!zZRHH<~Z{?&ojLC7}Cb5~8Y3+vYn7he|26 zHRdbuq~&j|MaU5YigqK$M&x8gQfJKivOl8v#yN@WOyEOp$|1HxC7ArL;nf`3aX=AS zfU%JyOLE4Mjb~P!CO`lofyRHDsiXwDkMC*s!V zovQ^{uOon9BFl(ARNP<=71xd@CUD-kY%cO`Ykp^JSf**9=<&6fsK#%t?J&E+rGnUAvTSDOa#EDeW>mtbrR2k7{u zpP?AlmNaKjMb2;*tAMG^+R{wUW*$LoDVk3kY7Jpu)Cu>AYGT4{VV$5eH)R3f^w0^>xXXvX{)PxMr4A015&3KkvSts%(H$rCH&F{=2>h{>f6erh>Sn9DYMbJ zr*d70F9u0nl9Su*nk>g$_M7K3-d!wM45W(#Mt%)x{BrR3^zU3s=my9gRlTRdG-l1c!=1(us=e4A0M)sfa(;ZJwVA zRHqiJ!?T4blzvEt{TYuSg>Yq#>X|V+cnbO%03RVn8Px3&>Dxynw&zlsasxX%L5&o? z2F+#+sGTup{R$QuNY{q49`pu!cyUpZb_Ch)jfNpVKa*BkSYLa7{n})5aOpGSrKqBs zq_7}dK_z-1ezzbIC=FE;+#>yXLaT7EX57umb>pEP50xO#$g_inAeYOc0hQ z=cRT91u6{{VAh62Pr&<)@ZRQ2&nF)k(W%=Mdnw2i)f0jV)^L#ExtvybXjWGeb0h{m zc-WqJ0xvR<3h7~gPpntd2>2;*m1|I*dcw9i(19;267PfXjse1)N{N3LObJMr1Uw(k zv73W>ijm!QJd5>cg@Sa?V@`NpTSKS${G%rWRbu_IPcd?kOW! z#G?ewTyhGYGI>JlnPJTuMs#SjB$31>h!UA5maY|0vGLlcYEqEo5d;$zXufwXE4mg< zlqA%-?}Zq|S&X!K%pn{S^=`$!WT!PKm&J>CFYG!)Qc3hN!(=r{b-sLAq{dDRJ7T2J zubCXw4HKVwMb)jz+e{*xDdl*X#Bchlr!0)PBcd=;N_+WK8J^=7i3x{~+DHNTWEv6% zRoZjK484UrOL5nVFoBLO>~xho!u2@Tkz`J23SpHgD`!$-S+{(A9+VSU4@?m4K^ujI z@vNwoN*fasrZm;lZtR#QX+tiHPsLI=&Y)Ln&znja4mRZAKn15upHIQHVER)WQZWMG z#bdIF5w{QwEn&qX^YGPdb!$c;a`mz$EghDN$LsK#5myb!bs1UfC8J!XVvI=ahGd7o zm=OCdnxQ#-bE`8}$oYbF9V)lCn!R)^*l?QJbWAk9{<+;Sb^waQIVLEQ$qqE=N~S5y zl?j#Ghe$#|lA7P?;IRNpDi&!jt08!EzCCv1tEw8s%c;@V}Q`*&@5{IE-VH7E-e$3s*kVQ1e200(KWnGl->Alo}Q<} zQr@^I(wY}dA_MP>R~YRx(`h`&MGFwT#Cm%RrXh~S;K5*^3O+R9l17{ z#!!S+yf?q2i0%~;=TB2}Fd;kC$;nmhxlM=K^Az*O+Z#~r+2CRvVvyQ(owO=Kx}*-y zaNiA?w@<9FIk$C&%YsJc+AYTGmW;L|8Qps9hmt;LS?oDY%R#|V%q<2{OlD)~VwXo1 z3f6!A4Vm)dLxs%O46YKoe`h)jt+ZDR| zj)LQ-h%n`7xVdJL`FN$%wRSHxD)AwOV-7=095QloXm-A`;x=dR`;+h8T+~8lXS9{H zjtrGqdb*Za|ADc^iz`V(uc@=Uj(kK%pU`6@ZjDLh#f(|_GM2SDqc4oblww~LlV?Zm z{WRVKz^jgjZ&qy;lR3Su)C|nh>1RhgihT0jY6eNowml&~>vf_Ench!LvE*Hc->=vQ z%xU;Dsx!HzS_BbE|MZqv(j>lVJc-$J@Kvhg^O$(ok+;vV{7w~Si@Bp^DdGY76F+-H zYa^mHs}oM$5t2hrAbmM7LtL&gv6Q!Nh$0qBect%NuX9QP+b_3r|7bDWM3GY zk2@=cwe+~kC0?!WmNVz51CcgH>>`yVc${!xr-R3+ohOS}u}H`MmX0MCKu|7#jRiN6 ztU4Cla)CiR85eLmC$&y+wD8@rb%I59ipiuunF&;e++o0z5Im)I%=D^Sp{2R!ex*B8 zdr_hFJh62(Sjl8pV8W6;?d0A<$QrT|ESzo!JKmoewTv68OmODFzT(ntt?0@NS1els zEXI{8c$XWq-Z1Pcbbt#-#>KVibf0X~gg26a#gVnyzB*PP3ut-vi40Q}G0#!IP1m%M zh%~Z6nc^8NH`8T!X+WgtY_OI|^khp`_MMAa#HPYldBGMV7o9J2hMl=T$H@m(Lhwf| zYL0Odx^wX_N9#$4Ggs7kxyQqc$rdy2Es774Yd4YX(HxjP*~rq7iW?r&Z5mc3&#mDq)QwWxA!N>~7lcl~-kJ8R{b9UQmn zxz2kKM_E0a6HXFDD+_JuXjVoMx4ykAZe#3wQ?VYeZq^vFwd0WzMD=uv ziG@1ykrz%hgMZJ*3{puJPY72AY)FeuQ?!jXJM)>*aQcuTG`rsFEG@oC4Ak=24`j&P z3KygQwmJ%s)bTr~Luycf`kMpf2R&<|pZY%at-9cl$5ED!!GkSia9QQxrwKndajTYv zI)&^@fktbY=ap}m=vK%JAM?cE)i0nauDiL$6&uRdu@>o3$Ft!sfv=6%NQ;n>y45;+bA)3-n zQ)YQ{3T3m}9dVtN-(^kV=V{&BcOf90E;y%Xj8eAZyCv6|E;nX-Xp|RM6eGie3A2T) z^_JQYlZbc#@l3T8nu+06@H#{$LKDc4U<89?#I|JL1m$=tV8*PVwAY9WV@hu?c#4tq z>mP{g%r)4Z28L3!7;6%kR3;W_IXTplL^CnR*S$?v*>NEWzHja|bz*r{KHc$~=ih>%g%Jwjd zj22d>>;F-q9m<>NHSQo8QJlk@+uF}DOOpIr{H}E`?R43`Pqp5+BQlEKoX=4*VEv)1 z(H7@N0`V`Q9YIfWpi8@Yc;cW7JJNvEsM9=CRvyE;aTv7m96jQ&NjwIQX7H7vVV0W} zh@Du%eCF1fbOvn;Y079^c{3T%{6PutuT9o^c>qiyQ#M=xfTUHLAPMWw=*d_@EZ-ZW zv7a=3d{RU(Q9F!O$~SyWGNQq0qcN%27cqJG=64%(%V|<@8C~` zMH8=_U~LgD7m@VoosKM5PtcD77PK924M~SJ9sY^!Bk zeIP3_LumYOARs6*g~+&n_V2(`_|F4JhU$(yI_2xL-wdu#&0+W8H*TzFDk(D#r=pD2 zv%y(}B<3^Ts*IoZS3;|C78V{PObRypxuS-g!Q>z*Tq}k;^CB<+f}M0X#UX&L z$!y=+Yk2GqQYE0T4nl1FTB=zw!3V)5)zD(v0>pH{#DbbLp-x<*czhz-jUVUwjq6Dy zSxZ=1#N3~rrF9rmkmL8cg2~%}jh`yv&HxiL@MmU=xU_*V*s(FLGA!w^WVWERZx%x_ zZB~A7qfmS(p*Fw!ov=DaOw#d87f3RbB4Z6}!8Wegp8cYs;IQy3#dAX}+`UkAAPx2%bB9OY6uDt`|ElT6*oe?&e^ZHh5Ik8jb7##ErZ3Ck1@uqaZ zSB8f1E5WMeC?>Y?M~U8C(Iv)1asenyt0md@8-^6dIkOUI*&1XVPJL5yJfD@uaB8Fl zrS5_@GrHFat6&mhIp{F}9Q#^kS^Ku%TUwD(?8{(LT+3EG?`8RUMJ z2Q-iaqLHT-gv;dJdmGmst=qNJ@cF2nN_+O0kTRZjm&MJ|(2^98s0PW2jBS;|$SCdE z@&!x1L*u?__+*y6-H{Qq)nhCKLaU+$GvsP0n-DG0?1->O<*4hEW9l3uB%vucC^|;v zcxGtO%Od6o&GwY`bh@gTf~ynerTkcITA+Ap$gmd6IBz3iwgEDr$pStL2N{hnC$tjd zfGE-R(r=UoU!xg_37u(6Nm;G5Vq(3>A~Ggq{Gpa1T#kcorD!+SAaa`)L+ymSX-jt$ zWwcDdR9yjsNpUL>nr~cNJ{gu7D6J_GX=$b1tm9>ZEuwBNi$qHV`Yh2&Z6582Vxh4rn#(Z?hV{g5F8;zFEi3c^u28$pbfj_VQ z>7A24m2Y_UCttv123tD7s^!KqPty3Bb2LLcJ>HHapnwe$hQxh)ODe2iH7G30Ee3&G zWJ3Qsa7qvT(SypTzWZKtX)I{v**hR2TpI&K5J`ASd2j)N@wCldfuv(@^G7SA{)N|B zhGC;qXs}UB1jfc$x%Le;YFLho5yZ&%3r!7`_R_3#@bwKWzi;)aQonk4HN&zg(>ibj z{%ez1t@K=Zvf@A%4v4*Lsn>7pj*uE=g%oKC9)U_KeR35Noez>}ky^rWq=WO3T0mEJ zN0tV~7^=Y-ez`#7tEDhi-_WIL*DxwIKHisW60rsLg%j{5@QkbHY4;|it^_-<9>1|N z$*ptFur`d~`HFLw-y?cR*R9 zcj;&L>uJ`vwV_kuXtbycJjIzNlYSPyjm9J$epeK4DXf7}_E)098EWWf7yRO3M*Vvu z_BsKtZlwmb&*=}-t(xVr=Sg-2I~X7kuD?Pl^5~??q-Th;b|+wH{CHDjIc1$QOT!>n zn!aw5Lr=$AKPTx11p>=<_bHbauYzne*voXqxkzF@(bbU9-p=i1)i=pEmJnzLt{q># z*i9)Yrc>0Avq^+PNL&UVkW@6PHqw-g<9#d>ED9{!JE<4z5w!yoJsw{rI}I4@E@Bf31#AcXj!V?`(8>A$MXIrY4a@ z1Iqd*J)JH!tuoYtr-AO(Uv@Y1S3Lb}i5B&PbMO{~ zN>}q}D9(8J^l4V{&*eI+9$?&LpRv|}`P*S;e`qra4syn?eQZ54L2`t=)sm&pd|pXM zMwdn?mitzqIOLVgXbUCV`mK=nm$xeED1-*@^5L%-Lk({KrKX5OcTZE;ckqW_N5j9m z{O8#A)5{&b7AS^*shCxxZFqjB;vjsJeA8jeD`|udfBbM2^xE&g>fs1)+`RWQ4dm>b zC>;4`j#@LivBGLu5X%)B7GP(Vj&Ggc8ZpU#;R^%YRDQ`TruX9Yp6kEgt%Bg{Cw&?z zMAK~pJ>Hfk?(ogF1LTq1S81npZ-C##)F4H3&Gx>m3pMI3NyCJ zbhr}f$YGVOCqto-uP*cl$N-c=&i4IUBxW8EzdD!_po7;Id>GC2vmoN3>u|QW!Gw43$Aa5K+5>cO3+A&Qe}5-(M$h_gm(;Bh zbcO#J;lTO{j0rJx>+dVap>#oqZ(IC)FH(QQ&(EoA?jMfhc68jbMx*lTa@5nGsI*0@ zL8M4m1=;+yOZ2AAXau5Vrou=iiTIL+`P# z9L8|`z`wkz!D6LoeZ}kj1V4JXrBJPi$jvMUgUdL`zR-aIwTgcH+H*D|Pm_--i#Rv;!oPA;dw#dZkhAcwa(@`Z=IOX@rQx(Ly4`rR9rDMz{`nz8EPyiswr<)H+^2S3*f)(sb*!t+-5 z!(ZBu&wh;k@TDq1CEuVLd6m{-Gogu5?9cWYDO)bdv@NLrZ+RE9?^W0JGMl02fud(5J)+L&YINd_h3%a&cXFk zQRz$j@r$3nvo@d0Q{5@|nKWs!oU?5A69*Jq#>+<9n0d5DCt^4%-Rh6J;yNKLwnpD_ z=pFi#-XWZ%KH;lR|3pY$U;SPg(AGyjY%RE&7Wd_INHOl2oW)BVfu!F{CTCVO_0HPx zcLc3X{md^t^A!(`BY)jzeCHr$x(~duZ397_MH?$u)~STG{zp{2$U)gv^z$>ch%_Fy z&LLPFJG6%1AT9m){E4@L@{Cu1Qj))B&A%;c8=JxlMqZWcsaifr@yp{O(q3V^dEnCQ zSqte+7ts!AU9#g3_ki@oDsPI<+VH;pxg}XfAMF)6t72xdIrAGgP2q(Tn9D*x8f}#y zw_&LPUeBR7k*}oxrq|y61^htqw|^>G{JOiw8tk`$9uLQe*u@}cfbrFz;Od%E97v&3 z>G5`&=V#aRvS8Cr+Cr7m^zW>FgQVWCe(3C1NuqyzPaoWNfSd`gi~|Dueq9P!gLsoG zNfu~fnBa~iR~QAd97U6eE=)T6TZgtYFp^>E@OVRJYmIZ@F!rh8HSiN zPUxMRrpd<{@lRedP(BaC!E4Te{8<~`zqVy$%XYhM2QR^_wdMo(J@~G}s4syHk!NGZ z)8v42=6T+s)q)f{Xyx=NT6J<|>$8Uht$geK1FTR8zB~^KF;vU^kvt2*`0)tTuT}_$ zl8=5Fcpa*9rYf7R(IsBGcwn07Y2GU@-6rvre6_sn&G}d8) zKJ?bN22hf}ySgzLo=o*`^P`_@Zy#_{-^vaTz18wtz^;rR%R*H?F4c(fQunNCg9epC zoeiOIy+_c;D<4=<-yo6c`|h}4ZDaaW02mg6Y(yBvm zCQO* zq#ynB7=_5$AP;gQ|3cAum;^p>ZbVXe(<>V+(grZRF?yGqCdSK(Xi6R<|+!m)bat_AE(=L}hHtxG685`Mx3${fgQCNdu zpz#i^=^sV|QI%Is_mfX&c5f4O*0Eo^S-M(ze1hk*D@QP;RLgg=DS)W){>&Z9GFrFo z#cSW2zHr)(Q$Zf^A}){Nvm-2cPWZ`|1_Fh^QVm{R?W_~eX9vxdlV}pPLU|+u7N5Bf z!=`sg_x<(tUp)Ll$Ufy6-~RW2*8jnK?2nQP)RWdY%*<;1dXJVI=ZUfjLLtvuc{kd3 zu;~k&^U^~vp4^R6dDcs>P^ib>zPVDQ<(k=8*`;$PAm6*YvAcms5!-I&O;|I8)c?N! z1G0DMO}q&Ao_j>$y^g-m{Z)4)@qqWPv1XMFB}APu0XbuDe04us+1NL5yio{S|7o1* zo5uM^CZ*4Qd30F?o%+x2i9kH}?l&@TCXhVpPa_rvv=Tf&Q&I=4({Fq{u%Kh#$vMMI z2mzj-{c8H5KgJyMcV7=G-pAkn$QxXp7C4%;tOoIXCPpcFeikcmW3ur1{TM1Y@p&}r z?6r5?sXRJ;=LSj9$A5HvuE)eGMgDOKQP4V-1RNy9ivlpakmu^H6H%85)p zu)YCz8Gcs*5M`x`63JzUn(bYMI@^Jq(Q*8yOL^>-zCwU{md9&q4ix}Kkl&Y}R|5qX zV!A6gAsX%p1(4o}Y{YII{|Yr<49)_q`i zjIz&}K+{$dZV#b19nu}3H2oPwO8yBS`i>Gbbiuy8=+rEefNkKAkuM4xP&VsE=G*ZW z*zJ4KwsTODR0nA}%nfZqWIM5ezW|Yi-mwQRk0fpV&exXbR5C$@ve;O;g6A_trRTGo z&K0m0_W2=5!X)%T<#`*>2!0*U&+xkeR8z<-hR;vo8?x)4a)ku`xex7SQY#3R&e@3J zb7vY~Erm}wY1UIyzt9{OE0-?6_aKJ(6*uu7he|&+)6m_MIJGJN>RyoO)$c)m1&{(* zw);3JMBsEu9`*Oz7X<4V^*uDXWsTin*1$(iuow-M;B@nr0NKWi2A+E2m+sSpi$tvq z2KVoCIzKC02ItDV`|QmhI*}K*R)rLf>#;UBe_HtQ_;m%l30(&ie2t z;a2Du7&co2S4JT;RwiULTGLDrMUBcQ|C=6MbRJ8;eEsUEG9+L9&647ZeD?{7RvzIx zi-Ff54de_vPlbAtf0BQG7NNCXflbn1zHWkNGUW1gc;@@i%e|>JDAM}3gHfWN9Xzh( zVnQcbE3#89H((E~Q6WGRtp=-7-_K3WZrzN2^U@1mrSS184?j%<_4gY4JjvQEKvzF_ zg868I3<0+W`!i2tSd%l3)~o#HFJ9zDA<66i!Z5wDj8HzHECGd}8yR~-;4Q#76--D$ zFse(~SHNESljl6dO>@OBB7^|Ca~d?~F59g~myMN;mJwHB-4Rq6LRTsfE~h7b3jSaI z-iMiMMi6=CEAb8;&aR#+pDldvpRT`!d4=H1^O@e`Jz3`Yn^j6NuR*RVck?GyRjKd& zPac4L=_QcxPdlG}iUrTJ8Yj`{LHZA936N)o17Zq7t8x0>rC3Gg27?V$2OrR_w8xIw z2Sv-)K6C{_Th~k{oEZ?kx{}-)htLHgzPLOEkLZTdW*b&YBcKgQe94|<-|16wh|U>Y zVHm4Q9(Z$yc`465+6C8CO%^ffJWk>0)tOq<63kl1dsVZGP0UXGs7V*3OU^Fx;xT-7 zHkPE-e2d_5F@lKZQkZSQ$Yv9rQ@p9pWm&Rlhc-J4OVUdvuv7v|C9qTiOC|7_EdgOu zepJ^W<;QGsEhT)Z1eQvmT?ri3f#j%0)-KmB&pl&v=}Y)h2`rVsQVA@Tz)}e;mB3O7 zES11g2`rVsQVA@Tz)}e;m4GRM@zqgU6#9pbi}w-&zi)3M;}=r}zc#gK=LvWtOuti_ z{rN&^S_Kcn^_(c6)RHBRyWl=TU#LA>qwN9;+)xctc3Y_yN;+b-^D@Ex)V90dXkNemEkTEnCF<4BtJ2Y zpM)AbX5o%1-9Y%lr}^g0i6w{DYI_Tpz4nLuR&2pM zK5qon6#rEEO4|w-rp}r>kPy23@N;;Kjn(uT37v%bIL%RICQE&JkhZ@dIYU`B!B9fk zSlQ7fIkXsukEkG?&v26fKZ_9@4er!IKSR@O3&L=f_6n-m7EDeIW1~W3n5mP_|!oXO@#Axab+A|@zDl^ z;VJF8Idk!(6*P-^ByfEIx`EQGtHsNi9`z%Ngia}1yHs0Me;*H}iM7xZNnlWGQuv{zF4 z@*uZ)#&WawvFts^)$-A)v#YCW`93=XxN>vCH&#yR)>{>;-47q5J{G|duo92@Vsbz% zOwM5OM2znm>rLbHa`1iWdfosozUDDwW96!pBT}?A=AIUYRh7;og(|qE5On**f^Q+$z&TR}ZSP`a zGXmJ=34x+-*RIT|9Qv6(l^Zsy+&+{++q;>0Hn5lDIV$d#vmG*{HfPnc$}w67^(B2N z*WARHhEXxX31v__)#q#bSnb+yY7lLSTdsvE6=SiFoXHs1zA*Ed;?{WC2xgjU z@VCrJtB*s)fSlnA=b9&YuaJk0m1~paYhJ)P>%m}%oNcHh(+AdncxAqK!c-AdgZB-H zpd6MWK1fXK&yn>b5994*LJ5JPG^EZnCl93@@aGv)Xi=)TYW&@@7iA#%AQ9Jm8o64k zh`SX_LM37B&w!6MIA8MhqFqSv}86l!_5|rp9L& z%;o&)z2K~*=jd45E(+`~n9~Lhh_PgMRg2{WlD}*> zcY_H3e&i%y?9@368>IBJ82((ijva!XKs?T&0@STK5D25ExXJApiH&F~oNXgtW)fD! zX`OX3Wo9Vb`Ah`(XyZF|GKHD6culgg@^Z5Yiw%MGWUN6n>q+;Z5H)m~InNP%bJMd2 z{l%y_A`F|#;2(z9wD3yAS|b(b9_YUK_V0Quj|F{NLbzXD8O9{CrWanZTn9d1qCSzx zglBW?T~!2CD+4qA@P`r{aGD|?0?qJ z75q&)>lJ*M=uU+2S>1?TObdej&Vc7LUV|hT-`jBrJ z#$RdnXU3Ef_5HF9h8{k5at%puq}TgXT?5_d9azQi{%mFtEaFTtYQK&)RzxKBTUdr7 z(9dc}-q?klm#&^oBZ#tEZgi3}Uya6Qt$*yhGLgZ^#>#ahW2rIZY-=5#mRzwDc`4Jz zt_ZDBG;BMI#hQIPoa@zzSMx?mMwt_OD&Hxq3Lel=jYyD{~W&)BkwP}oO*eu@& zn!smYlRl@VGET1-k073hrCiFJacoRJZP;KXb0{^eaSj{sRHfXlGqZ<}NQ505W42jv zqRvu63k;v{Vvd>5c(aUvz<&-l;7fBLDJGwY5rl`(6wXy#XGq5k;Cy^XOJWt={3)o6 zjetLL#bT&4GzqWVr-$Eac`_qb6hNKTUAjO(EZ?i7OU&Sd_{dc#K;q_sb?}A?2W;6e z)+v}{ZTjn?67iL>(u+}ln$e0}G46Cu!=2gXu@Qh1g5479d+(obIs$y|1ZQgMfY^(i zEoNpY+(0NS_VpcB^h!ONNn_zCq<7@T^c#|<#`=cro>S$T54=^;XmpV^jEyBW*O%mrbgeo(KC}Pm^siah*j-a#e+eRV^WGMbVBz z@c~!C#e$&!62sIhiO|tV<=%iEU7IhfrG!hAN;-t@G&`&)5rzQceylCvg;|% z*^L_KunVR#@R=k<{GJXM#15*w;2!kGM`N_JI~PO?A?W9qqbGX zr=YMui-r@MT|K+bP6BNap)GK0oI(x8=faiv^U6D2WmA|m=pkp)G8$MBS6(lr<+Vclm>V>M_>!wh%N_0n--GKjF zA33{eO>Nn+=ga27u9uGKSqNz7K!K4CYcdy z5l{);j{3-*04>t}7D%YzBp|8x853qbh2I#Fcj^<{bBGGGFY47S0X7v3FcD7W0V*|M8 z-5hZ~i^1L$v9a>hdVzpCAIGKKDlPn31?TDH57R>!&HVSDaVzcg#qs}4DD}Qiy)S}P z&^oHvNX8d7RE?C(Fc8g6(*hHT|Lo|FDRhq0Bnp7auiJ&Uu}fv10lY0MCQ}_d=cI&U z3>z;=WtC@ow|y-F&AZ@>??4exz!+MkcX;g-c{lShg+%XUC45Dap{(7!gO3o`nN|%H ze`3!(4XjQyy@R=O#nb8}>VY?5W`~uV@mBApzxc4W=Sx&EK5>YDf&bQk&nq+Y!20{f zP)d#KBLoC_3LZdc7kTNWssz86ZZ~U?Dv>Hp|69^|II;>mDBT&MT5cpVo~L-S$->(& z>%M`Fp|DP*`WG*&qStMG&WE*eKhkU3Ql*jA$%i6M;CCKSy*7%g=w9=E&Oxg0)O?TV z#np2aXlZ1eHD+a{aXhU-9|OxRL4QME*4@JPRe#&tl5m zUklf39vV<`tB81G$l@J3GG@ZlYCD>d3??J%=>s{onU_pI~M#;MU2_)LjkL1^BY~bN*0)alr@g zjZiq==Mf;fl>X={QmZiQkyl~@(c#0v3i{dMM~)mZ;Ukakxq#kz_{iZ$O!$#U@P*c2 z9zOiYqj9*tC%o~<;lqb*_|b{Y^sk2>J#6`)Pk28}^$#Br@1U<9dE~IQfB5kKuF_i( zj`fc`WsH6V{h0rV*v9ccV&gw@Slun33VX5qqyC!^-;pCW{OI97`*Zq(!->7akNoML z(YJvg=jSjE&#!UyX|Md7!;i-LM;-}B!%ss$&hO#McJJ@OPtvn~AAL2A59z4M1IT_H z|D(PyKbqPk}#c2KS%bg{^7$zLVgVKC*`Lo{Lc_~5Vm4?FdBM4a>g zyE^~)sETWW&n_ESLZF)vFvN&U3>q-nphyFv-lTySe)tT(Bp_&@Ncp7#MFj=Bi2(xy zj0hGLH6SV=Dk!vIX$^|V4<8MPJZcexMYM{FwfLkxbIv<=cV_3r{libc_nbL%X6D>8 zKkkI8Cs;q}U*2{#m7AC3U`ph3_Rp}U;6AlZ_^Wek)L7Slh<|La{PDN#)2+jSf4lJ~ zXkD*e#_n3iu!?@euY;{0>pk^8_m7q9%2;rmi%)>s`r;d9 z`IY6tk*^$$R1RmmzKGX5eI?o%af&-xFsrr^EY9$Oy;MuZ+0@rb$XpYtCz zFFH@M{bcz(r7qPX{=B`)j~~+>glqED86ID&PbvGXd0*-u9Pns4uyM$H)2)JYOFZaw=Tyvu(eIKp=7#$3t?ws%jgr;{LSuAQDio zx$)K7yH#bl_Yom)c=$K&eFTU^)Fd8$SKbScmhs)IRE^;BN&iA=>N@U@Wc~R))q^fx zxgB}&c(VP)dieufd4vf6-&4!+Rl)qx+StmYljtZ zzOwDZy1Kv&m#+Z&rK-E!_yV;0K>dN^!P-Y|&!gP@B!|kQ{5~cbV)c>{sybwSFZ0}gQ{Qbb|*(D86N&YcC*Ti ze!_kwyG<3AZj#-kRJxcZ5QD*P{xp@;_a<@}ae+h_ayOK#U9Nt9?KXrtjXC=n<$f62 zZU-2?CVEVE8@JW3FKI6;zy1=buWF+o3w<1Zy330)Etf=NBV-ttJQe+4u09!tsTkkfXR?mq30k0uEUoL~1YSn!1KHFaER;8NQ zpX7KI7O8DKUP*guruy3X%l*=*{w3@qZ#mc>+g}>Wi`7n^)@}cRw^lvF^&9)_=SJ@p zSD(gMH+A0G<9KU`z9P~rl{c!5u6}^}IjTvvC)yD8v$X9Go!OAS&FP~~d$;9yMZ5^7 zLEB#lYa9Kvp7d9%`p^ZA7vrx+uUzE|dk`5Ao9fyNIBPAZ?PUL>&K#shNqhBs0`tXq zVQr(jNlat9WN`4$j=3MJ)PuZ?P4-`Gt-4bz!*pax_*2$T#&aYZ=*q)s$4f^h@cWsR zgN`&uZ+DDXALD5;x$Gu9w>#Vk(Jm8^JWt)^(lVmwh5o~A`j@a+@is%0uTkDx%tp?6 zqEYo@u^n&Ry<2sa^1#~gchbJ9RD0QcQa@4_yVKc63U5)uK2g?=&~3sWs$Z=h680(9 z82VB$M)nte?DW|$PmPyy6^WeX<|pef@$!~R|09jz_oQ6y2+fuL#*{iC{Kb)T)Q8SK zQN~lDbjL__cSru>m@pWvctn=x^P=TWj`ZQX;makfh2g(T{ehF!+d__!q3V7qAM2@a z*k3n3D*Tf4pY#6bPM@f-EO<`XqpW6iT5_bD`X9mmGT{kV9xR&@?j^^AzhitN>Lag7-5?kv!Pq@=d_6C`UCKFsofP&c6btte z{va-HTyv*SziTM-RUif>-JU?*#zWww-{5+st zPtu*vC)EA_j+}rxWv}B&&k|PiIgtHT_5`?hktIHQ4I$kT|C6i4vn?kO@4ny3TMZkln6GN<2sovEZ=bv$`Vnor09f$V; zre`=-c|@1};5gD-(-Y4)URTTQ_vkb(?owL z9-quZPQNM!FyOC_BMk)4*9ngDUin?eg#n^77aXfrfNPEm=J*`&tm9T({<1NSGkXIR zyze*~0WAKv<4A<#Ta2gjNIyTp$-5M37|EMU`->`#XSZ15@#p%MaTZSg$~5*i(}Dji zwn6K&Gm}!)cuqrEz;QI4p!kI2NTG)CVaLHBKcZ?z){Hzj(z_wI_p>! z67CT37OB_NQ19d^QJ!>{I*wEUoO!@;WjW9-e|8*e0BA4Tr&a(=%5m~whStw_cC6At zFBSFoOGVvgvXB>n{_3BOgM7+%_dv%1mhTq*6?dLe04VZnMV}JSl;7yJT$^Z*8 z9baCCP*CPLwH&d-T*r}0K3hn907^W(5@^bQJ9*nwggZrk>oggtRevY_^J~)RAyX zH^+QxM#Umu*Y6|vt7y;cT)=HJoPK#*#J5F$iXK2{TJGc}t9kF;(Xq+`{N-N9j~+yP zQjBjwCEy(+oIG+6pho1=;pchLEXE_+9WlSF(@(t>AhpnO^iPPHJsmGT1o+Jg$LTKt zUKMd%JrZ%V&{tgmw!PrwPpm~)BHo|KT#i@qzIauDQ`R_rwHvVd9>@Lj0l#Q;yki(* z4-pT=e2U&>my>%<2$NoMY|aBV^hGF*y2tf=crxl{#}N+uj17*XQvq`pIBx$qVva~# zjhwdE7C5{VBR#x6H);iMfs*$9_B7izVAz-`xZIw-;OY+hvTYagk?hR??MZgIeA$J zz+7csVcr{bay+vN zb%R(Y1^6|L?Q!z8ybKy9+8-?j{j~_&0*~AGqtg%FOt?kVH>?t<_MDRs$kR|P>MQN0 zais|RG>;(VL#Lm&n6OsZiv_f<63eE!DG1Rzr|<34*h!@ES4vUG<~VslCxk%EasFJO zE!!Q}?%^|ma>s=sjSI&+?!Ja7eW>G{&7_OEJ06fpR2%=!mw1*n6?O9rCs(}z!-E{x zP9SO!^~dfay|Ay7tM!DZMf)%7PrCI{Cl3zMdW2}-qBMYMt)0B{R~lA%jzfHEvH91I z^CxOp-q~>vj^9b+952r0_!08696xI>cJjejBK||9`OJF4Rbm=ZQwWc*ar#-aiRAkg z=!sOc!^sbNh;M-7V_gx&aHy+)hIDwPllPfGcqG+v#!%iHk8~X6Wy`gHaoju>>2(n= zrz()P-R9&Ic$Xd&`Dfw=#4}Ggd1NtBNIRaLPPkR%lONY3iPu4;=OTvMIsGcm2RXMp zjt)o46Y-uq3~6$IC*N=w@p&;VR!$_m=rt$r+YjmKg^o{M&FvTQa5RdvODsdf?FqAU zoqqHb(XnqF7xAursEE(>^GJpFIC-EH@%5J+57|dlzs>Q-?TPk?_4mPSXFnY7WqD~Mz=Yw@q%N*q)OAJfG>Xma3u1g zdF7EBVuZ2WTGNGy(QAPAl>}Ze{^_*m0rIwZ4TiDeBMsa8q(5ovtH?*JowVsot6x|F zx^BZ2j~Y1yYeDb1sO{4U=I?vFFKn(XJ8kr#v5cVI_Un3BKFt9YJ>W%+zHYZ4AZKMK zld?f?=&51t=HVs2&!4WHXT)j-{Njt`5sZP{$)(|E!6U60)t48z*@2#=L6$ug|d zgNQ}m*24)VUB>4y!=Bk`QW(O9B}6r)D|2l5#hWyYIXuX`LI|O;8fT<6%(e1R2GUuPjElNYoeL2!> zn7$dy9~GulTGshB1dn&${g{g7KedvJBShEc75bzOpyt_c#( zUq5G{k>k1V`Qy^+rEeG})quL+@i((=`G#J+AlaB^Q$LzH7vn6~E`N%Ni zkJbe}QP(UAPB(IWtO($Scjwkx{VNNIdgQO#n_&J>!SDY)cMdc=Io?CfB*^YDyPwtX z&*xHOZwq@yUuTa(oYHsXI3w3RvO#;Tb1%30sn?+{@0exZADCGQ&^x`f#FPic3xa7k zUOdd|hX-i5uc)udXS~=Oh%lx9bmI>}`ar<4*2?H3Rq$t!URMk@^&>1ue+PZzhKJVEcASfC=bFk$(yl?L~G!(Hsatz1!g4^d?J}@4j&)g=nWI=du7D1P* z2ACMpY9vL|HxRGOsH-t~tEdMyE&*wfB88xe{ zd=T%G=jP4WX60FzApAXhgPC6dN@pOx_rT=O5^{d`IiIxe7V2;Hm+%DIe`3!hzj_>D z%OwRXto>Wl0lqx?Tbqw?uEE80IcZ48;bMm zZU5j$uL91gm~EB_0PB_m9KP={n-5?{0pRYtzCCN}&){>5hO{-dec0TQc=?r2oAesVvcEP!}zo4R_dR&xdK3!8F_Ebn+7;f{%J*tpx_!9l}J zSON}Rhw$`+-EXq`0p3@=c{1zA1S4$XY4wA5$K7t_d7A+ac+)o-#>^#%OH@kozCvXI z;=}vf)L8wa{SY4gtW%EF4}S(QcFo1fX5P{hutUL~OsijU0-$5#haXzbtp&WOyvC$O z?v_G;vd(wBW#th*KHaqH&_kBf`T!o7_T4`dtSNIQ!m}@@+-Kz-$~5e~>ZDD}So6BZ zAI|rlY4sOzeUncdT59xlkdMz(`|kX=Ex)Hh>yqDe3tC=M2fB0CWur`a-S#HHFJI3y zD{p{(%QejI6uidjhj>}DyzmvfOu_1&1V`rgv*SfEzSP$4i8|9?no9ZW|7CZsv(p%? zUQY1+?c08D?CH8MLEm<9;YA5X9M8v7zh6;n!%An&<f`aM(zw2Dsg=WyF?c1P-i`HuR+t$ry=LfpON1*3L`kS2| zKz6?Wey=-V?On{v*q)KT*Bgc%Z)kns;W56Lm{j(F%z#5;qJnEZp(hd<};DGr!rI8oUF#N(|$Fw-hw z!5G3lHRH@Q&!3X|5LHh)_nq}8`z`+5yJ+uUEa!0f9t-Pj|54fBxP3PSpH0XK4{ar! z{6f`NMxVmVkPZaEEaQ-hFF`tcuE^-~clK1oWi><@}X##y(N%7rYId!FeS9L#q9R&ndF&2HEljvk}t<+rQZ2`x0*>j#+vs z&&TPls{Owg@Gs-_jwaOo|N6I^PMYb-Xa6$Kv<&}$C4TaooG)|jH_HoOi!V3mUgmZ6*}s#@jwgTqo!ifo#QJRC zWQX`uzkHvlQ}E0GBH&o_Wxai}K6cQo2I5Nmdc$o_U9r2gO|XBt?~NAzA@D!xmi)0h zaL7zA{zLzAm&ulK9lwA3C$-~pJS>>69K)4@xqoq?U~WD<(87Pj2|~`p3xg%|ZMjn> zT>Zy<*AUF6Ag;xi$@E($y!;1zr5CP<#zpb}@Z~R<=uk1!-$Z}$Ils2-tT{t zT@vN_!8D=I-2+R7Jq`yjvw2*Li|Zm`4>{nEit_%Q?I~h>xINHG*yG`8(f>T2dY%Xf z|MKAnF=x<^-!C0<{}Y((8Gq{AyGY8}{}%DX{+d7difgfbeLm_6@ZBOkFYK7Z0XjNV zn&0osM11pIBb+Fh#{+ta_WG9>GX%4HAks-ZfAp30asTuX%*FLxyTbSI_v0r|GLw-N_zLT)W&BxK={bGH* zSm^tlF6!gEYS3HC`2~{y4=~(3G_!GBi<^hTgg*aC0p2C-u|9Pa@x|5AO`^a2d^OK= z2KaT+dmM89*N`We)%BkQ`}qc?J#K#+Q9q-8O*qW`p$o)#`kW^1@c=K2xd&_>elG3v zgKM3bZ$km32xfawTIL@3@>0(+rz7~FL^8m8!T_toP@(T%798)?yJnh z=P#ds;;%s8Uz{rX$1i_pwlm=SKU5U(?d@6T8}8c#dYU+(`Wh1_4(b{EX%TG-=V z6*RS!>p3&s1AiL4&LQvW3C!7V{3)k#hzdFX5Sa*j`0<ojGL!iy@xy=Y(V~9r>d^0R zx>+*Umo3Me>l-fo^~c-)*I(?9%j0yURe|&Eh?csmlXybE%*6RNOA;h}z literal 135356 zcmeFa3!EKAoiAS9r_Y&_$z;+ALpXsDYr+t+F>((OA$p@!LKacc9Ur?JAL}*|Ap+}0 zKsUPT)=UD1Rh9wVHTd{=5Fc@`yN=I`tEm7Wos8R0sSC8(l zKHcXrnZ#FqpP8=TtA16#dRKLy?$`YD4X?S5u`Lzmu}Q|fI&9E-8rawvWG~AI1fGK;Gk884%$K=2J(Hc zkEngC9@fTKmOD5s%4BoqbBgIjbj`zWh12;=^-R~wL5e$_PWL8^U-kCeb6F9C7LQ^+K6@QKx|wLbS}12*0~gu`8J$gUdg3W;Y%WTx(dHF|s8 zVopUC@J9-GjP+TXg*N^GnfW^FUIgU@Ez2W|a?x*}B5YX8IuasP4V9HVl$63aBpIcK)#h=;EsGIj~m_eBTY+zFS= zQ*$hiQ;SDMnK$p!+$DRYYU>(w`ke@y&9Saz4~EG z46Bd5i(cj)y3d0Hr6$6g9!&1dmnzMhV8O{9P4SM>K7@jFtLOI-;~-R9h`ZtVwo)|f zNZHMDe>2lcn46j?dETKvQ{6IV<(J%Tu|pb4W&LlOhcppud{0RUTKT+&{O~Qi8Iv2- zJbqN8|3TBT(#bAOn}eWp6x2=`%*YXUhsGCfT8LQ{<$-S28Y)bvJJC*2;uF~ zLgqhln%H&!vUaKBY$L=zaVCKD9*#S$R_I=97AIBbhabB&5{zsoK@mkzU3zg z`!fM*It#{vY+qz@tyU4sj-u9Guq(>i0UqRNw5#I$EgRCEDX$M&u=mCV?1Yu~ED@9; zHO7-TZf+tK1%qpWXKk*Ona}fA_%ZdRQdm?VnJM5|R+4wi$cD=K zfAF%nbK}zf3T=4jkJoSS*aW(ye_7N?!IxTU0`~p)9_XY_vTWYDtGo>7G{R+B%B(?l zoEv+cI)_!a;cAPtg}yKDE>5h1;uWOt{}?}9QW#MVNQWx68L3?Q3z^JPtz48|$2m|D#FN{!F3L>Vz|t+bZjKETOw_IRtIys zb}ImCscILquJ((3W%ivQ48Vi(os~-LGPI0fG*f4DPZkY(O;ILIF?v#YwrurzP^{`! zZ%eo+T8=m4(19=PWSr~WTxr_n+qN;##NTL?T5LaXNcF1i<0>2Z1&<8M-0 zb>>ZK^*LN?D;^)0MZ>xlsnzu^#{a*{@de1joFUAPjmlh`se9Gfc>YYcmfIGwfZ?56 zz|69~bXLq6dr_3-%@GfF6#J8yJGM!lNe`{jt`QQMNU)xe&=+?WDw-^y>~2;q$J>q+ zwec>wD>O&UA+{n&eoU}X+tSJ!udpiHQK4t!UUXivUWEt^Rd+e9+}m}C`6r)a`x%p& zNU_3cf2QZN3;){lnpzGKZNMaaEr3x$Ey?xXQd=%ZKJinDGPq{>pPjv%F*@o7c;DSk zrc9TV#A*Xcd1|X(QVZFRn1z+aZBZ;^*WM4@6C3kB+u?B%oF#GbDcK@u<74byAlV4^ zXUOipq9}^z$;w1nVKu9u?RYy*{6dS#^_H6pH{@s{S58rBsQp=iG+`~+>({xZnllPJ z;e8Lxva6eIE?BkPMvH2mK;Yl4Fvmg#<@`n}w3U_r5J~&<2f$&20v825bJZMMtC~s^ z@MEvAHkDpQEM(6-D@FxXUV^KMI)P^F9F7+JKw5%LAAuD?ox?WXKb3zj33tY+| zsb5lXiiybBMw1rFhH{~zMz{*Tpsrk~px=uZoPNrTjb!RXSlWbdzEYy*2t1(ztglvo z8JMqXJSkk`g=58(bin8wz+=O&n6b_}YQ`*+!yi~28zQaSk+)zduqsO|(k%?TJs#?I6G^LO2ZNNf5iMuriD zdBe!HD(z*aVocJ5CJ|ZJH3l7FL@4fKega$+C#LI8D<^fvrhK1;lip`ofKxuC>C{-{Pa|fI30G8NVmxb; zWGzAfrd4WUG5f&c+mXOUe?7!1lg+EvpjlMTetL_kHOTUR9J@zoMO?k{b7>e;kZpE^ zqnW`9s+kL|;9Mi3OqNVZAs-cT#6O#4gX{=uy^o>Jgd$LgTqE7Crko||QTNV3z402Xu2!@4inshr z@7>OLWoGe>Eu)ioR$;=hIs~g?TUz8TAedHxxp)gN#p)_xk)j{E?`DjR^=)t2H4B=& zKOq)M($i%aOSwA6m@EwZ;BKDMs9HnR{k?DA%`tk#e>u@Iy+gOJRJ$X#Q3!60C5oB$ zJivC?NfI@*ZV!NOfnHC5xXL2m<4?!$-oNoOwbr27<={imAUVHBb41aEgK8C&qb1+lhu*?&9h;63bb@MDD2W&m^(_>+2&7_$Y^AQV8mkKy z?0f*Nk$K-*M{f27x{=;7>szdXh0BT(eRK;)V#>wxo(|7| z(;BiE^lp0O!sq;FSdq(LyE_n!fBNZ8D=Lmy!1Ygz>E=fh>x%+u!Wvt5NzAIO`M`VG z1Y@g)r<#eVAEeiM76Gh&;cgisR}YwH9C}Yjw?L|PUlzoQ6%YMP!PrSNDC=eeG)jH7 zuCreAsZCJCSF0==It_S=s+r4+i1E-vH*?nT2hRA)3@EDXJ^<=3je62QHKtY1hM&F{ zeeQ%?zw@=3o?<0RI$uM$f2Nv|kX!e}`%03!UR}D;)jG9aaWCkxr*A=rJZWuAXLwKPaWZ%D^apv8z z4o$L_Pt1u^NSy55UC1{~p?5JuLGxnbOf5c%0^J^Yc8FFW zx|i){;Yv=D?7GqIs^)NT-35R9DNHImKlttKZ0X>@l_8pDKQR0(7GoN&#JNaG(GlO< zrKP*a9=wP7qYFN^;ea1jZbz5v185Gzj9&}cs^VBtOY;{t5Y||2+eMhEtFO50{@7!c z?+jhPp*UI@cj2^O7om4n%upNcAT`VQIlOk~u^67du;9@#+`Zj@OPuRu?FLegv4I$E zp1E~qqSSVLtr@b%Kl^wVZemBr!`DAPwbd0fiJ_WLiF3+Et;l=WP+jB~u$-j5?w=x7UOJV;2gn1}1i1@ey!F{5R~%de)(3 z!UzEwBREsQD0}86NkkU-5R))7I7Q4Fj}e8{7f@CjmH6WzJA#{mI<-d5IMx(JqrS~d z-CI_Z%;ztQFxL3;Ycd!`BEhZ=-OG+LW71rRvnx>Q{uw8J4uqyFi#~WwjN$6k@1YnM zOy7Mo*s`+OsWYufvqA>k)~ZL1Whk7^YaM0aT{X2x4Ij7>1;W1bdDYJfmlK)vGN>+x zCsxk2JnHBPxT`Ji+$a1Jof^yh%h@WQo#dm=+^i=NU1Ua+Tm>GTgV6S&9aLuIYe|5` z-bJbxKjW7l-3fZ&E&Yxl#=N)-h%`h&peA$-Q4!6|uej#pJ0%**=8wl+8c2g_$fymm zEmUU(AQIkDXUieRFM9eXw@UWV6)dQ-k;?8~J&O}Flvn@sCuissO|^b>PrLw&^XEJw zSa5H3BUDhLpNj)^|7<`~ldi?MSXdDO3)sy^%cCvJ`m^OF;2dMQ6!I)#H?LzmkwS(2 z{Fa+Hh`~WtgJ;s{p)0w~vI#-J9{$gbwkR&TwPQ`a zPLSuv-1cdV`uhIaH0ed_r*?#E1fc<2$1bj6lp`Vm(@fO;vueTxVtlqNr8hM8LKNdv z<>3LG0I#NB^96_=00U=|3I6dH-5s)^ z(R?6i3OGBwYXe)j4*8HDYhsl02d1n+bamtbi8U5comC9Q^;Q0QUP0beWuQQYK;xgh_nL3 z*#~DsMgA&0%7ps1qVE~=>>0xZ#KXahu zk%4A}(({{lJwnR07@pPHQsfs9d$SZ6Kwbb&Az4YQz0qq|W20e$A^)3dS#Xu)s`9U4 zjI&epvMi_SM$AxwVC*TN5hPGmm`G?shS>V29*gjXMU1uJ3tMbTzm7C5RHelzY_w9! zOjO~r)o9TeUemi9#!RGixsDMGpK$M|fO_BGjc0KA12GjKDPsHPX_b!G0YTz$_|<}O zE_VP|%`+F-v=Z@&ZU5&U_|Fa7B+G1htC`Ll;yf3Q*qyM8RGo07r#Jx2@$C+Hwt zd1g#?-5I>WH>~=L12D7qb?@7V-))`s?&}f3dKRn56}D+&deb=az7up)DrT&%=7J7^ zKigY0vzk>f`4Fqm`@cWaz61n#)& z1!MO;A0zP3-=}i+-RgrLW0?_(;^rT5^029ekrB2h;G7f~+w8|l!ir%p$WI2|x$f=* zAjj{Q#2h<$mI4c21k4MoZ2ueimTYM`L+l&!bX8DEqYfjku$T^HX?w1#Cm!wcjF5#e*Pm7%Ia!;x2L+h#U9mWz%Vw)giISs2T}(oCD(yad0e4SZ=2?d2_pfoHUNQXLo;FXVTm34N<_U+)S zI&&42_A|3}=EOI|>ExL#l4N-!r(HyzE&1ssXq2}v`!d?Y9+JoSr)eXBK#f~uMpr61Jg<(xyx$~1^Hx4DvTZ46cMCbZr5UChheN0A-iF)AzrHbc2 zpUQlxOq$E?ETM5)3xD$w8uu2Twh;?_G~jf=ZBy5YH&D4yidKftM(T}ms?ISIc@u3h zT*fdv=@R6)!KyRcSrD?}P`V0PifcluVDp%as05yi>I{b;FK`fESAtHLOD>iqe%;3p z1jpWg>v#5J?9ZvAd;vJ7KL0%0pM}-kN3)2XeR=q7Z^~;-VXBiSzY@~N=?UcuVY{TAabUfI$O2*i8HP=rK*gb4+*labXv)dH;0}DrI6ynLS%DsgS}8*W5)^XBAN+&)DD>sh((0=SjU(VU?HEIJMJu z2u}Sx4HV%FiNpyb6cj?B*Cxmqfnc>ylV=8oaVvr`YH2QT?$B30emkDPsfPntH?jw! zLhqdjmhiP_n)GQAZ()N)^5N+7M_(by=ewsN@&r=s5e8Oc1VP!U31R4>Of20mGmHe? z=zr}-5VG=v7{S?br#gc|23Au)V?s~j;&Z7aO3@s)jy?QAu$sHJ3zWM5mYi6T+%N-- zAdGD_)wYg2(=edozf;S!kogjZwK=vPLiCrIbhd5&NUK9R`kTlzHV_<5L&oXaGmM7;Tjo=A0~M&< z(zH}I40gN<8w;fOPSk59S{+NGiouK^o*F^K3hmjcp2T^hcTN%|@IPPUzD(#Wvh9b| z&qnV1CFV0vtd2qK#D6IS-VGQ*QrL>IF$`35H@hVxi&GtGP#Y?8VnzkoGEt1|#b;O1 z2x4F%I~Mh%@1L1-U@K}5(E8tdNq zqp=$)=NZ@1brhHk;IU)&jW{70&^8F!#6Q9_jQWyVFI%oO1nP{l#?Ah2#+DHW7OVPL zk|+y7C8|aeOS#}^fnN$(whF;6fblER)+p#y0U(h&tAv+sMu2n_RNm2mIn(qE&;M+# zE|JNN4YU@x))@pMAD#3wDy-Q};~wMH*c4o#R54lMRV;~zyA_l#P0{kqdZG(fKVpX4^gzcAR zmQ~{@{tWO8ZqaP{Ftb+NSi!v*oJeW7({x`;=R;kNk$*{EkfBvG16`#$i;X~6Rj@;m zTa)?BmqwlmOs&>EmEHOvJL4L*r$8?g1x2Su5W~_{Gpz2PW#%(u*okL(>Pbg7bhLQP zYculO@wgJ(Vi?+YA}UF1BWXFg!@8GVOEh+l|p{~kr?HTB>qPa@c?`40#5e`OQrjd;cV8AnP9G+o0 z7^^xPCC_lGuSC9aJdHUA%+JR0Wq{!HOdd=x znGdgWd7O{T=S;d}+QCs{A|~O0o?to7F$j!TLJ{U7vqV?Ctz1;1T*s4YL63H!A)6n| zn^QQFJ;ALAG(&(?Q;A7TCv`CWjD|!2W_zsMEReS`8c9W}R>L)4%bhl>1$|siD>N2$ zM7ISxBE6FC!+yJ}mwEdZS_0N>08!P7*oF}7S644m!NFWSyHHZo4vJC0ny5@DoBCuQ zdC;{+qCChvBc-zFlT7Jtw+6V z%p-W068KHD#)D6@*2Sxg`1fKw?5*Wzyq+7%b+q1!>|py@&#gcpR|lJzcI*&caf9Os z94UbwN+7#7=t2G9R1VXiad4SD68lIABqbmc>~|NDzq?tVQ_jVlV)Yp5u#T69HL)HG z;E38EY6%=^jen>$U+8zwyQRX|y)g?HjIy`5N3@QVz>yL-QUXUx;7AD^DS3-%H_J0`T>^9>WO-J`m+wLU#B026|I;(R+UkHH2^|Kw$knQ-qcG`e`X8qaHsIi{qdy{WCv@Mc+AVzF{gA9s}! z8zRB%gVHklJ=Z#;pD7++$QMGFt3d3t_Tg`YMt$p zJyAi=YY?vNnUOtWo8zABXO!sowEd3yP%$Jbw-gG z{Yq z)`*t|XMea~WZm$D3cD90L)H4O8eY0dqrPC(0;i36C&2qDi53pCoj~=d-_~X&XhEl< zH9`c>{H&w{ZhoBJL4!o0R0_Th6prCaL+_sLSb`E4EHV*`gOKfo2LwW0=@Kv=Aqd&> zD|)Rn{GeHt_~tIHu}SueA-uZBI>QTc=ruC2?|-LLYiu$}3B`1=V76{}B>}x`p*INC zYh=1kF{Tvp3%xyw-C&JEL+7W`g-xZU6!8*>MeqzSHA+krAy6ZB#28PSg?&E+TXIwH zp6M3~_+t{L${{Rha_hBvs4bMoO(py3B@lp@bgDCkUo)0w{|oM`KT^{gYd^-xYY;7p zn58^Vtjv~88hJ;TL#a}WS%K}+hL^mqMbr6_O>u?#**#wE@(~%;O?=fDvbgoK%>o^3 z31!EKbV|5-tR@iz`bfaEWelTejSzhUb(YO+FNvXSj+1^+bgi_o!ZSHM*cvin2X^p5y4}h4qF$Nc6FS z7}pb(@EOiQ@Cx>czE8g~)#(JBc{QJ=p~DaB)@aD*dXkrD_Qj5sc16cYW+OG|#cJnC zBJH%+>3mHrD7Cn=@`x6jc~(y`p81Gz=a^P%NBxBb{VY;S_RU3j=`?6eR7ayV>iro+ zZ7;L5hTFrMX2C*LSrF|iZJh9`f_$}Ubz&4vb*a8HxTu#JrLs9-d&{S~)LQm_gD1=@ zwo-BL*n*bIe#a9_y8C52q|e4Oud?es9i?eTS6Ju}QSTc&C?um{M;%tto^@2(ruHBm zRqW8)S*dM<)2?nt+IB&|oN*mNbUKUW{TeOPmrCGA9e3rcb)Dhm5InWB-%oJ|LzC-L z7UjDIL`?4MWzQRNlZ8=wZIhvCa!v+_Fzs33Wn7(FWBWZK#K^+eyWKxC%2%t_*kOu+ zXI1nwku{F#HoX@ELAYt$>6(uSw0 zla5tjraFr+ZzKz6qo$SJD@5pLJZ4d+-9q5g`Aj+Jw^>Ou>YsS@V46)EM+6DgapWb3y1Upuu%9cf$zp3s!O>ULkxvgqATWd$LXB6S$m zYZPTJLWNk}Q)v2WVIjF)t)&kKRAl$^4CI4AsB7V+MD)x}leCh#t1V71Lwj2!%a9gv z!>LWrgtzwKC?i{d&UEl#Hp^*SLh-G;)7VXlvZ*_ho1DJBe?H7jdWOY2NqP-e<6-Z?c6soHsZadtM^xLL@ec*Q`Os219)U3kmIn=L>o!SVEY*n^Y z)zhjtA7OvN2@g9#SzvlT%g4yj0jtqW9kN+s3byUbG_t8@ts+KsCO8QU;85}Ee4Pbs zWI$P9%TYF6V4acg;xx<(O-TfGcKoIop?Wtf!miNuEOv+ukdY>99EW_xegwk2aT1lW zBh$gO8eLsT_Gb-q`sIpUDqwf2I@2XCa4Lskeu{KLR*5sZf`&49TcRCAO0Zw=u4=RgF}V-b*l3>LF=dsV#jQbg z{|uj+n#ea)t56c!nYxbCf+42HM%MNDM&piGo$j_+c2X6lOqn-D&omC{#yFn5=F=z( zCsIPdvnV0@LDW-ZzIP|9*vEO?8=LEyH0HWhQ@2zFV;g9#s6Lov*E{rn{A@&1iMgJb z)#!jPQe$?wg6$#mKT<70s1GK+N#V*fn}Tbn%gWPLCaQsXmLpUL_MSwYfmnn8s%$Ep zj=0cd3Sdazr*7+k1*(=MErM_{y-pY35^BzXsCRt|5K0z$NfA1!8hyP+t?$pcdD9XYywtOp`BG`p1@jr^jZG+<4+lqA z6Lv?$+&|Mg9{Zwxc34nBDhRj^3$C__%w%PSokS~OHd=_8I#Lwov&OXg5D2|Q-8|o@ zX8^6O)ijP8Q;Buhx*5f6Fq0uBXw+xnI@KD@+nraHx5fxjjeAK;v+icBS`;Hxq6S_a zFHzr$54r3hxqj_9Teqotj#bZvcFm;NSvxsBkTfO%7Gzr^F_5>Dp9+4`6Nzb|CL>y2 z9*Y8S0qjzMMdL8-L4?s8rx0K12+%r;r~2XWPw5+xbwJ) ztmH+YAr*|ttb|ers5J=g02O3kD1|v+)c(wky|EdCA*s*VkMB&kHCnVG%+w}(Zu}Ao zorCsgc?bmVILU`;b;XSgH|jm1a5Ihp@B1mh@alrrIHt^=s_&&erH0}*UDp2z^|Ssa zap-FZ+1Kc_5G5iFhXS-ln$L7+@?*Nqro?y*QXl^>pDO;zOvXkr+P1r@*Vt$b-MZ>b zrt7QjZs#XPUmrqgsxip4!Wmn;1*s&vE4Cb-k?jOvo?||nxCU!Tg{Q}oehpd`R$0Dt z)3|*Le^P~%;je^?JvE;Duf+JUpZ@0DG9_x7{h3gQ3g*rK-ApG&DT{yx zTM{FP@ULNmx1|=ItmZR1Bd#&^p;Sy-)IuUAaKueh9hN7?0yeJ%k-B!fsOP~jz^9L} zcsOj2>GNHu-Xc}k&zKPEAlP`O>r5xcS{bcUbb^inRMp_((Z^f7a0MszHIYI`|yrPuYMPk0vn!ozu^@8RsqLS}Vk8lIJ~%m&9ye#wABrJjQO0UCVO zMxF3trXH`_{h3zQ55%Prv)KLVk}}KA;5>sDqrUgKR8XNch*=_}+$^@J*~t5xh{qGG z4AITq-n}@lY!_prV|}*S;BM(zg(M7cM4GQ?UwsN{u^K^WXovEYn$TTE``lGx3r(n* zA=*)ODwks~I~5EMpI@UwpgNOk8;23hQRHsgN>*B)PE19ZQaHIH+GPbC{e-m6!AyZX z$1>K1Vke{A$t*Is$-7Z=B15F-vkeZrBS9raKzEsi-jj(jU`fjQHk(yby5{1PqRCGZ zEvsnoG|T39F;iF(x77YjX>J>{R>~h1DPDw46SE?+qF_^uGGZU_ zq~UsaTESU2Dt@5$XHJv2LQQcdRzAS~j9AI@Ac|t_B1~EfBtD*mX)l7jDchfw!`6)& zYFH%$cG#EeHC1vA^-|ZDkPD+T>BsbG!^e|n0Vk$R%bQ3IPMvk&S$*u!Xhp1_Z?t=| zi5KYkY?dai;C$#Vw+kK{4Ljv4LM@$&qyQtY>~fNfTPbI2_e9p!8Su`d7{O=UA>zyf(R^9 zGfyWCT{c67xHsDx)5jnoq2&n*(Ypox%s@ezT2Ve65$b@$&ig0FEaZ8ecDmsAXN5Iw zVw%(k)6G*UTsc6y&0E#tfxnwVZX zLF$9|j>al85XQW{wx9wrx&wi-6)kt>BW@L zW>UaMj=zraFnr6IF$g2kF%6-MOgWW&-+5eXpWRyKEr%wH|UObyKiZubQC)iEA*g; z`K&7SE=C}NZz45IBU_D9g|32AK8s`q-$YHJAm?U??rNn}p$5AN4A&?LZ%1})Ooa1= z*cy=x_s`7N<=7akr;DihN{TVAO?d(#&lXly3l6~*pK%g1ecK)BIfhW0rY(4E-S)^% zHa(lTvuDjzQMEw7660($WzGT1&O2q%)ua5>&k9<6S)7C9`;Qf{AWxl;2v+@7jhBqC zkTTbW^3G4X8e$cgiAt^SD{O#0>}`Q5JV+gBt-`CD7!!p>(y8mL*c_(?xu!4;Viwr- zH!xYMZv>dnfME_(&x0_&Go7?@<Reg&27?u6X8>wUEVi zDo`r2kTu}of-(hgEh$#pMY`%Yc%y{)To`MAXC&;1D~6J z3R<}2famCh%({>;`$3!nDUR6G>^Lh8vIG+uDvR4G)mV@jHH-F;zEz#~kTcbAW!CUw zXB|JA5l!PapczuFxz?zAW#$RRj1Z`FN`>fknlqEFvq4q!CZ^X)65uSwAw7;X=u4TB z^3Wp60m)1eJ6s|Xi@=Z1O1BR0k<_d~R1s*Asm@U8;gTSfFM>3&5O9>ZU1xsCC^1o_FrU0l+qS}7om0={eA%Kmnj6m`dk0yn>YAzi_{F5@ z=_)#NbxahXm*$~0`?#W4=zir3#FF@*A3(*lL7fRxZk5{veg?zh{Qdj3C|wx5vwYmH zKS<3Cs`f`?ZkczsqouA(JQGC{Uf-YL7&PCE-7%FT0q#zWUYq>aHpDN#OmL!+j%wXEQWk`!;L(Dj_TXR`y0Y2nki&$a_&L(-9D0aFhjdp5^sZt=V`*cnqeyIh^}UQ)FNB0z3^)*d&WI zBfZKL?Tje{omI3nV1l{?N@;suUFI33bnlu4Cd`vo1(HHm&z|Yn!ZCuUHuC+zkk7FC%k)Zmb4k1D zDLGlLr9~xamPhL#(H1)>6erf<4oqK*xDE-Es5tR1kqX3acQn1%(Hmjhp$m!f{$8lw zfjOUr)FBy1XLH}gwbl<FUN<{`X7+(K5yk7T*-89jg#AZvR=;&4Fgx}D38;p z>oe_LmvgN_&r{3+7H7_+c3q>^nj0@wK_(Z8-F0R6VhXoRIvQonXTfSv$*DBF37y}S zCMjec3>cyUaw}lz-ak_+&Lzf6$uofHu^p4_I4hMro7jd-j12nv4Bm#u*b&Y+*;84y zX5H3cxys6pHe19f+@I;1hYwIl9$X}C1+jUzid#mxx|2%PQ5m6!>Pmpr)#H6euKl2V z;HkfIaNW)7wX#Htt3uchdV;MM=*x9)BGj3Et=jBU1+Z5Js_w?zU_v^mj;yHbnQta1ga@EQza|d!21vYOTcy@8iyKK-F(Exyo(G<15S`U4RLDnabF7V#k6|ZCrx4qf zdf-SVWe2qpE$gV$A$ptWj%!?&bjkUo0(M6qAJ#hPlm~`8R7Sov^_!Y>BaH30!@kQe zqx@J)c$q>ce&XeLN>riEVB^Ick0Fkx8n#_jdS)#&3o$ZqP$9_Jh;EEJk zd2jd?*G%xPnx0_z4r}_u#8r%awC)zH-_-~d?zsLM;nsCQEFa%V&a)q7e`J;yWaub^ zE3~?j=UEOdiQ4S2h?q%65ZNZnF|u_#C33<%xwigtz3Z$>BSLr#etTmm6}ZLdmCRw4 zMNXuw0fX1PF`@S?Qgb}?nTedDo0zYEf0on1th1-p#%p5~^b%7zwoBNsEvmzy*Mk;; zjV+6W*pH%Dmx7kL&T3^@8Ye5r^?y%x|8-P*@4a$5YqEEIs=I3byo0l^bWdz;UA;0i zXMF|za1T&aSX#B}jJ<0VbC|}5ESTuJ1$Z1^#aYJ&q|!)4>t}5Y(@?mkrDY>L-6=<% zHGRz}<5PW0wntR(x2PoAA`XCoszhmE|hZg6wd&9xD!g1iOA)S`b?czWre znu+?KteUsORS`|b zeug}T(H9xlah!%ntOM8GPJwrCI76Xz7_2Xl%s! zglc=*@Or{Kl?ln@g2XXUjI5M_piUHH1lg?Tv&MrTUO6u8ay2Ac8yf9K-bJc77PWWs_W#czvFGF@iTS@^jhVCk? zGb$@)BtA7-@5NIp3NH0v<2$GI;9^-lg;mBMCG}{c`dnM22|9?};cZ|ezo^8q6{}4U zT6SLm&w@wHXrg?{$M%GMBSYhtsVoTC{vozzlKP*4s#FL~af6eC%T+0u_EEj;8E%ebohoLuiYr+sEHYBkF|_zHJkn`4D*W@g}Alc=CCq4-o3=p+$LNMH2Bg zX_HkVU8WkvRT4JFb~Cy1l>I=x({CNEv!Tacy8uIKKtjraq@dxqu9TcbgOkhmN^?e9 zWBd5T9`zj|3?~u8hr`mjjUs8#o++FMG6K?=g@w;ta3Q8`WMZ(qWlF`K+-R9hKS@la7(#zB+-zBaI)uHahO? z$uOUxw^i7_(LKwlBBE)|-ej@V1|<3Ypxv@utV4`YUcMzcu+!$kpKFf{7_T zdI+U4Xgt$N3X0@56W0u=(+|8tj^L-(rw_DPm3!AVM4JkWjv}5XpK9w&Djn+$Qkc?C zDt5_by}&Z{8{_dgke~k~+O7`$$HE!7)($U@n()kPQJrlkjeUH|bYzJ>bDK1=kTAf5 zf&*K>4&#C?`ep;MPynjK{OKqEK@=8-l<@2+q=-GWkDky7Vxly7(~DO~h3do%+XNm) z{f{WT{%bP}l6!3BkI~@v8Kqn>IC(tH3XL>@f(WR!&gd}Cq?!`%g=-X;r@To$Bz9!esqj%Z~W>llzG$t zGOF~Bj#z1eWNpWgz`8GS0-wi$ZIri z4e;mv>KFI2Ag;dlMG3t12#0t^AE+vAgq}Rt2&j4+!L*@Ji0gKxc}lo#h0CA% z@WqYb9I^PeS;6X8e)1FlUKe9BqhE?*)SpO&nb)ojPU6r^H&mJhHEjI=dlfLTVuMtS z3t|NC{*1)66m}?y7b9p?XKe8_8GDZ;Zxw8kPqSzm9(c3dCKAfpkBkc^S`l3 zmBLYKCjzvFM$p=0BoX#DZ}~GtaLs~21wsdO9bs7YT>Fx63i$s#Pc#L>7RqMNb|7US zm4FJZve3?FXbN@j91^To(;kqRCA|a^4l05kkM_)Q3bKaVeA{d5tD>v zg%&CFOi4Y|*~RB9{}G7e)?Zj*@8Y9-l8&21w@4_m3;IU2Wyi*Gn$HSBrD6uuRWW1V z`-~@1Pu|VGfd0mRU&Ik~0Owu$iD$QD^MTl#+bp2Kn19S?z1Luz$}U1+g5;f{X&hTS8e{4K103#(FbByB8FYDa>7yoG{5>lgUrP@o)J(7sp- z6~lc2rxzZ0g(unmzh+|sE6;!}kuB^udVq$?m)dx7wr;5{ySb{Iwl3Oq{gf ziQi%#XY4;D>k76?(EkTpyErwrN)nBbt?ms}8r9_MehL%CIPLUM`jSfJDt z;1fSf!N`;*%hM)VfuKK6}@`k{&ISSU4lrxbaR^Ve(8W zLr;A&jjCacn1YbmhB!&|ziW~w47N5(z!(E;aJa!T&nLet)@!~X`)s@ME<8_FPH zl@%g%BDsXqz|%%C;y&L%Y!c{O?`Eh0*97>>HJx z6&T9VLb&yVKc3CgeqD`g14*ZPB<9=~Y zV?UbyZ9khq*F5ti`458F-C^G-qA4&f&?eU&l@n_OU&A@>SHGY$qQx)!w+Lk#;4`P~ z%7vVyHPXC37I&R;eJ0t$pMU*Q9QH1L!cUEPnS$a{xQG)XuLpHuX^yp9jD97f(M<~Y`3NB zNy}Ze?^WlMK5vOwcf8D(I4eXj*&O2)Y`TN1Zu`;h%Oyj%} z^BKwLyb)uHt-^M7Ti!TA{N)W((0ty83d;SmG3ksE?GY1Bqxzm?+zr{AC3kxp3VN<>=cq=T;MWv`(oSQ zOQW_4+-htS6Js!}yamB#c08S=*4c}`#hJY9#66w+S&^x+h^>!{1Szl1Dw`GcIn_}Y z?KmIrIUoAz0IekYfL3jWw8O4ZXdQ6eukO1U@35~vdXyqvHrA^5I_xX+_2?)X;nu%j zQJpTn;Qpf@{_GBk`RuV5-S!~r?592CF>4aHX^7-#X{x7nuD^>9JnyBmBlo}WXE$Fk zRDox)J^ad=?0`qd#E=I28GAixt)WXPEd1q8_Vv2>>;HTezN8uqeTo#Radriq7GNt6 z&jhJ1!H_{an#&`i2>zL!uuUNYIDV|RYWxZC{+z#EJ`=F&==U!0n19*Wr&>y*%LU`E z8TD}kiUvJNIgFOZt7cV9?_Tf9ffvQv3KC<{bwAjEes=dumh2wli%)szHp%KkUmP?5kBxUAU8qRNk+^3u11UBCFdf;@ZR%_Qy( z^xzwCXvf2vAUydDLq&CnMSAi%`Ft9#9=Ub~@`1~@1vG*%tXO*lm>;6Ej{x(TZi^JL zsbj|o1|*6bpz^Vi?byHuez@UVIM4j^A258Z;j-%0O5D?>O7mHC%n(O+iIo$SltBbc z)C5(?BDMXXFLhv!z4MeeAdB~XoHqPJKV2-*u6hGcs+oFZ8zoU^0lgr;f3-Xr#zTgTPK|a;TaFv<*rc4q^;|gollVhB-G^(E@(T_hy zk02n`mp)YIY+@RP3C|Yw(LkV22B^;VuQcW7Y0v60NmAJQA6p&|XZ*Xo-61xEpV%Ct z&c@z{nW;}$!#ymiO(d!%0E&!NV!|{W__P1-^3!m?^fPQ?E32OLvU~1CPCqs94_4kK z#Qih$G8#2V@u;ils$g)EdLLV>d)%^>lBli6(x}fCe*M}Og3)*1A*jw`;JpBER9nys zqoo4D*eneb8b;*{fYh(7a-z>XT~+6SqbAj0@pELP&Q5#z9TJ{>8O5QLNU;ZiXK{g= zK0Gi*O7gAGdiG-k^ImxI&Jbn%FDHBpJb1POR3Cux5ZWWtZj=_)nW{Jn1(OFpcIzvr z@zb=|Y>;s2XP4a(3xDV*XjGgOx&lrMphJ%xuvO}-hINc4MX~5XCjX`%JzHY9dg{5$ zXBqqB6E6DhIL5|mT%=#bGk0_K>FGKQJVSKaQ=|!APW@CK4-x*e zM7xl!TAh}7D||v!=@D`ZU7m!4;QC|VcEb|X*`r=_xxa-~o;PtL%^Q9s&^2za?%^C% zzi^{eiQ&i36tk(L1Ls30eR2;&oqgbk%_=hUt8i9noOK7QqaR)+c#^+PN$wlH$?VU3 ziP~7{Z_R6a5jb`4UtWi)?wN1?r!lsza_fJn*NuPw;@e^-D&Lil>al@fPmL@qh%leM zUeA+;lMOzI-XwqgrYBzR4UXRSnsB-%*{@cAI%e#IPv3%%1##9Zn6*AScl!G?9^GZ4 zt`m|U1n{7Mk=<~I5CJc>omtc`;5M*qB^82$%_ROa>XVR!Y zyB5}E^O>4+oYJd){JXT!^rl+~k~*%SYE zib;6(BPw)K7WnTE#!)_ts72?1BLhRh3`4hQesPP(>YLAeO}Jm8(O>Hh;j;z6ZHztd)H7N`|gZ)@?FM{q#wkDsru^I4zU!czF)zN=`ASTa_dOh^ln>d{U ztQ#}pFW^}y7Jb!ls20zyzRFM`n`F^P{%j4AbZW~MKj9aeM2qjW#7VuT4Uef>r*)sFJR0658de+`qjsYgGq}rzx5Mu*#0-l6)b1#3!;c* zWo=Q(oJ)G%NbHcUu!Wjvc`=6fkKKV%8$x~n&CqX1l!3SS{27oqZzOIn;5?mF{QENw zJ0JX1iv@unR>qK{&HkOJGo0J*#E1{jcW{cr`J9O-1__fY1w`f*D3qx{>a8_iFa zc)Jw+HvIOeo57Zo_<$~yVAgX}-n`CtwVEAt`W4~2tNA{Vd zY_8%g@wB-Ch|n6#Y2o8F=1)7IWr_ywknfZP%k^ zf%|63L?@I9I!}nie1;Juc{gpwEQu7^HoRn%`a-EbYTnMavDTB%>G#pB9@1^mi#zxQ zBBzR1#$_J9&j}9=na{$ZNyS)|hthgFt!z;*onuTb|50 z<$a@Z+rWf|I%j*GB8o<})h4GmUmOCUcV;75>1TczXUvrhn+Ka1 zWxsBIouAsGSLcg)^$c%HPUf>9O$eAk8H!AYaD;Os)GJ9$_T5MGV`XrjJv)Du&Zg7P z_G_LIY2x6oYwIU&W@^&XzpYIys`+f27A4GsMDXVSsKkV-&JtM%HZPa0t*@FXk>agD zktmVP2Rm9OVaAhBXXxXH7_jwzI+7TiJnj%Dq|8UlVuXimMeMj>et|kT**guooUJrdZ($eo8I2A`ar_cfjrv^i znACxxG|};@teD?(8bz~|;%o{1j#c6%5Xm=Q5|L7s9WD1MP*uvgxeRL@UF~6gEBzKtJK0BZz`{R52-}Zhgz1=H5b1`;L~l_tj-@%c2L5kN$5n<3Ah zpUH<2DU~jleOz|baPFUB-55i=eSc=MSYnB5zEpwhjbbI8N>NG;?|lwwPpw4bl9R-j zN<`>fpgfNrY{RoiS+%WE%q5L(aqzAPQo-$Pfd2SKxJ2J=4sdc%o<-7kpsXr{A{>X*Evs*>mvDAtQ35b)&q$ zxAR$6lj;nf#R&8}pl|k++6X+uX~9ICRHuqnQ0t2CUK+vsO=VarTT&i|#U= zv>6hth_zb4m0l?OX@DvUF8lb+$wZ}jI1+gN7<~(C5YK~5;3O6I&o~Aet;TcvGn(oE zRK4>Cjo@QwNa$yRADqq7TrHQ%aD?l=(SP@71i3N}6mQ-xp)Z`37N|o8sCk&@iT$~> zgd}o*h?QPVLxZjzxKgGTkk9o2dh;`UK#Ya|PF zlT46j`#P%imRKW@#ftcT841))vfLO`9H9p;Zuyms`U?;}Hs736mdpT1!{(f!w0!E4 zJR9AdT2{N5l$-;0yc*82napQ-97k_!>lYu~))rd1{*r{G9 zL-@u;a4p)Twu<@ed8kD#(ySh@SX`J70J@%$m*aXqix}PW{Qr}xU5~|bs6{;{V%4y0 zGHs1pmJVqNC8^HL{WJ3-RTO{%i28?QqC(WjMu$brXA9~1MtmLiTZ|^Hx}MKgaHTem z$<=E29-=ZD%)@3mE{;=rlMLfZ->`3V-$Le_MSLzcWgcVW1tP~UBFBoDB|QC9dl1v9 z6{cfoxrd5o)Q^s$_-1e+6hJFtyzW-vJze0Lz)Va~$Ff%-bZ@xm%}ydpAJzfa>hnwyuP+@%Hnz##En{N!`437Oz0wf|Z&#CBZAG7@W$rATgq%d!B;C z-9Wuqkrsp;W25(Ov|wtP$v7D^4g2`DQhlQb42+F)Ja2?8-6{eO^sT0a0H@>96tLDe zYAMgfdK^*o$5B_)#tQ==K}~H{jx{S{;_MrJ{~k5%t!{Ig!~nGj(`fFR>69eFK_Z3* zycZPJj{!EpNxXxY@FNIJ`7F|BG)f8aRZPz}s^qn`G%Q(fXcqI3aY z&a() zDHR)yTcpo$yVggKoHOWpT0cuR^n*ICDU6L^$Y|;12nwe^!mmfM^DsqN&a*_8j_bO1~bSYEvC?cZk|oon`IVjh82nS8R^4QLnDzA~nVHA@ZWE zxF_5^{Xw0$Fm=|1oc3o3D)>it zG<(KKoup!_i(BCL1E&9DK3lq5uu#3nTt>^@t0yg3!qRn(HmKfi9UZkBB6x-p5Q=Xs zNUhZIN|^@GjWNE4CMtAlw9K>hq&3diz1+^Gm;j(Q$=8u*!xBYKF_qg01mV4aX&xN9 zGx`FrTgqVXyP(XwabiY{o9(xN$DkCGB~_F7a- z8_*Dq>g17{5a|1E{eghGnW!itPMoLof=5w$t*^fANHi5OJR_tos2GI#N6-WKq~pHa znQ=0SRXJt9f!2tfXOkEj@B=)!jwVYDKGwXRuI8C^3=OIJ`p+ad8h|;wYEsK<1V1*- z8)?@?I-2MRB8gXw;8=SA66Zk=u7-W3FU{kb$*J6gY>@zWER1JL&Gte~bi1k(9{cHx z;A4xiJ_TUZaJDL5qdKcC`J6mkMCI5&V5y6T6)`kODkl5=PGlbojZFLA$KNTH@nPi| z^ID4P@#2}M?a>;kQ3C}2@6+=ck?GxBze2PE-5S*m4a?FYcgZ|OU#bPD)~H(G2JFxF z>G_NVSoY!?6Dmw#W-oU3U@8j>efeouQ2nHlNQ>o+jpQ^Ltma9i2e0?gn7rh#u z(bnxrO|e9>Fqsg#C#Qa-gcWghLe0e#A;hN*leX;1zl5s-4$x;`8`TzxqGOPakligp zsP_SM_^Uj#9{{BJ3`4$ZF5Es47gOQk1E%{%KZRc+ENxwR0b{2QoGSWA$f&A1tG?B= zMqfj{x65a4UGKV7) zjyC&wK|~+0w*C?((9ih$(Lw+!iyqz6Z`E$c=V~y5ET2~AjebmW{Cb4uGkHF%XX^|N zW{$A#b!yg9v7JN3sBz-Yh#URr^z{g|XQQ-kR1T{MbGg_;bsx&#$G;@$3F+EKxH8Zb zWSP@Nl`$|Ijd*3i?GfoQ0xBcdTRm8Ie%;?#e}7$BU*W%2>JfyG+9jop)HT#wN_tlx$MgYi zJvNTACQ|DkFzB@+MAP4X%EZU_r5{TIv;#QP4R1Q*!^n|R?WIch;yJ?|f zrx#ch?AH&05kwz(zQ>rjTNr6?o)s2#ll@p4E41!s7))XWwOcSY-f=UW^IMg({i(E{ zdAGgR>h~C8W}%@$X-kc(fQEOZldi#*Kv3v$r&QthZt!-!*&~o zcVB&jKh2eAK0I5ewfno$8l;Aem+dwqm(V6`aO zp7oauX;Wi~mI;pFo*@v?vdus}`4>!5o&D8j1jqi%clFoUhL9sx5jZP^XD7~p(BNC7 zYe;8|-=O)dv63~eYfXNhtLH&zf3~)MfiG%o`33J@sJC%!Gzxr$a#oA=WYIMNS3yry z?E1RTm4N26=Y%p*Y6S0F10wqB=Qs`YA!|`<982VIv}d53EZ>Iq5~@87IL5Kf1qHo^ z@590wt?`Fgz6GrDoN3h>t#WCop5a5C&{x=z$t(o%kgCBfFN^!34-Zs}l~kSGTO*wo z;;6kvRvhPdgW<95#4iD;W1OQV0>H5Os+v@2`6Zo#{k&@M{_1q^FW(xM4*@(tQ?5SrcHGN*}Mr}Mn;-`C)VdZiW zF>lwC!`R5V4ozT~X<$wZ(f?}+6KBMP^Kd1~N8^c%kjg%G?V|)$@s^z|7?jI(D}iL( z(Q6~pjh8FJ=VIAZ$T&SwmPqQ<+qke2?^E}Nho)aE!1pH?$bbqQ-Jqg^(hD8fPl#gilms81@kEUGgHWSw z47JFW=9gjt&n7;L0tHk+bOtP&^u{Zv7G0tDXQ(sWy|AABGv+gaQGfI+lKFe4D{Lor z1(QQwOtE#6IXi8nWJ2*gM}qrj^bn)EEul(Dn_NZh^rz6I0b>Lm4KO_$N($E~3!Kn0 zz{yjrWZ4ooGd1qOUm2%$1~qY3g`YMWvz8h-^$;b)y0Xx{&Yq%krIIrjYJq~WUtWcQ zELysmXJnPlnjl}_eVtsRBg>2i<5|MNv51$_lZt118oc=i_aYoU(*3h5|ADh8iG#6M zsSV@&@dY2blCc?xw&Ls-fe`^K0kp>PBGG!Eu^aXMvmlk@Ntc)j&WMNXk{BD&$h*Ws^#z-69b~r_o9oPV&Kt+B&LxFvv{0oL zUFiQ{qbDm5UCgf2BI}>DY8^w|K1$_0msTplR}&@fd7@HxW4Lxvo zW~RQ<+fEGpNGVN0-#;^YN#IM3V#U*3>Qmdf?P-s*QX83Dh8wP(9Hf?oMLcyMoHs6H zX|`6lx|$j{OgS|@Np-gB)`cIPF+7_Xx?CwFBK6RKRg+U`y6IBIN)aA-bBrtMEJ=fd z?gCyMD-Al7nof=*n%+$68494?$kxA&ksWW_pXePH6pYurUDtAwe4);&Hxk$E&*HQ| zt*#Cm!ECzXsmwlkiFD;?HF<`mk)2rK70iL@`t$8o} z`4?uDIKBKYEO`q6m4W;VNa)2Mcven7--u;($ZoWrsj%K+0!PWh=6VQq_HD9w+tbpN ziN@l3-z5skUvT(4(Ndn#Bbod7Ui~2cYBX$|%4M~;E~TC4D(cM&hS=7>`3dsq#|xIv zWEGKhiOiOOq?J0qRY^Un!D!j799AD#=?qs;1NiE?keog6Re@_|_QjPMgboyYMk<)k z%t0cCP(0{?ee^%Qy&BQCK^s{UYN$uXz^jvJ>;H_`u*lo?nMO7>Xuijq3!$P?ky4@gz&A~5+K~BE%mbob zObf`;eALx!2K_lorACg{wX`g0#HNf)i(WHS)-;WoI&1I!TjzTB+3WoH<9_(E-fOSD z_CEXU%Pi!H)J25XihTNz?WW>@y|HPyS`%g&^LfMmgqHtTB8<&oD;{Q60;|Bfjt(-1 zN+$W%!5kvm7{CB8$R1fBqF6lZ1@Y__h|&cNi2>0)LCC9gA>L;K2F3!viY4@7D7%X* zdEg;{@761M0AYgMzFOnQ4IyHl)%Yg#r)N8e>Ib;TBjt>EP48z4nY2{d19+2h-uIB^ zpTvYLY1aI^OfK0P&EF$I2=;=a3ut1%iz^(um}5#F%+U0w7?(%GDqetMw70#ON8{yi zpNI334>i6rgY&vNv>Evk(9Zy|r9tWAqH93N&hI(-g$s;B(?N$038??Ya+N;;Z~C~5 z?XLV2e7-3}`4|x$4-R{fKj_!?sxbdMgVGt#S1>+njmnSU%RNFA&(rcbNFTpR$xHYF z<*)rgMB@YKU;y;*r2XlG{AM5J56FLd1Qh(D{Fm@x3RWpB;V#5;;$*bGgt)+@T>Z1wKg}aW&S}Lj#1hn>mxp5> z9gjH}U!Y#gR|NzQ9asDk`XRkjccoA8f29I6u2FOjWf;FdN#k^^*Yu#uAD4~jU+ApL zj}Q$e!0t85K8P`xugM9D4q*F}v%ncIF_B3a@trdtMQ7Ci`zgvEh=ZnpSDbp5FwzhZ zIHmLpIRx{&XMpmb^AqtP&ret5&-sT2@EK0MbGVH2(Y!~|0al@W>@j7J!(7DwAmg_g z`$OojN>~fAlBdo*DS3buIG-Qb<6u4=^PkvX z+2j0AXO+Vj9*`3cD0u<5_yNM>wS1do zklVUD_8}ePYfe}E98$4f&0b1BKu;6ruTRMXKY{#lcPV)e6ATXB#;E>-{W2Rw=X+E< z0QVOFbSClt&dYghS0xW%Vm;(8aWKzE{mG9h%wZAsr=+`;eGZug9OBBfy=9o6_RADM z+QRq)%N+Y+FxK+29GkeCRDJ+%^K!X*gpvpT6vi{~dSxHD2krS|ls(`E=I_Zqip~M& zf*9q@UjUmPJ3!F^7UcjOaMlZ~&1@kqedO4K+#>8JQ#GE4{o8W-m4IVd9}}0`<5Pj# zr|32S;74?`@Fs2MA;cLD7Ge~`7BfS&8GtiLZ%Wi=dSRIdEiFGG3q-;#P8q;r+(vA< z;P8vFaYA@)osl0La%}ne`y9I6hGE}ujaOq@!j&4og=w+A*7n*WzJqKNX2pT%)kpLH z38#5zxAy0LyGht8tCwgSg(NRacHjE7w{J9%dOY)*!IM<*L8lzA>D^Vul)6*Yt?&qR4^r@|a|B0klirYW{0Y>O;e(J>cDuiT;OMTl96(k~z> zfc4T-)1Sj>yMCK$vj8unKgs{2^>xe!X#G_4zm6?`f2bQ=$sqqZx&8PHD1F4I9{Ob&ByV|bn?NW0O^5Dht5sRPu+70b9e^z4J%V^ zL%=f@i0V>B2ly4|Tjn-xZz$tp=vf`lm*}6dMbjC!^&R~+y*F-So13&g54OF~U-UA% zJDrQlM!gMOU~;+rf{GX5(PEG%&ZzuyXu!F6aI2$_-w*@5!zP6}wC@1C*AkuIu3jMy zJfZC2GVA5wds^v}&=$w9>OF1m-2jKYWs1(>UVA*pDE}lbTS9bOuDt-wD z>Kp28LpW}`0qXy)^&QIt`OVFWAN>gcKh#(G3vfH;Z|4s>fA+S0*YheqfZ8B%-)6HnMJ7vgcWx8A4p36X>H#d9@& zyp0g;Yc=lN5&fI3uoR;LLgYK!Y#~3+7xL^*hc1tyf7ahME;OWkey@W?TYQYD+Uxiy zHu;4-en9yr?X^noX;Ar-@)gWqQIR7r2O-`{#_wcM$ktDkybzy(V)Q3=y~0A+{@rv$ z$&1MN6rQ$mf+unwuw&JKi`DeAoibCa+Nq}!8z)ut8K}(J*f7Tys9lhaP1deB0=LuY5G=Ek?xlc_;`>$zl)ErmS z)yCdiD)33i{_X0|aI1Q_nr`-AOtU&gr6Yn_ex;hO5nNb4Kut#*#U1QX+EqStJ>3i^qd{ap!sFt`7hIaBzr2lQT+ov-=tIj()N9DjOz2w zs>hR)h$oScwj+PNmFn~Qj1Y}?yOFTgmA{N+XZf)e#I&hzqWXjJW8bFrF(|JwpW<|D z%?X;HKy_9l)gLJ6+MDW2ZyA4_`n!$gwa|Ps)0#;2C5OK{L+dw-r8@1$_BTD$R6^r3 zt^Yhp^IK<*ev;Z}o?e6Ld@8UGAE9)=75f8~@7ZBVCDmVRBverUa;;aK?VIgSeXx8d zjlVi43AYF~!>|n;MASepIJuoB^tjK>pev4!+dA>P%6JZxaCut z-%xsL8(I%6aJVhi-~W^mNA;zKt0q$U>T-{t#>*0KzLxr9rW|;Q)9*7#)4%p5 zYTwh8w}$2;klX5PH|z{0Rg}E$vWJ&ZsK2I>(n|AdtWUxx@n~{w`M-rG(fmFaZ1Xl9 zZ?jDo_x!awf99f_buZ9*47a>~kcthQU940u6JS9>}>aKE60z2TubG{#ep(6f8;!%GF;Pg}+-S)1`m+5YY7PfmDTnCc4zD*V(Q3+0V=;pCiRifea_Jwfe{FN&Q> z^(7`Ij->YD{AUWOywUIdQ&gU@JzFU*DBd%b%CkQ_Ka0xyli&F>#oppgP1OGFR-b07 z&pTj<^OS05z#ID7IsA)cWNp`Ols~7q?F4E+PO>;T^9es&8X!tNodjGDZf9f+Mv^n6<=F1G#x$3_lU|pd82kJu3 zsfH~d*w*Zv8b$PlzI} z-uo-i{IT#g@zg(j^Z6Q$|H$!;f2IBzEgAS^t@BgBTCbz_6Q<|iM&nQT^1ELtzfpYc z3hG}|IKw#wvbBb+E`FL{pBbp4_2#ikT-#jBYR;qnvT$;5SAM7OaxD`k8+Hw)2aZoj zqVs#BwWBj#=7P=hrc-PbU3M)?hH(a;1a&^V{)w(_TeUgv5X~R6RyR=o>Xx70chST5 z&7|Yi;lZD?DE1BM(TDn*6JBwW$`^jVsfyy}=DTAl4qJ0lslM3NEyWZEa+}6e{!Y2! zu`c@Tbs5w?J3h!c#jvxG9Qww2inehzo3Wqr2Z~$qg`rKk`s1l<+D-LuD(-QV;zV!S ze#&onubEA8xHWSY<;N@3F8z~=esp1@pm8+iFBm)7qW)!hPTWDqhnbi2DV;6+#=Q*< zf7U?t`Iz4oPxjBv&Z&bPvCm?$lpoInyySj>;2X})cv?!;B0*f*ymmF`s$?akb)Wh? zXv}X~3~z9u__=I*`_|`?KU$JfEa+T)QG%M1g75Dz_f0?rwlj?3A?uzqzj4PNhw||$ zH)Ds0%7aKb@zr-yD0wN0PBm;qeNnm)6@P8YmX19x>b9rV6MuO4E@K<@r+k;EM$>`s z{XVl!IPw6mJU8f5w5RMtpKB()gZ$AYO2XZ%e)uof@rZeuJ0C`; z?~7GGYWqTD9qhIQq!SMx(`HpW4w+A1z@nK3ek3 zBf`-qcvY{gI^obIzj5m`8!*18elC3BW?Yc8e9t(eTFXm5ZR*x4lvm@&|8u$DAsini zFVi=^RE5K*^23{(KVDAl?O$g!puf?4;K6WkVmUbeaFJV@(V(#u-KvW47+lo@hnHI7 z@iv6YhvfN-ex9uBjYF@Wt{l|y2=V6Mzy1N!@8qM;LVq$DU(sV8o>K_5#0bcnR8_9) z1rKfWTV`l^5Dz?6zEj61#OXI`S~Ne-4`Ds5$D2c!yp`K9e=0vvUHpfGsL#P~fB*O> zj8|cC(_2PdBZb6@;=rRL}S4d3=Yx}G=$2X7gO=A-^g8C;aTNb`d{b@rwGI$t2Nn}_$n zcvO9frzc**qj}}Ogxdb+{-En$$ag07c|pg+;W1B2C#|2$r(QMYQT^wG{(Ry;?^e3{ zA4DH?Dq6S}$6wX2FjwV$sOtgv(Zn8uNPiF=f4g;v#$3Ew|HMk1FHXK^UrAo1{gZt3 zm|nPHQscvU!RTSTFurKKT;?AbiyJhBg-masJe7>MoSE`rB9>3oKaeAC`+g7RD{4=| zI~Ga3)@vbo{7)F${xN8KYMtDmIv`#zls(@haI~=I7e~61L=4v&XI@GgXLtI643gf7msTc zT|lh)*@t>s0sQIF<*~#c!H&k?Krm!=?KGL<;!yq_SJP|{ln{HCFWZFl=&%7y?o^XT z@n62|xIx%Ls*996B^E&0R~! z_u%7SeMs^l*1eSbPofXX|Ge=EimNi?Gg3z$#c2^OGb#FZOIe0wH4VhfG50>J*I9U7I&C}Bm-=`;yI7Izh zbiu0B(^`niT=o&!763n7*;CsG$Sga&Ph$bo7iDG=et|Fir&D}ndc!(0ExC1m)vwqF z)U*>_>PH^cZ4cz}yUXn1P;G?=x|y?dn*{h^O0tDrOvyuet8qwg138Z`$S%~&u!PoM zi?7%EqzI1La#k;s!1o_s0=g{$1d5tBO43&9FC|d_p=U|SMzT#3$JbsMBy}5>pR_bh zBFmI0`r_wx+F!g99I897+boFHn?}zi`G+1qAO4Tl$K{bn^S&qbCO52{@B-C;Zol=S z&M%(Om}46WmWM{Sn@*Nd@$9H}c$}^B3v%aeUxrBA7OdN(XC_;P)r|E2Q1UwiIVlvnyi!tbha+ozsvg*@D&?HJ0xsxk2%tq`BpS za9|N)rB8a3>xNSKUXRqiMg0wQ_uw0ChhKE+(f@10FaEK5)j7g1?r1J(Li9;%!2sSQj!0hpxU$c=ZW#GP5SQub^t7?(Vh&yzy2={)d|`rfOV`H6&lzi}zw9)= zzoPttx%^4kWjNQNBKkh_IM>-C_)t1tv5fo{`3O|`T`xMAwD1a>rsF@O?Ll$&0n_C_ zyFbSD{wr|Muqc0GnGvFxtuag*Kl{=9&{}4E6gn`ecZDTT{p{YjWEx-bEr#p93>%&5iq}`m9#Bs;k@&c8JsofSA0dCF z*p%_EgVw<2)L1(IJ)@EbQ0$$~wov)p0W6)y#}>2x)PLXQ4BW8j{QKGsaF6Fh>)X)y z*hSXE)vq?>y+ZA=EzI@4-?+{LSY>+g}=O8pHS2(_pA^8b2m3dMo6 zk(*rhANAuEP#q8ZpU~|zKkSR*`zbx|K+y>rKO5wmL+4j8{jDC9-#od+NBw0hyw10N zY^$mF#5lL;BVOEZ7EpQidv>o&ehOPm<6(CiuKOcwd2e?-WibVG{Me(+?~-5PALq)) zVm6k}&x8edKY+|XPnjPdlSsZEiM!pUZ@Gb2_y~O&>rV4y^fM+=%tn0KpU!{d$HWwu z{6DkaqxM7Xt548)%+IZHYPq$odgkqJpz&m!x^n{MXEM8vzF2G;Uj8nv*TCNTIh3Ap zdr@a^QAOE1hBQ!n(*eWXT0V7Pu*PQH|Na?I>+bN0zyukIMF?t?g z{AkorHa6dPgsQDskl}hCl)kB`EgiPNPyJoXvhQo_E9y48=-*8Bx49A;K;;wq1zh^s zijSV4bpNx(eW|?f5_7dp^M-)yeJJ}rw+r<@q2Kwj6o+nTEoz<_GlYC7tm!Hnijz0I*qgUFqc2Z7ol;KKjZIH@Ek<*Cw$}YN9Eaug{!H*<|kGs zirbti!E*&IZ=PeWc!IMMOsdbbzQjkd`O)33X8yEy#*Ve3Vrt>WV zTPovdwR>Bz{=941Grk>cJZ#uEuK3vg-LCr_>>jg<`oos{+R%Kk>4n8E|1TeNy$@%Qzm3)pJ8U^$ zF0;MxoHZ+5`oHxDT>6*nZ>0LojQC?N|GzB>(D7&I@clH2&-}Gy5Y?A($d^m$>~Kfd zeT%|i=JhUrFE6xQ%fjaOms5M*-9|Rm=lLl((DhjG+e$osC;2|)#d86IzhD`zw)x*m zd_73$GW~n1pZziSNt!SJjRirMzdv?ObJfG*cCLI{Q#0M;CF`!C^_9_SZC|R-*Yzvc zwwFyCkmB;^TwIO|FRQ)e!nf|7<%;)8n|vCd|GsWZslV2Jv+$c3B>rB___{`8-vhO2 zbbhlbo)Wr@`Ig4G-v6^h-Xhm{oD58)@`fySEo-^Ms$KodxZ^wL%X_vL@hubF%kudw ze2&-tS<{MRsD9ro#m+7D2-lFh0W=;1m}*e&B-{NcV2QvEDee@i3c)#-(BKpfZpLElWvvhpf;rNj*EN9@cfX)~D;@_#%p8ws3Llon- a#6z)v!`Mkw-uxoL@6ylGwp{OtW&Z~vdLNts diff --git a/j1a/icestorm/j1a8k.v b/j1a/icestorm/j1a8k.v index a6f190c..0337d7b 100644 --- a/j1a/icestorm/j1a8k.v +++ b/j1a/icestorm/j1a8k.v @@ -308,8 +308,8 @@ module top(input pclk, wire uart0_wr = io_wr_ & io_addr_[12]; wire uart0_rd = io_rd_ & io_addr_[12]; wire uart_RXD; - inpin _rcxd(.clk(clk), .pin(RXD), .rd(uart_RXD)); - buart _uart0 ( + async_in_filter _rcxd(.clk(clk), .pin(RXD), .rd(uart_RXD)); + buart #(.BAUD(921600)) _uart0 ( .clk(clk), .resetq(1'b1), .rx(uart_RXD), diff --git a/j1a/icestorm/j4a.bin b/j1a/icestorm/j4a.bin index 91e56da31102c746bbc6c858276db33fc85348df..bc5199c61738a755946c2b0a1a3cb525a0f02e63 100644 GIT binary patch literal 135260 zcmeFa513|GStt0OdvAU9Rd-eOO;R@1NfT~&DoqP*C__(d*fyT3WMe?Y5_mK#yUtD1 zHW3h8%##OMm*-T{kq!_k0o_i5?#GBO&dOQ}>jR_fd>vVbjG{H5vj{Wu6psIx8E0ET z$DMI^_V;_=bMANVS6_8EA68 zgj%^SPe?vH0r!=lLMO57wW4d!u+0kj#a8ZP!Kd*6UZEpAJ61wF`iyIKPdoR^gzvlz z?U^M_OBKd)JUA9d^qJ^ON2c@0G2l<+%j-Qf$(Vy2>M>L&n)^=ls}d>24VsKGjT*sP zF$!Nr=xW;Aax%sc8MX@Zqx#)hl5eBBGBu>7HjjpH6tMQibrU4E$=F1_yx;CW+MN5O zYDd4?VdvW=Tutq_`6q|bAbr?Dx|Fvyo7>Emcd&f<2$*J~)pr*E<>x&i1vT4=-rR|L zCNSAV%@(OLvOg{>aG&WxZcOfewAqs4pML8IWBFbw8XxLsN;{v*3n?HnY=SWAGY0uY zn{~49yDb@3Kz&}dT$Doyd;E#aJXU6F8WQ(5^V$Cpo)wN-fUaB1g2>VXe$NOuIW*mW z&-xIgrGGiiGCrhjrvgG`@BDi%dJVyOrv)l{K^|RRv^d@VzvJ|ws9v{QkCh(lkiYAn zeP@B%q{wKcNF`M^{mU|t;MPTA^9kba$wDSaK$aPC?-*%@6hRh|gS)b3@~#^nVh*ip z!3usU)WG#OvRG{*^PgKkXCi^HHGQI`nQUc{eZk$gt7b!_;n3f|{+`t#vdsU7S0WyW z6zO>ZYTtTDni(1{H1J^i5H*Wh&je*FP9sd@os5 zRq4mLr`n{q3oyr*GEuQ)$EqG`4S>K z4~n>`Gs7Zl51(9cO+$|g-2|nt4k}{{=G9PIwUTQM0e2${GT4tm4UN%m8$t~9>Wede z9633@VCW?OK?x;V7&SB4C+~3q!A!+p{v!|*>`Q?+PNmQe->RoU-`9i?KpCh~LMlBE z8>?$nr&jSNXjUoz({KuHjj&ClnaHc1XMVp!@z-Wrn#KI1bTKyW^#U=uT>su7d}Qn4 zbzpv^SqCp+nyoRmhyL{q+ZCHg_*@twD?C8-2&wAYnkB<5DaY~`j>E{&&NMwF(Gbo2_MQG_skqVQmG1hAC2+EyoI}@Yu;A zuM|3dOGR$tiX(41*Rk0v*FD96IRjZ*5HoIJ_%Lc>O2O9R;q}Q#Q0w z!l9MsG4F_C)aXtSe|6zf-QgLFlRsFQ?g^c2na%FBw86Xz-cB1E zO-$IHz^&{5nw~_5I>JU1loDoA)uU_!^k5 z*Cya22drL6@w%5?$z~}->eoQF9mlfRPY;GM-Dj1-sALF~K=&3t5FRR(& zx@sm1L$dX)J==hA`TV0!_Mo{zVzzjS?ex_EsJxw&%VB1Wc)Y{2!13%dppDiIuf#T< z10~#<@0CQhzVWWeR<3HL@7_0#3f;_78y?}7B#a^xLiRXj$BgaYIxkYV@7;Z*o{&Ww zMPu}3f*i`^3XEq7oVCXKX|_f&&B{wDzj5FFYHRG-v!+#(4=42T?S!-#(-lqjJL~|L_YM}(eEj}UbIR0$&I-VJ+a_hGAh7?`|t3;O#jdXP`%o9YW z_uZPxsM5Ug(D$omt+{?F{qJHAB8P`siN7b6585~`UzS!BSeTaV2j2a~ElYvkQ^h}W ztBQYsQx(a!$=2t1#z!2fJ(RX?(~@1zbtfXV(z$-#f7ZK$VJeYZ*F9SQ3ru|L8*5eo zG}}@sZ9FTd^hvd3V=i);1Z-viW@RuHuq9wY?`;x>d81BMrVXtLpz5^TEHW_Mn42;i zLt~&K#zZ!nwMMR~0kH?^ZLc8`h+!=%Xt$|GjEG&lEOZEcFG(BVFJ#R4ht)6$u%lDZ z!YOl9twkD15P92PlYt>IKTP5R@uu}Vk>P!&*{_TYgK~_mvQ%bH4KIuYFxu|!QL06e z6EK|Jt1C}DOqHlkB${cnr~b$zvPDdSM%#qg&4*T(TAG>7ZhF}i`5&fcHl+EqjLW`z z2;p3irvpd(QDh{}s0B2ues~+2@dEED>V7#+8EvMP+-J+nh1Yz) zYQ~cR^jq!mOkzW25uquEReyPS(8$kn_pAn~ZfC47TL>pOdy#{dPP!8cgMiNUJJr~- zLc`YtmStPRL%Qy5=f8-gYqvkwv(|377?PQ)6Alw_$%h!U8qtfdv-Q~~(_RI{=2iXN zkj&wp8{uX$4{L-zE0d}K`V~qNsq(uj*vtSroPy2z{R58_M~w}HlabnbTob187b*=- zN2&kT5Sp1FDQ~5_?T*c?Z&sw5bEv?Q&2N95O*ZQfS3QFBwiB-1 z#ECSX#bFO*)(N&|joBgQ~@iCZeF4QT!I5HF!iDrMX9a z6_EM;TvP9rO?JSu**|R3q=R~!X!r(?z*2V*SJHBu;R<1U&QL*^)`68~hc;g1>@EpG zf^lz7)Q|#~@tLVYSt9RVar|2l3!4Z7v^8pI+{uhIwQ1uV$YGpiN8D8-)MB=Vtw=2e z+odri=wGHdg%Y{>onNBYfY$=1?653$(3-@j3F z4ynK@AUuktMTD>%0~LKtpE*#W9rRv{)33f<_yl^kqwW-mLpqXe%-<)hm(bK?RHc%- zVh-ejU60f?a=@dB94ewTl?CAHXyp2rv;kEAQZ-|Z7x)M8oMmumSsl_}16##Ff=sek z#iD?!iu~J}95hFf^AIyZ%}i(3x7-GJPa!)Hbi2*{abkh;0}Z8p76AK1>++o)Zz*LZsl*Er0sc2RS^ny>{Lv7T?~0)jbQd;y|qG+<3r}IhfOLtZc+oBhN#~CKhvGJXS%~&?s|gVuc&(lk|^H z9*wL~I$&RXHwu$aXCv;Ij4Y9j7_&M<6Y862nR#Ei8gPadFNHcqwCkv~A{YO9HDEM4rPW~P zXT}xiVSK(FN`^d~C0kF~s0sQt_a|pCQU_gpVd?ff%>ilH<(*mRYL=lb!6NgoxQJ{| z#;2sUi-Bb9HT?XGh6sjtyTk_n5#*QUXO=G2AQ=>yS)Dl`S#MA$%E+GzqcB>`wm7fd z9I>%?hi2M%8od0#XRM>qq>(14Ay|{9cPF~dD?r(~AgHs8LXHo2q@rdCTnwPsPvwex za=vo{2UQ6(VQqB{KEwyy!x~pW9OEF2Q{f-sqpRn{f51+dk z^NHRd=BPBKAmm<-B_;d{e*hcL1h*!D+EdbI;=SbWz#I}N6)AJSn|9^c>ucFaTI+LG z<{#B9tM)?-BJ$U?Y+I8cA6Pc?y&5*N70G__*x~6yA3YiCMg{9z-AvbAo;bUj>RgTJ zve+$v+h6Eg;=E+4%fmIt53*6AW$FIKgauA3MWY#0Y>x=3d(xxBWO|C<3z4ag^{P48 z?Ih3*Vzs5H`RUGs^=zsBJ3QITY{^6%SU>lyvc{Km z7sCZoC|*njx+iKX&`mAugws__sp6;nk;*F*oF2ETA-HNA2!z9aQ+!6r9vHoQoq?WBUc9kX*!M$&T z;~7_L9SCuo|7YRx62 zyef%s{R(jMwG_Dvwk{}dhZKHV-gGg@XlA0EerZrk$>s&C#)?P25dEG{csj845l^<`TA!xhiPcmm&GXz;!2t# zQv+yAm~HR7l_F1uPlHs-ZA0#faBNF($pYC&D24Q|Tc>vucT$&SZlEI*220>ZP}4Y5 z7q}5DRRw%0Q(urWN0n@o|cJ8R`aetr)Jv2n<`*nJ9R`)@QGYzi= zI8rn8iWPXslVUl`^e!lk_}lTr=2n=nlKIr6@2S9Kr85JN|S`t8f7F6_!CEN%JJxu{#UNqK%3Sa=rjH0Jz zH^Eg(_AOJ&JRUKdMl+vS*vw2ixW|7-d-X+-uPlTbI;MR)bKz>70RAFhHxd!tgk^1RDf19yD^c0 z)p*cI+kwr>UK^q5h|o#g!P`%GanrG|$QR%jGSt}K{)-*shjgyLp*YEh@E>fd%+v_V zXAH@$Fq1|&%w5>xKy?rz2RX2nA>7L#e%}%uUg(kS*ZY{C1!xO_@%U`b6dcda99zdA zeiHO60k#Mmku(+PHUOCMRHm-TLh$l}&P zg1IWKJcKKpb84?2bUfQrEB~Cbr5#HSbvV36AAMS><}~db;wNB>Citac4KEJCGL)6| zxec-vPCLL-SDu9j2Fn1`toS<9%!WGTFi>j8X*4C)8_5kTJ~adwWByH^*-}e|yY(!_ z1w?AHEnZ)8mzm(DkAKM0ZS&E9f(EgWIPAoHywaRhOUN6{)aIw;j#}=lG+a8}2=e_u zL)VUxByd61AB}BdBm?sAeBjX?Ao*p(Juc5?@IXGkeM zg?;qRWG5+ErQx^kycK1zVy_RNW(%{~Czypors7)|E-OI3Jw}(zcUV&cVh)iu$@2-R zBBL<$^y{9zK2{GjOfND_1&EI>+BRIxoZVgK$k_GrZZyVNKg?*{`*8jSV`cAyW`W!-nA8{xo9f~c9B;RvYZxNI zJ4cCNyuZJRULTtZ5MP|Ua3z5H+~1-oo7P}044Tib2Y#x zpwSR2b@?U&;f$9e$W#b;ghgsKCoc#-q_M)L6$tMJx<1Q%Apr&KdBTMm2rvYr) zsqF*~rxv)YD+|$_c3+ny$N@(7Tpeh)Y7nwhHThn0ft85q75+->g9P~28rOdk+yBs| zW(CNiUVXg9NZ5WwEo5haxAWM&O|>X$r*oHfdQ);1QtXlYJvsiKJ4es66_pQT`yW}x zj^+mEQSVDil6BPth^qk?zy)Jq_I;4Yq1BDI4)K8N34D`Ydyp8*-)9vdD?JZXP|Z*x zl!mNrU^TU1nX4->eE@0Xq4GVbx;sy1L!i1~JxIiDprZj~FX2P&l#~tmq%Jc)fHN7C zRI##88v}*8U7OiroFGGMwIm3Cu)tnFZY0d3348_1vUv9NhgDksyPcI8RZHt+Mg{>?y3)`t&WKn@U>Rs;eU#SHEVJXk|GksZ2DHb%9ybz1hICA6 zG?|HT5ZF3VaP#la-_OVkKm2i#Ti%lJ@SnHBE-38Px&Cw@WqO@1Izk=KtlQl4&;Pd% zDt3|+u&LObq!jtE_tTe|mvi9%3@HdR33k^}mAh9*><4r}mWBxs; zn=uF)NA@QWST>^dKCH$ID|bS}C6rC0o=g1lPmASGVesRDRh0lSO)X1VS`kEntx zA6-70A{f97D)oNR_8aY8hDf<8@5UheD?g9H)0zqrxs@r8+Zfvvzk$FiO;0d~x{~~m z@~R+?8s!EKpu<~#upZebe+ti8nCXmXHaHxMIpfr{LfII^8wrtON3m-Srq?1?e1IC& zD6ZqtXd43hN}%f*uUIPWI|G8E+m4;<8KzwW9ye_{wi#6P+A=fF!2!$d*Rv<%PAa23 z!rB$Uu#$+Gy!HL>XGD$R72W@gTRS9DiQJ8Ndk(_mT+|yYz{As_ZU)QT(}?T_zF#F$ z{^YAZeTfe>A8)Lgv6EWL3oi!HSA72{ypGLgtry@Rjw<<>R4BaaZ4AJ78CWI_w-ZqW z8kc9RMDjLN7U^gv8(fqIP<#+Qr^dv@8{_V7r6PymhOBY0Lu3?|{pP6G*ThcjVM;mO z@MK^W4%z&+?9h<%Hctb?27911Y;h~jh!R{kEZDQTu(%pr+AT1)7h}+JN8QJ;^(L9T zO28(wV8aOByB9fBvX3N@w8JPeEzP&)k-dC&`yU6OA_cRz%XS2%)&p#O7^Xz#t zOb6BcSS{i+c}&abnbIV;q0)**&t^4lq*}didPig&!tcN7Ne_>I(D3J^LV!{Lg0^S$ z0?eumMBTTlGfxJ00O}Go?nn5FIU*ZgT)h2(VIow_->11sCi{8QMQ-G_R?r)gSrF}9 zm|Am4DO)}Y%i5Z8+C=hMMTr<-W(`zkMYyn|aAzj^{mgn|+RF%wYn62Y`eL z8qG?W=yg$P?iU-B&$bV_ctnGtY|9@}YF1)~d0EYdW;{!ZYib2FZ;BT&g^Q=TQ*Vw#T~WR&W!Cn$ zRIWBgq!@|WsJ^nVN%NE}TxD6U$)Z-aRD|Dp%^ktB-X8Ancj zZn0bqXa&R<>HX8}9LKW=OK)P}fbKWS4|C9x-~XT3jSW;unX-E6wLyT--LS5mzXG_06>VzE7>3L;Q?xDlWbsXEEh=HY7)7RV>f{ zS3Tq)1L7p7Qy{8PF@N?cobqM;CEG0w4hPT`_CcXp5d2@@9+=1nrvo%Y6RDvYHW;5R z7|%Ea6g-iG)v*=1jIn+;bB~}kR#MNR)NlexBOGLY2EAUqZ9mOzZRZ!ZYZBHnEUA~= z&^dYMXSD*p!8BX{Rmzw;nl){xug86m!pYo#H9Wi>b+b+$1IPu)*J(575A)#&g^|wG zf>0dlASc2Xl%h8`VZaOGP#2O@h5sc_w9t0aT6Ixy7f@&t%RPbQ6{YI-cL=&)i_;g5Si;yj&=}njXkTtVk zqXv0ABs;_kaP}RZ!t3DR!g8j`Kmt4h5v*9oI^fX?IG-hWb_-T1{77&O7l~cJ82TWV9FL!v2s>7uU3i!W` z4TA%*?|u-TW(<-+_HmG^6dQ#~Xx0+JH5>x|QU(?5(|2Kw;xm(So}aykawSmBG9RPpHl@e+&yZThmoqd>UuG&iOc5&cmktkg6~h?VqtZqjxfGw( z*zW4wj7w=(}N{v52^PC~P@4$r>-#nWi z<*f-!LjxRn9-S6A+yV}WSO2;Pcayc7UwEH*M&yRH3HnAB_Yom5%ffFlcV@|>KHT|*qUsUSH*%(3ovLQ`Py%x(*Spb zu6$vpOrZ1CSEdS>QW^$ejD2B6lf=mQ@^s)V5S15_Ro^1O`W-If%U%gQBT- z?|VLrhU!N=7Gihqv4VX$Z6=O2o^3OTz7c>DshRK(aFeG9kgg4D6i05dndhTw&TIF* zYZ)iOi7VN9QTyy(594f6+Q(rtl#9BGmZ>O3=}R`b>da1YUD$AIm};HYoZWfrK~fvB z;C^9dIp3KDJM%Ik2d(gKr#Ra@Ca- z0VJ%c;l6lVd>;NN< zgJuqX2R0hppzb5(ICA)48cP#*9!w01j6HB?o4*Uz>yzYs1zxfdj1A2OM@cP+Eye?- zLmf6n0oT)MK@|_l_ETiEy_wCU6n}nujZ9~erjWNhWwRP$^isZVDl!&9HFI%5m^+Rf z%8!%8meq#%8KESy76JM}YR1EVPOS)2m}rpbR$|w#*p8!0hc>DcAtB8~ZQV%i0Qp@* zL)!(qpN<5wShS#iy1z&$q9wUGDchR!o{ufqcui56>>TQsz1Bfv_?%v zoTZ;OuVv1NkSYa6eo13y8RSA;;{)}y*{Ip}i=TJjLL=Rpc>yS!XrqNZZJ^Bp>!WI8 zCxxRe@lYQ?FO&ZhuFqy3DOb-q`PKWG-~bO?lF8TKV}q6_CBMLHBU11=E9AfcUbW}U zZNk80h@DsIa1??2AV-EZ`o{j_xJSJB*0*}3>A(8oIdtvz!3 zOh*c@JC+(AcTkb26RQSZq^c{#hpZLV2l>f!7#2BKykIwa88dL+|U>& zyGw^riGXzo1tHn9i9c^5%a8-uriu?DfJ^T}+#e{L>+AH=C@F``r}zXPibbGiz247% zN&F&$*dpO0SgHNQSH{FkjAVNiw@YTU0@98D^@qdFAf557n=3$^&wk(|1Cc}h658~* z`|Y$h8D(I@amM9k-zgg=>3SZ#>G(y4LzmfaY$OP%ZbUGNLf?pqRJMk<;odq_d$KO& z1P62tfwR_)AX7T+%Hi2y4#L*B3$UsP%chz125U6o4eMUy*WOek827GLadWeXjjZQq zhl4(r>AI$t%&Z=BP;)ZfMDRV|#<%1;OT3C32ZugXL%iK$aKLKsGH4#0NAIo~SZq^D zdBq=-U?IBM%TOuy#H6j{F93sKRjGjs9Ln;iQ;ZW(;g{76VtQqyQ)^S;=!|3@2rsi6 zt7`~Em`bO)S^Wow`RC*Ki?&ZWSIK+3U6tjxhD+ z17yo^*K7bby#^^a^8K?0wjUr#t5-FeC2DU7?Kf#q0tUQLu zEerFg3!>XL%LZD|SdHmv=*SF?E8_SSjfyj>LJqp$#z!ooVL$}9sh?-b)AwTrjgt66 zIiPK`;y9JYPkCU*IR>%Hy`m#E3N^_ZW2vtqdR)3?e$@+1GNpp{1z;TJF@U65?iu?k zz~1mx$kejTo1CGUJFAUppV!)bfoTTqK*}yPj88wn$GQQxt--0F9!{>fJpLyd$!qn# zA}1P!d6ZIlc%j{9>^UR#8Heprjva-i8WFydP+N4jJ=CmnXa|T&!(QwdJiVs}ngfzH zV^cSqfT%Y8gnkB^zC&IoQ+~9W^N3A_&cwm!m3d!*>#(^uQULcin3vychXrZhPxCjD z2A_u0YK5BGkMd}nk&Uw+k-m};t8oO;j(&QCLTC+hny&`r+aB4X_b?$$`F@GXqN3G% z8cuxme@wG%n^}1}3R=pE6nonK<#O;b*Kw;p?ZPgXaM0EFa;*P__Eo6?|5eHLzhruu z8mK1G(qJ@+_Lbl+lkY@1zLK*3Gfw-=*3}jI*2~!JKjSKXCRNkLZmu~tvpd^*5s zRA<>Q%Yb#7nG#F~4OREz zt{duEf0%5@%0UGzY7R&8*1^`jLw&VO)0m@&BzX(b1c#_!MkXk2l^8kW!?dMmDa2Kg zfSvx~AcoD;p3Qz;xPuq=K82x@(uo1e_!MT|V5<=C{JbjSS??ouwv>2N$K3ke>qLql z}jTvWuTUWjHmq>^0rbHAT7N zI9q|w@jPw}K zP)Z-iKNUD*4&S*sO0{gk?5$(1(QF1Hjgj5`JKp#jx4Y{D`-x8Qz;+^@5(y2HUwESR zF}z^O^Rd?W&#gwy?&Vdz)G}w0dAKQ6X2q zzte+cXYSm@L<3jkO|!Pmtl#P5Q)tMn1GUD2wZ5tqk2T;6HY0Vz52#+AU>nE&m z{pk!;M+-8YT%-wMi;#kQ?fub0b68xaW=o`1viAG>E=zXoQcXmHQ^&P%d&#J(6Iy*W zCx<$;a0)sG?$L16L;U|1y}rn^p;^Jo8m(< z<p;^G2l|1x6qAccAQooS{ z6@CW;SHBd7svkc~3h2w54+Oob<`E0iKf0msA1UdmV__K2#y;1S{fN~#nI!YWQEQAM z?`!q?dzGv6S+dLx4Y~Li7ege&%l{l>Js*iKSmBn<{NdD=$WtpLOD{FlI5CgK0F0in z!guepJNCxp)?a%PR?f&V4ku3jid?w+rdr9YJD>a1 zx^E$`5X{u-ypxFf$j3Ar}ETjRc&jyWS^S2Nlk;!ndE-F7$93>+myRABcsf_7`1THl$2 zc&nRH3Jt{y(#93TXpHm2T3l|oW-P&6NBq#r#m%qb6R0qg+AI=QzXT<0q-wFwSh^!M zaTdn&|5q{)w-<#sN~6m}Zz$1Q2aFE)KWlvNU=B)hpxx z9~lkx2V`yz-iYE(SnBONh>=#=c^LJJ zz^nMi3#R$UFDk!HyofGk^>}-v#*@eIXl=-hjYiYDJkboQto8`B^AB1w8!$lUH5P;$ z&?9n0wC~Z%n@Ka6c7N%p+f>dF|7rZJ3BSMm;?0p7Tb8$lX(Gw)t$ zNXS=_s|R(kEG&h(9FnZEZd_hzbq_n*tn_c(SWP)*RC-j$`hj^oE;X`*?lS?Eob|ez zrTrNXo*lr3>O%KMkuqh&RypYU1F+?1ckM#En(ZjY5KUWZH3d>`#Gls^5O9Ay5axz^ z(rfT854g_M%FAneiv!hh%Wxw$3FdRD6-FgiBdfP7;3#Ycl0b?;uLYDV0^KJ3<$K)D8JEWt! z`IF{C6AMYm*u+ZA4^I_?17MU9BGEn*?rg zt+6#o>%6wud`*(f1njV#MzdyjV zORa7gy1w0DmJaLlAGb)2X>RVU-L_`bzI}GYC>)L%iaFe{5~R}}(1*5u>=%r=XWNA0PC|qxBW)ufS<{NW*8AIhs8gI(p9V*%bjkv zpW-Lbt{U~oEz)e8Sp1~&cdZA80DI>zVDX6R#IIInL8kTdg9s3=f8|PGv5;a)7M)H{ zl+beO?~p+&s=>1d&au>?M*0WvW|fBM;0NR?cr{0&f&YV~?P2 zWaT#!HTj)KA%k2yU`Kx__`C(V;^uu!&}LgUoP8E4(&4qYDgx0yQ?F@(#HH5AFacKf z@bqznDg-Z$X%P!V0cx_gKsTuJi0JIkR2`*TNwg%yRWp3wV{5=JV=We|j7Cb=4w|$y zDr6*h0l(LvMwQe=TYeLSa9f@(uj9=e+4dgh7lhjL|3KX0KaQ0x*~ zG{T!CDcHwMQHEFPf+91o-rVP$1uS;rD6(S?8coif*6VAH7yN}5pD6HEM)c?{6zzx7 zeG8>Q+u=#rz4q4W#JWj8N7-qOes+5ei4Ud>SXF3p72ZtzUNrp!GDGqCz$vtC#&o*^ zYh_$yzH|6q;BRPB;q5fAf$s->&qF=^5?@pj=4mxrL&sH@aHCqt87+OSz*tovE|4QwLh;{wzXrb(o{-STWWt@6QHnkV# z+yRqLERtr`YoJ*wET;V0^~H3`gyp4vcxATGzVU)`;7p&r>)7-%CSK~Usckfhzt&Pz zWJP1H?7pI zvYH%W3PfFIAgrcED}8>w2j8UD?;%uf+mkJ|XN2*Hz|I^V#y@Z2Z?nQx{OlI{7%o$w zNzaCw{uV?I49HCX-HxFpMli|IMVN*0qm7MeBL>E8|2{FI_#K)7iQ3G1)x8|3BJ}Jy zR7sw=fC^v(K{HjDpq3` z79h6GJlXJ2SCNY`){T*Zj{`#XyA-emw3$o=)r@E#Y4{1@z+jFBvKDcI3H^R+KprR^ z&k_mQ#+KzhiH-!#oPjQEWQ@o0ti5;T+lltBF4UuyksGMEzvlQ&< z8=zrQV{DKXP`0IroWkc?pyk+j=1~M~_TLZLERYot&-MFp+=@l?1mKv4=SLLoQ zmIebdbs^d8R4PizDpzZAfgG7%{P}1bzk!Re0duNu9t%TjsJ)N07JrEkt@wp(W^tfG zmIP17p@BD`%^9)SXdi<3sQNEa1ZTZLw7M3G+(6y|-aV$xtg5w$Mdd|>5!|}@boo=) zgj(64iI&a^=*N46aj1JNfGf+u*8%<6S3jrEzp(oOe0`ktX(Y$#Kr9!f{e^Z!hhZ2e zn@UgOFKTPlT5XQfy>T>d?OAz_TW_MZ0?PWIs>ro6;ns(lf-!etHQ=SZ9yLSTBr0x8 z>Q{lVMM9KBJ7k{WHpC5Td?{Vrs}9-613ziS>htgBud`f{Xy18DdFo{-4%5>Q>evIe z^XMl(rEKx@gUaVtZwa-A)WML$!88cJE6Dvu?9af`s3c!eQS{P%9*kLvpj&UK(-(jV z?S{JrU}M7y({`gozW(0PjwMc+WI%d?Qy&i&J5Q<9 z@8>5MS>|!p8onZb5ndstG_799cCW3OL4(Cb4=n;aql%>;F+kEd?=Gd>Bl z$wkdX?B^l6eAho18pI}#*}Zmv4)szsgeC`IASU}pz;pl4OY|C`Aps^==Syz2@$|PG zr~C{6X4IN0-T$>{$fDjrk2gp zmCQI6lP_s?*w})iY@%Ue7(y1$PK|zfIpW}Drvf800Xt9V8jeIciQ_{}3v{vxocH5K zBh|5CPeiRAS9su8nAYz@q*&v}CTHQ-BMII|DoOw^p^7Tb6K+(=_1ZG2afOwb`?$o8X4t4HKhNl}p zt#YATA(8X&?V*_QxxkjOv|>Bn&SDZ3EK9WQNhQ8O^O>Zq8p(efgr zw#JmGN`dJ2f=c5U^^*CX7tIG*Z3EQ>7Qwp(0FOWJT@{P~R9Xvq^N>RmN|@;Dfy+|- zapXDOZnN<$UgeK$TNwgHoT~6-aNPc;S;Vf66_TRMt7`}%E03;$pZU}X+Hw z0!`sXKDvpADJdKMIMlg^unbV3ehqjHxp@`8=-3Q576SUl_L~U(_hdjxr8DC4VLWFI zlxtc64nI+Y7PX;x%b{V3HKaWVx)?-#qkzrCBTGCLrZ?K4F=5N%xNj%U%3d}Pe*VR?;fqQA*z~4N&Yv6EHgf zO}mCR+tLj0pWL$wDlR(_4m^szfFkSlY%^1FbZoA_c*%^{pc&B1)3*7O@EgYFQ;P>4 z;t${j{9&^ycAM+1M^FjLDG<1UJxJB9;~Mp9Ji1Od^J=e;5=~W*GrRoWI-Kyp>Jhdj zp5WS35(#m2r9H?5Uj1w}Y1)6JZL>BwU+@Rzatf>enEmVb(~n^ zOV3le?)e(7u5#ZzXCvM+L%qzx&>%+W)oNO&R_`5E?12xmuCjWpZhTBq(q_?`<)$K6 z?X`Wo1ELsYps*Z$^K7oaXIZUo>oa-u!=&kE=7=||H4L%X8jonCz>Cs=tarce@S+5e z6q+U%)WQUbF zo+(tO2|fX~sP{o$TXQ`7*uZiyo{_8hxxG(ZA}ZJOvrAJLjacJ48qJM(_s;6|%;M1Z z;yy?k&{-v@x>4bXmQ(sV;p%*Q=>~koX3>}L{-HHQPTA@TZ~P%r-zEciE$A z8VtuH@C>?jMHE(Z7iM&QhTVsOqN8*8oYj;~n-pW-(JArMP3@P*V`b1v}Tf&&aeH;Qq0*SfH%Q8CTOtnFHsPCF==QngyA`-Ga7U zmZG|Cdw^|ilgm(OTAHYet+B0*eouVKI>0x_!6Bwnwu2;PVT_dW#T@%IYz9prR|3_{ z4Mjx;aztu0yIc5*2rGc+I0Pl>O=Ks39qYy@jaylb+s~cg!m5G|!M6E3z4K1v!Z+!( zcP-xBjCpoOtJZn5{Br1swH34o-a23-l6mdaUFn7mWQH7$ zfZ}mZ)!iaV>9hUYbqY)TJ=d=v7^c@EDJI{e@4(9;?It9*xxPJ)=hd9Y(#li&#wKG6 zIIpi8;Q|C}Ij$SmAw59n`uTcbxJL({H?fBaF%N#cPtDq3qs^yHv*NUpeuzINwG#^d z`XFlV-!ao7Q<2Zk=sES1zd_PI8QpY|IbEuVb%00)$h~?_9)FObuhXB&Qq;Ip$!l-J zI2&Xywo7em0Ui3f{p8}A9}$q|!Um^Jgn?kt0~^6A5*OSxCLiqY*;w%)okIFnlQL@8 zb@D0I%&xGMb2^??%EM1Bgv5Pb1BKY8BGHAMDlXNi(*xHthhBVxjU=>}F*u{~zHF`r z*;AeRJO`=^Q#@&n&ly&jAQ?=rt6ya{>@l}J*&m;#%Frwe_}$wcb6B2Gkfw}Isg-Cp zYvU0Hsjr_vMwUv-VkLe^dyxEon8Bx^E}C<$TC(aM%gdtL%oy|*Z@C~9i1K;kUwZ!x zt;*sab?J%U4;Mu+JadlI+#OIrF2FLlx@Sa8r+^2j#47ZYjC`1NmB1QpcN-_Omw_Tn zfvaV0;8TK0u4%^L2)qSJa+QVG*n=dn%{#FiBGRR$Ta3pKU#BGSvvs{SA+y-KYS2wb zyhar>`_%X)hC}4}NqrnMAEWe&b_CI3OSZ(e80WJx#FBE^u(1~73(50_RV`yG>}ctx zx#b^^o?>ES@v{?u(00!g7n!CqrsytE2d{T{i!xn-)X{wQ!71>mKaBB_WI3C1PneX*KUprQRJo< zBB!pdvh_q70=2hfQ{&lR4F}8>0BN%! zpJ_aElvm-SkD6vGKBl=OiT*0RhK#A})|M7)5Xc2C58vR7zVUa6ab(X7`dDv3=Bh?m zvX8}^E}FxfkPjM6^vqEkw;ylGWJ`Xdp=gW(sG%mpnM>L&i^u^B4R&PVE zUyXozS9jM)#l2%b4ad!pmnV;|$Nm(`@>Gbdnz59>CNZ8Kgq@fc_h zTzK_)4Eng#jV!X?1l~T$P;?qCh(WSod&E?c>EE@pj83+cOMm#Hg9Q$IA4M=E#E)=y z3h3i#5#|8!_=`gwqgR0CE}ak1fIlnbIi8&ZF;07RvD5t(_Ed}Ss8GWkxz^})L7Q2F z(niq4P)Vh%FzQ7N54a;)eY^ZWahDrl?#fNLA)&3a~!GV zz^}{AXh-b1P-XZ{={2l_Gy=tFYSV1&+bp2OpMo=1b(5}sel6=eFUN;K?_I-@Sh^6y zPtu?Pcpye#OSkg<_MCARvwq)*s-b;&&`R;fAuP7+UulVm46r-m~20R54kQya%V`wGMCi-zbL!*wg?faYaE zT#wR+DO{tO+ z_UYJ$n?x8Kno|&b1O&&W`bGkxM*Kzi!CO_~tj%U16F@TF61U5O6-OHAB;9((&<)RVo0?${Q^&_>| z8l+Gm?xk1=+3X4uX(e_u*|56M93sV!pLw)W__$$zBH|V})zvzih3nYOqc`#CYc;Ku z7DSsMcy;0MIh#t6mcM6{a_Md%{Mel@gaKKi$S+~oAnvE>Q4-%q2#J8CZOwFFpBc9y zP;5LvkVWN+lX%^VBwxG((oSMwB*W9$*canb%ptPYATeJ9bPa@@3ui39oxWnAD3tsG zt{bQNTO=)2PjRoU4V$+0mNs1NvRS74jXy@dGP`RDRE*b+Im}DqqzUR?rm?kxXc;16*7Ple; z+B49n0#Th7>ZmblBT zyI)2}*_%rUu6}7akn=@Cvirh+b`6lTH_e?VS^r7C%b3?xI>>>Im9-^Zx;2STqjd^y z{@L|RT~oV$>l!5L``p){g7Ko%X$i3lXj0?Xg;$VVo(s(ix#rQpu}{82e^N!K7{1zTLQ zK1>{tEZt5@C~*~(7F19h3i)``GsqG9LEAZ<(}BBzL2>-0h{VI_SOMrJ$gp6GFjG2? zXK@uXK2rDOzh(*p-ITJ6i*b)QKK|Y|H=I=fwDd?2hrRfHkT!{`f?=EOc?V3#@9Qs< z!XL`4MlADN$h$Y6eS%Bs4c2Dn;UG6N4EhAZqJC`SSau&9$mTXtQt2^`20M;^F)v}F z$SI1cdst#b=OEZ4u9R|C#dlA7jXhg)sO;1GL7PRqN0@q$pnP;FeuVSr0xO2&Sxl*< zJ3Q7!`|vG5EH6m}JY}7jwniTmC7H(qm+CINpFi4|VngH5)s+f^xQoWv2G_voAkClM z2Hrt@m(I^{fCFBEU{j?$*ErFMh{x;gAx4{$QXGE#0Z=&%xjG;D!yE)N26jYzO#Zgb zN8~1oFPqbNG0>U{R!n>Jsz|Y-B(V*M(5WfLkk9nB2h zWrET=(Qxq=P_DP_#OVuMN~)Nm15Ab5uPJh2ZHWj#C7;oiXQeTnX|ZSUXzaXFhi-!6 z!<_LsTVc~b;q>Na51Aph13ul@)XCXkWIjPocTX!>azoTinmF>5sV@(6*mF<*_ErtX zJ)c_%P_sf10xI}!Nfjj&ZX|wG55{Ul_Q-`;%~-N$%#Tw~YHibSuE?-AdC z!J*3Z9rz&neGq>m^G72K@G8mZjR5kt;U>HS?&Q*A1BjgegFwehinI~YK-%dd>b|2)L494h!??x{h8*9#$F$Z`qP^!y9P->U0YNu$E z5Z)NkB3n?5zI`>KjfGkwCicXUdcYKK{P8M@txu=?$Ydm!Jx@)#rx|dA2?@0s)DsBf zr~wcGGPQH5+Ds#H(M;O}eWt+r86c6va$B>8PvvN0wT8O&)2d-M`Vq9l%@vCKEQd>e z#5W4DqiI1U;t{2G4fLB31ECdbhl#HEcVCBv=mb>?B;O=Uv8UsozS=|{bxjzm8=ayZ zQNCwA%C~H_RGB3>-rao#r)S7>rJvRyP#pA#TXLL=&n5AYl(FBkx4bW+8rZXa90x4T`E)SJg`gyud zVTwu))$}RS_U%Vfs){%l0=*uc-J$+VF-AnE&2-03EGy0VF3ZI8pIATL!OUR~@VnD`Rx?@d zrn<+=>uw5L*X|DNv% z?WdqgQ$-1ltwHY|*iA4zSy@o&D*2n+@LI9u*k$h&)@_MbEdWGArXF5`r4;D+gHG(K zB4Gj-UJJ{u4Hz*#v>TB%Dsj(*lurY-!<=}I*8=*)*7KZBuFg)(e@6}zxyF(9{M^RY zf-TkrMIn!d6nQ56%C~aqnm-X1t9+Qk=3k+g>@v4vz7>waumhF(9VkO|+wCq)JJDk; zlMF=`=sD_9F$8GLY0-&=d818oS0l2_hT~+|Y>T)O3pqbd90@Q^ncSGhxyItrf=}?409Ol>o7v@47nRZVVLGvXdFD3tGIF)$sM~2QT&Z_(WhZ4*cd7+w+>+&Sz1imi^ z^*4_XSLEvRJ$F@khsj*!+&`{m7utjriF3C`Sy>u4Au0Fk_WjbG-RDdV7!SfGrP&pC z#THd*(ypA^s&-`AoxHWlmPZ@&A4@pigZ&R9PW+(WS6ov%R#C!TidlL!|J*+f2}$;-R-^%yyukfr=Utzp}1 zPv~8J?Ty{9gxzW*^#geD_U#MI#@1L2A&sZMqq8;SZte?*)iP;YNwJ3U%_-!osmElk zKlqW;O%bT*A6Qi}r*@yPAboSMOV^%%`4>QWq6el4!wRhf+XtHzENBn{mfAz%_F;vH>q#EpD*XVp8SbIn>_o z2Tz`^hz-G7yN+_{6rF?!J_Xb|1)i2~4lww|G@otJBd4jL*2@3sfMcP1MQ>S&_%;qM zX;s-!W5{BnB~b_f-DcT$^?kS)aOkObILq~{2c=biKU zCtuy%2crmkgD+dXE-*V_&ZPOeUxYDt2ZxU+;yL@K{b7SoYLk? zY7XR#U_)d`(P93L{~vpA17}xN-V3k2&pv0)d?a%c4q+0Kum*-W&_NGj#E5|`#uQVf z_FS|$1zx&^+!%d%?GzDnZEDv{LI_IXfP4f&Jk+T8YVRGiDq3522u4so25AMw%Ft4= zy|#{`DD5P<|Npbr-e;f5gpc-Z-{0?j?~}}0&)52T*7w?L?-R=B4>>Z-TQ<3dl!$() z)*WR(iWSUr|JoDNvMi(#(Th0RE(}sA*J~K=3i(;nABw z6dw2kygW+?od--tL$cbyvLGl*VO_8ug0;oF+Qgm+=(F9h&IF3Q%U}5LMqH{4HvCrG zT?nTjZi#MsX~6M=X}0;0E##E`1QAC#C))BthAFGR{>UAw@{45;onBOhhu_b5vO>E^ zTdrF+wrFJXbt(bf^K+@O+Bu2IOXuLoRkH^Q&;9E?m*Fw(8-L8!m=2qBbaNzr$&FN? zPJHjvD05T}{&Z(f+(Lb$LH0wd^paQJZxLw0O*^20hWdG%X|DZYGsEX1Wn4D_udGh4 zq3VI(=(_YF2PbYi4&2DSu5<+>>iw9ZXL^2zo`dQqZHm&@Jz}vuOQB=~WPIY2(`kEa zm!o9Nh0a!FV3cRxa?KiMG!HgU0FUNdubuoWYW05-*LMuDxsaCZwI-94$KH?IPbgWZ z>|7i_QZ`dK9CG4&`D=0N(+@Ua)y1M!Yv~#zi$j(zELjXVsnVQP(&a&78(?whrvrImjGHivn@C}z4Cdg4bretZ(QgQmrPVKb2RuBG z`Uu^LB&L^rrL3Zba?VzSYp)HC3dQj+{9S?<1co2MBCI@i(+%JS;ew@26j}8rsPA%c zh1K9jNZ-K6EP!5JwvYW-2vtdFpF*OM!3?$ld$Lmu+S6LF_3j;_wbymr$Z=uj_R<=K zU!MD|T~iFVnT`r(EZkv~EZAf)X`Q}j921x>ZQ?LtmuIsPas%jx-o({^c#lyPh{`p@ z9vVduBb~)e-*(q6pcgJl&>96~s+01lj&4=4gVaW)LTQEus&(9JKquW(mdqTK?RONf zh9+DbR!Tosz%w|`BGA5H_Vxs-=d@v;*firt0s^q`b_}z7)U->E^`T1`RCRy23^uT$ zB{^{c(4J}tKR`+=6{9s;Hn~N5vng10!=qP0FnT^5f^CXd_(s~Wu0^%H76Cn7ZYca< z0welO)VvktTwZ+ZFe9V2vqBWvotqMN7S3~W`Pti8Bzr2m|Y!RLdAE>Q+oJ<8* zBVeuLtgW7=m(#3_eyo|305ypFzgV=!tA6wikV{UzZbcJ6`r5HI1~osRWEo(M!vhmb z#-wnQR#Trn;;UpO!gr4AXTA3iMxfW7`sB4nt6E`b*h!nt%e!muchv4DEs*eXvzY~A zE0_bLM_cYFzlB3L6xi@;w3s?TEnH+_{NP${{!RGLYgAY^%MKm?@C#)pLj!Qk7c9N^ zC3FkFF`2UA(nxg7V(y#tIAziG-UCA(M zZ9`X3$&#ez#7%l@(4te|pobp%l(V03(@}poG^n%Tk9Whf-+5ZYUVC%Xndr709aZ}O z$&!ASb%y`*!AcqnjXeebs-ISo;W$ycZYST*E3Nn0P1We9eT_I`h-7;iJesTpZP2O( zLgRdKd7oflD%W;o-Kfq)?_&(_gk&9k!r8-=7?<%B70Bob}=WSVAvtXwN99p1;R^9gIieTRBWP7{>-X z)ewIKBX^Up`49qek+s0>!`J@cQJw@9{@~|>DlGiVeW`IUrVT|6uRO;aK)xciY*!n* z57g+*>&M_7b8TovhKP+v`V)VSu*hJ`q&BW5pG~uf_G}aabfj665STojzm{Wd`je|( zM9uQ-?SH1>fBstZHY_HQBf8u`b&eRNL2)Ff@vu}vkRitam2h@(yt!ypW#xpZrR56LSNFvG-r%3+R@CSRu#7~t2DT|N#D=*7 z_)v~e*8A{6(>LuX1_)+|A6T~5fNCl!nUji(h4XRNXn#$7_TX+lKQrY?rxGS6r<+6Z z`sG`+R{FPUhbX{cD755Nm6;s#iyE3%EE#Y#IP7XswSU=!+x_e}*Ho-Jq5Q4{)Vxqu zvo}R-vJaVdIXeNNrqndksf1?8g6?JXg>@rFabYDJ_}NF-AIsMGCRSQkA&#MOc(~N6 z-kPy<70`#wmND2gCoqD4bx&@0gVhl8g*tN=%lswuT%beHhfL)VUM2i7dDB_{fibb1 z!Z`RkL%VvY6haNubg}6Z5jW@LbGrn2?_Q1CI7Ev9B6Z*LRzRcHAX7toPDrxUfV^^z zl#FU(e$xst7n5KQBy-u0j+OdYT4v_3izh~fbq1&hoLyD9uyDiXIFjT4Ie@lA&gcgc z?b<>bXHIVk@gr?}_jK7G^lF-U9rJ{U4C)U-@_-kz8>DC7!&)F%aoZdU2Bs*$Ql+K9 z#i7d@j*}`BWq@_xv9LWOcSXn~M1t>-+r2vfD8VUkL>KT4B%m49-NFhIpPCJjp%^@t z6d8~@nX6# zIul}&x~%~P0WR=nJdSB7XmUo(gBAk0!YH?NGL<;4P*Du;e^f?79kmPG>=PK%gE4=K zBlYML>NLB7B~yzqQx7ojR${P2^fCzWLZR{0OvY_TCWS0mQ^Xf#>uhoWn8VbD6J}=i z{qTTryRA#TmymGJJpXtz@eJ7HN-iI0OG(DZTBxj^{QwMALw2$87D?DqJI@01yU8Wh zon2Bht8@r;l*|F_VbuzY@7$!EoR5M#NK(Z4=d3hJV|UZp6Jh|gWIj}{(^Icb;H;}& z=laLMh(*b`zfK`icc7T9=VzY(?32~8R7Lzk8)Z=rp7Q}hESYK-T41gWC8B`Q$1aEj z*(Gl3EKQM|f6fQqYJ_xI&Pj+e+N&zAFRM62$!3scG>dQ#E2cqw_K0MVz8@O=OtruV z5=qO+O;;^u3k$ws9T6ts8)|2(X{oagX|1W&)?zxy#0pJEsomNJXncaFN=j$gVUXAc zlU~G9K44taU;T|l=R#Zp+sgcJ{B3 z-QtzIea*sFWgS{qXH@oJ**i@oC0l;gS9ps<%$pu38UN60@LDY`5~5^dHZ1o(Jm{mB zis^7m|JH=S>TEK*t}YSM@Rs0Bx*ZWBgYqhxMTGN%%E0L!TJLD?_$)tYb!_7z!Y_e zUdjXdB^JeCE z_VTE9yc#+7`~V?K1r!>MYI&0`Q3A$J7>rN9E==2!6NrniQdY{Ne~ETxR5vU|W*DoE z)fZxwuhkK+dOh6gr@nRja?EG%IrlVckZfP&5rx*sZv~)QBz}nuRVa651GHNsoOVY> z@Y!|4CnMao{Qd`^Q0IImwlDr8`RA6lLnQ!?l9ftt zkXXB?LAHUgX-xnN-vTcIW4gBtQNgUSn9ruL+Q;<&sZ9v7cHvKZ4eIQP1IHnwrmLbX zGBz~gBH^asr9v2Q7Q}7wjgHkDtctWc@}D;uJc}uPVH|tU;3yp_%`7Am zc?=g7)@N0_twfkx<$BtAI~yOigFvUH)ne)#!>FH3vh^<5!tAdbx3^5oyzfWV3h_b|lLi?WwP&*mup_T>~P?-;1^!Ln4*lfpsGzKrV0aWjSnaFW}*tuzW48@lv&sN zH8z4uxRJ`Hn_Z-;&ZK#s~>IsMM4Jc2m*l&P!+63jG6I#FvT&>HI{9EQ9m*3j+wMX~WG zq88`P!B{XOqDc{JforWnxz=K-iY9SJ*c&#(BmxRXpVV21v2nvFtX=%jVBt=MoF4%( za&@+5t^ob81B0mDz6BCb0g8Zdrx?l02kYcjaF)`z-X338LsMmgm_id6s~?)`Lwvno z*JoK9V*h|Ah^Vs(CPgK!q(x%!QEW07d1&owph)O_?V1>#R(C^G)`bVw1{lA4nkuSv zBoGv8=JDntReswqeu%jHFZ|^-TB$2Ow{k#CR(DM-hN1_XBJI9gsTW^0DeRtcOx#$5 ztQMaTXa3J=B2}F)k5TY}Y z+wtHYOm%TYp8x&JXv8RU@R4Q_4dk_NKy@y>@os*g;@Ri!#Xti+%m(}6-)GLpE`kLk=EXW`~N z%7m!$u`GrYRE%DKI5_7^If*;PC=^yrmkr`R*Y+c-2Q4-J@&+bWIIn&$O7`{Ndjr3T zeD)`4%C4QBQzrU$`D780p*vt;he+)59^S%V}FL})~<)#Mr!njz%sQ8ElViX{sTkwd{{ zIpu3X30| zw2W3WKkzgQQxc(E5l$MOLIv>{{MQzfML=%2BT~zc+=i@` z)sqixrSzD47OUAu*RJ_{gVTb(Mky#>xv^mDs(Q_1w-|hd|JxVF5$zky1Q_^>(@cur zDMu4(2Yi?{!3=>X-*qR5P+4n_|2ha8-2PYbIhZ(lk)zQstsES~IGJ?LbYX1#$u|&W z?ZM}-X`mG6|91rqBxhkwHOP1(g&UHZSPpV!wTM2H2iE$Ot9Rlg4j-Uzvb4za1_W8T z#_)s(@l~SotL{PG)~)z3E9On>R{pA}x<-~+G)B#*gmoml0;|MaLO_@2NQ4Fqpr5J5 zS6{&=o4R8wv-uNV359))=6NH^pn4Yk;ocu9v*c9|E40QpzU>|Z@%Shs#|6O>Q&f0f zJ|E~f;~tk@`zu;xZuIhj4_Ggo{iQ{UJi9{AEq-X)lwi6eKgRw(c}z0QmAASjK?YTn z7=^$ABzY(}g4y=aU5uG?53?8k_`N`#N@| zj&Am)n|C61-C>@3HL}RlZhp^W1fTx2*5Tg?7IA%u@13MZ)qtVCSjSkGy z0`$M)l_%bV4yJ-T1EbYL&khVk41qHx>q`$VP*S^T8?hL-nmcV;)c0^vnvR zJ$8;UZv~w%$tnA()&ew`bUx!KL4av|+b>$VxVU~JxKJyH`@eQuO@$q+&uj2!3tk%= zqr$KKxPir0IMea;P#OdF)Upqf$R$qaNP~$|hO{N)OGf4mJa62ARu)bV?iqmRS|j$<%s4xYQg$mG55C+Q+?1t)vxq+Q{MTTnq;x=o z2s(Q(nmqZ?z_`Vz&&PwsE8e>gy>7{?9$6WiO3(FYU}uFo+l2;FEZ1P@a8TdLcuu9` zfQwH+kMr{GC3}}u&?tWSZuU^S;wTl(F1~lGoxpkHSOcfudp`I2U*WXi$h&r_L`Ap0 za;-(ly6Op9M`1H2JvGpC^Fr2i8=yW23I0O-vCa%k8~pJ{5n}Q?+auI`$9NwuecZHl zYz+PEJZM4n51zRK_w`rZw*YFRuZb_$`08`O239N&y7NYutzw4^)#rfqG*^8<%WCg2 z2w3~#xwyTh?6qLc-o9ira`te-`oJ}`y%u$L(o?-I}kCAyxu3n{_BM zAsEspXmROBJZoLDa@R_7-v0n6On_0NtG_c4s8`h9%m?1Rulc*Z_u*9T70>U2(C#?n z_@`NCY`4Yoi5FRnWcU_Vv4_pa0iJVa4OR;aXTwPDHOTU+zdjnA;42dYv9obB`?if+ zzu-N= zjDB{u48+I3_MT!@EjjWIx2{-=%}JLjTZUCZj5dS-R=F0gXbAwCnXMFz;Nqk!_27=3 zOT&Af@jltidFgNZFjX_T>Tm{qFE4gcvjI%XHYCWUsu#gZT80lvY&s=v}pw{hcuuT^} zoSa-#(G=r(@ZeT8ff2+kDuaB>B^`-I#Z0eY3pq#W01+-=G>E}>WsAg+FM(Ej_YCfZ zG;yuIcJrMGt-`NFtKcDWSo&ulh>?8l0*j{ns^@?8L&Od=z&dwSZu)fDrEJwKSpk6v zRXu$aXSUgmkc@Qp-6$pFPbXNl2>r@Gc=bshl8ll`Xzl*HmKjvgG0Wkq zYdil0L)R(y#HfMdJA?lT_sU;9W9p@z;*;`8@^iUj2qwOzAd*_AE#>_!gm__qbqY^^ zoFmAyOTM%9*A2Q$i23X>jlCM)PW$P%3#y}Y%UQfh@%K01(u48mz*jG26$AXTB0|af zaIS2#I&O2mf#jT2Rm8>C3E0*!Wj&L^jmIT|eo<4ef!8lL|KQ zUw22@daH%~J8iI&+F?SM;5&gMyz6a{;{{JGKx<#{uRq4>3i<7!?Mo*kF?)37YCOn) zY%_kN&#e8y40|zpMm&bectgege6!1Y5qy~S#bK!QVdn8?pa0?}jc(-vtWq(~9{=e1 z2B(WHp;6;%-`%~C+tL{uQHqgeOCf5i5NgV*qJ{SYz$_IEoSq|RG`wfe|G>%cc#j=0 zv}O#F-~H7z`dRls?lri}^6O8?sIla>V`02)Pat`eW!OO34^Om63v>$wrZe(N4nv=K z-|{t~3ieXRmTpJL;G)Mz|K(m)-1e>?8e^+BKg`ZlS$z$fVRgfSpD^s^xtqu?U z{cd+v4z8u`KKZ(9wg%-ub}{Olu!fsVKb_rjZ&~&3ZC(ud+J_FVU}Ue^h%(El&rkwv z{K&lEFXVJ6WO=5?*swWax30pAs@Fh$^ymb27ViB++l4y-U)b0Y)K&j)-p|>ma?_jP z!qgU?huy(6uq^GAeTc7-<*#3drfeJ6t4&yOP9aP*`;|n)PkwI_!)EZr>Wcj1ls70mbj#&*!$4 zX5)hh@w>H+Ct#vp@*!GS9d%pl|q}{Dgoa-3lR`S&W zs@nf~^6EQ2i7aD2Bfjmn2m|rYM!!4_N`2t00XB`VBX8xNWy^7Hjh_l{J^l%+`p!N} z#de6lXdR;t&yCrp@1z7pE60yUxV@zw=6#U(i$BMF(f{^8e14h*UK>}S5PSv;hMfz( za)ANQe6P$xJLoGu!pzJ8#Ipn@;5=J#<}^{bZulE$5;&E6<#1rouEy)-kDP{p27h-m zf(3WcaO!KHAJ;*-@Wd+MsIyzFuaF3~xnsQ5Y8XVI65gXxq=_G>NufimAeQvp2p-mr zXK)of(`l@_@duY6Uw}S3N50cwAX5X+-}zQNeZKiNjc1nl{UVAS^c^|XZBioh5hUJ- z#74Syfu}(kB=(9QtpqiCwAtbm!@f#k$oEa%8FW$zi(hoWe4) zXTcaAyf=qly@xkNf}@{iT&8moJpmJ`FQxb#AKj4oYDm)5u4u1KyGaSKKB7Juvs3A)M>^-s3}No&jb$E0f5iJWW(R!=GVu|I~9OG;NjO z&p}w2Id5!+YC~sP>|&0ZMxFq}RC9Z3p%o0>Sm@E52h-$0D{MjP>a+SE#xX!m7FKRW z%t@~bv6?vB>CH6I7!Vo$mt|jsD;fzJUZ+`xcALU3y)8xae(UTC+(4cDdaG5>@mKU9 zw%Sb-HKpwI0+_B68tiug^@M7#+iQRaPs-TXu@-n}9<1L9-$AvC71JRQHg;eihZRH@ z;#J2>-}nSpean?aX-s6Wr^dbr1~7wo&-(5EU@KMi3N?@*`X$S+L!DKgU1kv!wGOu; zg=QVh3(f0U$vC^FMrSc55vflvT!G#k&JMp_4No z$Wo~P>|YWMFMWQth6&FP%rYb{Ux*Z=2^FdCMXJ&zk8Jhj6L{u%KF>H_p|I${d~?)O z0VJWl)N_~A znOcj|w9Xr|a%phqLQm{U2E#Zw-m(p_Wa2NdYD0*J2dG-W7PJ$sf_*@Bu&a|^_z+y$ z#>ZgLs@%&!;Libx6uqRG@v-s3N~W(88l)I~3Ne)%Z?R5X4zapZYYSEdwP>%DBAlSi zEL0Ps5*qf4>8yal#8XZ9_0m3XcgLh_)ZT>=OJ*o4%Skb|{;O3GKe=ccTc&Gwhg&d$ zoc?7b2@`DHh$T*|WJ>An{9T=Pupr}Sjc(_{8iC@Mnkt1$h9P=Xg-!!?Hgi6o$n`$> z)et6IH}JI{qbv6MFRsOBK22vh)ru&vEgV=?{RQF!qGJ>#2fjga4|0~W5Mh7T6Mh~g zHRsudw@e4>imSfx`UK~V>ppr0V<=tiLXTS%(*f$t-TU!$-|^R3K_gUn4p@u<(skP^SotaZsCA1%nI%&SotY>@pN$jGMb zdj`mpl5rohPFt#-Xplm%28%4HO&d&m>c&2+?99a3n<4fq2EkAatY~tE99&>YAh&9MUV@jMHctBOAMR9z5EK=+l{z8wzx&ApLF0nKk_>uaM zd~hUXS!|F6*C{9UgZD&5etlPXM7HI4N^Up22FjN zBHoX%r4+!_)ea#_W??hjkj4p^y$<%k6ZlJ3Tcwf<_&lu;3>U^-7(;JZiAU7K+_gT; z3JJ2WodU2Fq%|TPX{h=L$6m;%xUe8PDApkFz|8kqbsawz7o3+swbOKtrs47YOn=sP|J=DEZ}wnmFX*6@i-Gv@m@31vAeA6{PMSFyCMe@i=s~&EO@m-IRzFjD?cK7wG z`j;PRn*>|}&6_6jDM>4LVfV?JFc>daZ(j@2^|NBf<`_iZtEbUBS&|I6ct(d6>t{^d5qr--fZdfery{b0OrtLw zXlzE?94DesOjbFEJBY?GHjXR@19cX4K%v_IDGm!cDEcQUI>!^V=dTuE(}_B>G;sqw z;6G>mxa=cIqttdBz4fR7OBE0*G!MWH2-3cc{T+v1c{uYkaPS!zQ1a4v^6|{KP^TF-ZW#;trkbR#6(`m_?|SZK?p% za0Tn(v%wTF+UB)vjX^NYWCd_LY20DXjqn|=Je}eZ@>R7hi!ZmG(gQ?}HAt$5F(vvm zrzfmp$TOY6E?R$x1WpU)jhwO+v_mWhan~A=SI%c1ko_^pVAupjvfyIR=)h+Jt&v6D z)qgjd*b75;ho@Ol_&G^rxuOP=^m3~z8-p)H;D)(-Wa|!s8KcEty7L?)UhR1nUJI;b zAK5T19x-q%2Lqn8oQsFVSnC^_1QuJp4AV`hI+1<%Q7hho@iDlPxq}21?NT>dM&?2L z1yI{*ftPJpcfssvjUzDPaHE3mBv1*@d>n{=yE~S|-id5>YXAxj@XunZr(04PSk{YD z^|1Lb!t0w#5V)p{P-S%6X2}YU2S12!`DH@wQ-!M&^v=odxh#ios}EkK6?-%dH)Rcw?)*-c$cZvvAMt=m_pP-iiM3A zf$Ce^z8S>vlH~}bs#`728_Orpu+^amtoI`zVrints6Kp{6F3h23=~us*ZL~PTvrXI zWj?!d-xmI&Cw7oWQo+Tr$Od&sAwv9bIO2?7!PIs`=eQwlBsmeGG{fLr1vBDM!H5{| z^4*7M2dLV&VvF-bfdNmI~_= zyJO%-x!QFXSU`IyV;^+YZE}`j!tEIKxtT(ty-hnnX)qjM1R)Zi`T#Nz*e4v9c_dto zzsBNHZ45UFZ5n|{oRc7?!Rp^LLbT@qSu^)l5ZI3(P_p=I6%7Q>G)mQ2Sy+4*$P?7& zcs==?z-Su5;ouz^rWhC+0|`W>gwe4*Q_Tkg1v+N(up0V{5l+r232qiJny$iTgiQh8 z2N?oB!#MF=M%QqZj9rXH_HkQ*wf=wpKC}5`0XDo4mAiFT@6GA1eYfbK}gIG*q_Ddx$OS{?Ubx|h`pqI z5`sHn%SCH!Rg7nA=kL}Q8T7oC*Su-_7EneSNH)(-f`vUb8{Y69iulrW3_lOJ2937U z0%lCcG8~&7Z(IBfL&=zXSF)f9)1(KBWE-#7<=2gpBc+$H z|F^)uEAYQ1!T+Ez_KDPfJ0Uryxl!=&6= zgdaxX@NAu%mZ4QUyk;tq#X(B^Z-1@@cv$fQkTq1lTYLf47Z)Ki^FmrtD*WGdmRjO4 zKDLuD2?Fzy)LvLgzp%I^bsO3i{U3+suxl zRUXf==b$n7PXGS`EZ+-;d${?4o8303xSb=m@jQ|_1UTJcbIeeK1I5uwgnJluL> z5Z`D`S8WPM8J+QnVadKgQ9Ix{{^v~G&P%DVF=0~N`=}UysushTF8jXF8$ef-K0%!b zQDoCPRC7U^)?B8e;~d)nci*P&>b!&mz&Cv@#H3|)YWB0%^AnM@oa*5dlNVG|O{n=g zJ3+l`ljHgar1J1u`Rk^!Ib5BoS_#3l3;JR%YUg{nLxe};8x3@ELW>8as=1m2Z#eNy zxG33>q0fvR3c6%oa$>bE({qc(OS9#R7?D*+??Nh#9XdwKz>gJJ#)Nz6gkW5}b|-kt zTXj1pN43@+=io^OV%RpyiUDZ+^%d_RiY29`Xak8-fyau3`AVj~_f}l067jr_6P2I+ zU5n-sj4Z#U5l}CI5v%G>CIu>{2sz_Rv)GK#tidhwcC|=DHa|qBogYk`U!Dif^>rVste9`O&5QWf!w z1x(`n9)@jWJ0g65W=ugl8`(n|F0&t#8>~rnX@Emv6;}*=`dT?uhT8=5a8G%98mjWO zk~B!+yo9Lf{x2y9t)M4w0K+dXrchnpOwpWQXe*f)-1XZmE=prk&8|;LVkp^olJfAS z2da5sp_?gzOKdmVjA$YAl}x7KmI|>-XmmS=&2l=&%Bpj3LQ%fZsn)zDlP9pLhWMx71QcWu%W9?+?J(iUYOGwWz3G$BhLP<{*LRFTEbWIu>`GZ3MU@todiGXqcyff zS%|^|6`v!rIA&Yst8-j5w776kvQ@5RyHXbYvg^5}F?PXaeJ~wjh#|1~QNM$#fDreA z1{~V%wiN)P=22_Co^4lzXz_NP@ldi65N!`5Ga*Yh4+P8GnRrUnMD_teBQN0y(gn_7#o&M9w+Bj1)qxa9Gl?U(6h?iBJe0Zx?>k;@HK$zf2ddSNF`ub{4tUjI%H1OqLAz7)WU)gR5?b80%N@mN%ag#{j|7|{!)Zn#5 zj4^}2cof;sngFzP5NS37L5}g#+B?+br)mNIW6mP3==^!My{3@#mrbf@L?!~6Jc&_8nYm(fB928SK}cebvZ zBJR2uL5f-z8&ER^!|W$%;j_G;nfYAmR1;TF9Mm%{(j=PKZzpOxYnJ|D!7}^RG%+9! zumGKvW2*}mv5qRrL7+aQ>Dh;*qtX6xx&ZsAtq%h?-) zi6?6G_?1b49_Qs;V|B^qXqF7M*ncNnRK~tG5uzHATT;dHWhKK&>nQ7IytDYx$WE* z6D4!R0_(EU5((Dj%;?oD+DKjj^x;8-jZ<%E4eiB_jb6RIGDVXEfIDv#9b7z&nt1IZ zh4g~&K+3qmrWlRjN;a`O6RTBa?G=2Fab8BCinACDu2g>g+AKa_`v`xQSMi}T4IqtE zjmgt)XqZe{HbtCoWG9hry&L3KLZh7&Zu`P3V>={lUWvCw^I(|!ieJmj$(?VF+UfgR z2N5|2+^p6!P9ABj#<#muz@WDtIF?OqHE%NchCe_~2nK(g9AG`H8`(HHiR1I|-7)a_ z05Rh09NSZoMZQX*<%qQ?fAKh#<5#{O7+u73zC(MbQ=m%Y zHAZKP)KYX}-Gl*8tZdk3<_2b|O!r$W`Xq_ANN(iAhE>0$%q z0TrqMZxZ#PX#Vg=EQycK*19V5ngzcujFL^a?a$&gYb=4rUk*Zvg{!qlt>Kp*9`DAO zFRjHuZH+48-++qKJm!MBi-?WY>s!21LGA_j9XaE9M*LROG+kqpnL6h)w2*l5y%>Y= zu?3Zg==GVxT!bZyGbTRsdohTrZhF?1Oe6^EEZZxyWOzf_;#&77HzG09<&ONrO{P82 zi%@ln*Ynk}nJs2zQXTBiS^h+kc` zKV*?m>3O5f)OgB7=3r#$Wd^8`dg-8D2eL9zAzqUP^`-PJrRmta`=^0Wq=jL{eYws& zPrvHdGpfLRi{sLn?iB%!jbJucCve-3NXU3;5V<6>h zJvtxa#c|Xb!g**rNv(t?<%>^B8ZA$F60+tlyvTb&K$eYDz_Kad8q+tkAe^QGWXd=L zcr`!OQTWm!EK*lmIDBb!WK;@&wFaI$62Np^Fla=@J>4=gMbtpaEd7@Q&L*sQKq+m_ zXqhn*M)t?2vwl`pU$)dzt77Sb!F7hzO9ieQX(0jB+4>Y$4=+nH91k`JsMUAm|FrPp zOZU-puO$oe(j1L@=Zi( z4V0@rR>O-IC-ek>tBS?g=nPC_hka2)7F%LheirTJ!798OiWa>~-6b!|?oex$aWT|O zNlhh4>31v}PsnW?-ud%~iV>Ozn?6M^8A`>?BYEYa91(3rWH`IB*hF-mWFYCQ-n!E% zkb2orRIMeeo)dcDG7eXreKV}Hi(;iwGQP_~h%0oNkHbJVX_-No#a`gQAQ@)r7Q;D2 zIf#sbAu|~4X%bIIkZ#KG@vzXMBb?L(kpj291jZfle8vyx=$vHJ{16$4^3Xm^>{d+( zeeNJ)uRo!@nK3vKXb=9huz9Iva+rc!nXX3QG0Wl+Jv?Y%vT)lsRl+>x>p2T%-E`dO zuw!$_>|OHMh9%3i4~uB8)-}S{-%yiLJddugGaLyFX3}iuDaEX>PO`0=FJ*3jMte-= z7H#gV8pEb`(d9%6b;c%yBY`CwtrJVWJc_f*YAHYjnk6%ih2=>L z{B)ePB}%e2$Rw#sXwcjp)Xy<;ck^T0O-HPoDJO z$2jX9iBEwVYh${qFAg+ty=JpB`{31RSX-5|5&--x*-AwRy1HUhz z*>FV^1jh3Z@k~CC7+mHm_~)pO?I$h2jcb0J`3>zG+rkvvs2stM9iN{9hxtSWTa1XQ;x;jr> z4{Gp8OM9gAMkn*@s}mxu?D7!U<%aZTj@fzHZoLz1lqQ2b&V@$YVX@HA(}*7;13e@g zB&;(5CvrI5!6+&FIH1TSrglP$>i<-l6hs2dzQ;APk78QcQ)7Ou6ey}721}8qi1S%K zgS#=F`Iwlm7EWbO0eL{cXiJ)K;Oh)~>V;CWB~WDysAla#p`k+50<5mm&|u=YZL%d( z1=S?g${cny!#TO2m>k%tF*#^>xarblopE}!XsEeqmf5egXwF6rXrOm4bpwnhy~Ab#%WDJnk8j5lLj zywIM_&fe+VBMa zE~}70DF#(lHxkbPD+rqrKuG!3Ro{v)xatfia~e8_s}T?w^;u_b=N;G|5XE&>4XLhpO&cu$j`n1u`2ozmw|1z@LlQ%v=L4b-yA3ijP3h0P*EU z!zkn5s)LDPlZBoO)&A>tWxQa7N4R(ZJxH&re+3suH-;3UT;I{m>JOI~FC`P#Mi{DI zVAbN$+AbumaWHNulOnL_QGW%YNmc)u>Qs z4GMCX-_f4CHy6m916lSp1V}2dnL-PbWLPc!o0Vt|nU*&IX6lR;qMvD#ku8MZLkYFe zWju?$uLA3!x((tWxMY|M!7HI*^BDQo6pg5c3W=qpg4u-))!StntU}Tr1J%Gm8iE1o zGH&1jr^E5Q$PuX`_GcDmz(AvnNRS8=&-Gg3wFmSPSezGCTVV4^R7vW1@zMv}emOf|z{8>zRPq(3MO1^+F7^`=Q?$w<q&A|EcgP8vV)S7sqB8oLxg5YCmArZw zPn-ocVL3Gu;dRDd6tP@AX0uIee@JE07nG4v(u@EBrV(2mNh1y zYLm=By>oG1sDd+K8$iE(Y@ zT34MAq0T5Os(+PHpwyqj3fcvN2pgRm`pvs={Dl{#W=XAvn-PePjmNy zgEtW{Yg1_8RF_+%K0nlWDldRY3drRyWEH5%)ayI+pmZh+7ZtO~iI6dD3I0r>H;=5m z+iAz}@SJMgQ7`BwFo^>`&CRtP89R(Ta48kub&sJ^u#;{cO1;Ov-U#EcC8OBgwnuS% zYFv_lPmus7AAUQn4wDtjtDIW5f5|NNY7nW-{)S}Rd1D%h`2HGg@wnYNHqVG#Ks=cE z?xf|U6&q0a45 z*w!)Vww25mTDQdbK4?nlbj%J-&G9D13$1uPM!d|)R>V_a`Qws7X8_T$zGNUY{v>jJ zr-31C#FG_eP)0f`~d=SBXJG;oB`fB z(H%6UG}92kHn{2H0WpjL)k=cw4tBZ-ymW9#rW|x80}kdhD*_Vp0A6%w%f?d0qPuqw zdKDz$A)I)kVJV6o18ZHS-sNz)!?(-LMm0B_Pf`+YPUYfjNAV|W)^BtbQ{h2S%mo#S zkEcu?@T8k-YV4^Uqm>QPeaDZ(El<9UgHkxLfxNEZWCPC~Bx2NuRXkL}?WjLqU3U!M zXj@SR+a8Aq4~!%To}Z;5#jmO#!GK{cJZk`{U}OW=eRUv0WgwOiKe!_m00HnAUSN7) zjT{6S&a*2JsIwRzW@<{-&^a8m`o9&Go=Z|qdHIDzS~3v?o|0-$MI$Z@3!UcGaj0C1 zoh}-nv?Xd3am$h#TfGQ%#!VPFyP!reRKxw(G&xXKL}+jn$@|o&0rAj@C@{3&0~b#$ zD;DW?jD-fIgLrjfGW^?@X@^^A^8==3o}{+wj6#S2>+#oj(oDNZcElm(9td#`8cec4 zL#~-I5HDp83POCxsuV>bQ8A{BlT_Q{Wmw3zQw=7a0Wvla78pQV_?#3B#@pd07%21v zJpn&!n_jB6R#z63`_ zgBK-hj+pC~<}zFWZ$r#yPL9sW(ilfc$%vz5!5x+-JWc>Npqj6Zj7cP$%-Xm)0?n*o z_|1ixPH<7OI2AT;8X#&qIiLpWwDqZgY8Q|<@;#qpO7c@(s!5yV!B>U_4?mp&_cAZV z7d6O4bQV6;D0BcU2G%*zIo9fQyMv~awp@9Z=LQvg0v-IW;Qvf;Fql5fd`{U^xe^Wl z#cyGe5vW%s^x5~7YTjh&ncfCH2a)XwKG)7DgzFGHGVFz$??;#-*gEBCoJH2g0?nHmryCpZbroRd>&BY z^7}}_mN-dK<6Jp!H06e6p$EyEA0+MwS?=-%FBvL!UM-%ENx(!H*v?%eC37p{S8ig; zB2&#PO)Mqj9HU(gBGp|^+UA~fRGJsdd<_^h0xT(cq}%)%!xAih+Ax8ScbO0&*7}1H zJp7OYKYxy7@el7ZHGpDp8Sx@`?NC6wDRv$^9kJHz-=i@9r5d}3I>O#Q9g7azoay<&Ce#l zIo5oC3SO!A{a_&{O}HxlrG*>VHzD;DSe=Zb4JwW@g9;Y|xba%e;b6CFVt0iyqBI5? z8l!&c2Qj{RZkP6{pj_k{1mht@sOfsR18ThK((t9JrXnf`w4PYjkgsiztDh!lW*gT- zh(~Kw!9haS8sje+Y;d?ggB|hGbO+>vr?Z#o{svrBg{IejDpx1VzwEZz^?xoB99ZAyQd&+9+LuZ+ zqf_JW-h$|~7()z_ib^1@Dv~)5uwvxubN_t(KiX496xr&E;k$y(U>OXd7L=d%+@`cx z9HK@wYV-y~<^$x)f{%P1BGqWaI>hgxdP$JT^0Fe?zgxa@ZXogveO39S7}PGEwoDKJ z-%BPRwIIT6ze}D!{l+F7aXE&HrkI2J&l1uGFWg(G4H^(W1}vNju4H}}Gxv)OmKrv! zbrA9QR5VZlEC?MmoriOM0}NaX4+9Ncwp(;-CZ%1iJIvMDp^XvJHj8(4zas(9gNQ=) z*zJf6r#;{XBj2o{9l-#+SUGU|6{#xn;Lc);MR|Q6h3?H{DIzNf5HcIFGB%@WdO)m( z=%3=~jU@qe+Aei33nDZ1oj6TOEnpoItSS#pOLbz$_ySNt!$oC`q$(_pB{4&XKP(48 z#Y{3|nj4(#79a#=zr*9GZ`m3@k$X57F{q}4J}vGomW=K(R|H=Y(Dccld}`?H^dkw!n{)16qp zSHi1-^7)R1Q9I?laq!4T`VhNh1)}b90#UGaL}Hk7bpWXc{B~A~nLgEwI8ia%HXT8F zN)`%%+KG~#g<=i@pMsjIZ2}+n2vANlN%T|)hR3|Pk1!AZD4bh!wWkcD{vlC zX)HwDu`}VnDbGNyRQ#_o#2f(26d3~wt#Ohi8v~9D6`xozN+btCokT$yxOLxtMrc|< z!+8cJ3z-x${6@5m@f&!k_>O%@ieIe;O8hY9VZ)+*a&{~BB|gtwRfOD;`F--2TR15qNO~s#7XKqP)b~aX&ofE zXmYL_**qg_jfg`H<3;zh>t+L>%+0yOdt`2I4D*gxOdw)M&A`|U1)}knHd?Pn766Md zhrmtRVqkgGFqz6+#E;ovFBDM(cWBz58NsaKwhe5Q>01B6rf50Gl}u(tjarxEqnx-M zt%1}T%O&RMRx98KC6Jg_rFUCAMtiQ2>BG`u8-&2mJhRZtTe1wRgeJp@{Z%;N>|p5 z2M->-fwfRb0%}7BM5LZYdm(6XAu7u*MjLK$953%8J~ljjbpZXptRm9|eh4(b?IVE#4midlMCN-5etd`OO*()z;_~<2 zO5JN>A;jT?K89iQO?^qmtSBYxov_s&Uhw!PV|X zic(=y0;=z%CS27#f-V3yL3JXt5FIZKm1qn2IM{6DYy{w@APOztKfBKIm#|qGhG#W+ z*}nt|YS=2{M~KUV9Z8}#4}<8W>bZ5v&BynYTX;z)^Si~8CXR|ia!RF6#n|6X*b{rCv&h_hUxh9E8uK& z(WXIO8sK=2^o)kyA}O|tkN|*Hi=|2|iFG%HheK8ZnhpW&1@qYqVt8S2YyHTd@O=GI zY7g69M2sfK%196I2C{gt9}W{z*%;(Sey;G;Jf3zuD_)p<#qcNrYm*)tGNS2Fd=)g| zX#5FGARQnO1qr39B!yP_-6h!$4mB=T>OBe-OoOO_tJtYs_W5~}gp~_0;=*wUt~xEW zPWkituRMf{bOIUWjfhZZNRK!_Z^9#gf)-c$Sr1ThEx9njO9S6au)1Q{N@z}p^`@>t zG>s!{oW)Tr3}pBmq!?&&=Kp>pB`rX{IzVATh@ylDbD32f+5>zb#k3OeuROKFwXjuq zXArPUxBqgTS3veX22Vzilte!>=nUv*0Mywk8?iN7stxW<$Q6Ld;5z=9-zDYW&BJ1u zww(jWehyHwi-ioVluYm$xKzW!dQcdsm{KboF^#R;gg?8AP`zwffzxesn?KvF>nW$J zQ->NyHz!$g_|`E*Oib0!m2(`7jaEw5N=!9R-A)bMZ-R&j{vx%9wLS;1&}bqr9(E?p*_$yQj9s01|!7#lwc4E?Mw%}SnFNPA8-T0ShUTa?4( ze8#H!Y8F#H!;IqAi>!iE)xsN63*3>BrKC)lhn4^iJ!f<8Jpfp$e zLYJYoDXLMp)Y5GM=Z(uYA&`-8CwYOL|0LCan9(Vf3S&&ZFWQ4#mgCo)&1#;;=+C<$ z1I+$?W36;NRtb$3bkP(C19^1R7vWmC<9aQ6h}y`1;T5q8fz7HK7u2zHnb@a7gHMLa z{tRdQCNK+@P9uHPCeQK&5Cei3xVKCN8r2yZ#?UBZr#ctn=ho+0fdSRG;I6CT#h0(A z{@0E{e2sH*%V}IOCCmjdH{`A zT$*gx*|jmMXG7@!pbjPnRHu)j7@&tRhW5ivx#`xAum-~R9>~_1%NBm`nn|=k3%cFP z{>-iQt()p_l1ib$rt~UN@(z~0h*F@)6|t1l(YY_J*VI5F*+FKDUY%Zozk!~HphqMc zzA3x0MineemWxgjm-Q3XLzR0|9JEDAU0J!f@NHN_Ee8dq?i6c>(}kev z80OYE+Qk*bpmu2sH;wP8olQ_QjR&oPo0P&&Vq4%{cBz72R9v>Ca9WD^CRYg!l?)!W zv6&4~9LX=wv(RfWQB5vrx>8akS?FYz_@QVlRWusvdJ5bc1PJS_di)G|Tj>y5B}4Ji zmMnN0e}I+S={ zN#>+_s-@I)(+tR$LB0m6la-2Pr4X17ElxZR3_i^0HeSd7FyU-;|5Z+eIDlAD3$3BH zgV30_0IR*gsXa^?U#yuHB;%`rNCj@hMh=+RO9C#;KQRcnkCMruibnk?1>J!bVn98-1 z5o9p<6?QIa;Qe+ZZ%ttHM1`=!(sNaGk@}-cm0hGJP*Y4^?LYX5l?V49`HKVlFWu(o z42CdNXw1HG6RC z2SOis`_cmkD@zaVKSEm0fdk>4vj;9&I(y)%w>o_r9yoZ@n~=Yaq-PI&=12PvZ2jTv z?6;+~2M#vv!Gn6+!2|YK$`2gSt7i`^T0DF3z7f&i-}CW<2akJhc6MM==mVqQ+kfz; zkM5uSf;?zEaA0&0>vL2?Eb6ol=x=DowNJDx_fs2y>c~j|7>*q z{@EuH-*hbT%_bZ6AL#k>gR|XVaP-qxA3RWf=->hUP15`Kci%rd`|IDGon3x|$oJoQ z;=$REU%h|!j?a=lFuU!lgR`ZtAKbs{M~+^6+QEZAe13NS6{DmN9=zj^XAj)=_<`AX zCPE+Ba`*o#<6FR^tgf}c8743w&`As$5OETN28=c+(156uoInG_W4OtsTmnVPO$82B zK=e$~fZ=LXu&Ahkf+7V41PdNc4TuWpu>pZ&Eh<=0i-=e+g?8<={`cE^eEwPAndiv^ zZ`O6Mz4m=gnEO5}T(;Q9#$;3pT|LrJ-XtFrSAI-X_^!|`pZl0i<9Xtef3nHm?&won zG0Q@xZ@O4aYu*;~yYa%uY?6}63>zAn&s?1F>0-)j44>U%c+fLGX4dRf z8x40SLn9A4dSsL_XJ;6mZYOr4NS2}U5X+x!JcSa^3zZxfj@kSWGi8*a=pp=k;N+8g zh?>7-OxRBOOj#c?zw@6&lWl$xEo^P*veC?WzxVk`&W$pB`B`HBG7#7l)C%w1DD-$| zN1r&tNJG7m8au#<5=)uZEHQLqx~0>z^N2p1$2`%tk7U!QBzOFgq~EKS&gUzL+K`cD zCmsLp9HNOG5zjhDKVD(X?=B;{*-o&;@p)vHY!rUnF6Cqzwz6q4iDdCw%Wv-4OXkbJ z8`)upGtq_&rhEDc)wFQ*Hy$OkC&X;i8|}+`-f;E}#;knF(j|YrF^5kZ^VqG<{xw;| z@BD`7#a%u&5`U3s$ScILRgPZT-0y7LA*UbdDy2LQ`nWIwZJ`6yBbqsi zxI7iV5o1ZFT}h_fwLU-7gRO+(<5)7CJmt?O8gU<)Ay+y2#Cc48rU?}fcX&2pI}AQt zTH)}}kxY4&$nOUoJ~)PG-F%{+a~ytqCYy`s)ArZ@vgi z9G$vfEj&3F^S8yYg`6!JNPMqdZ-{DJ3;nr*WrRKbq3?=_w@)Xw;qZv^3Yqu*lWCP3 zue7(CscAXUfTVAadC@Ya%uAUM_wzAvq&4xsy+!Em) z-eUgnCFJY%#Ir{@`r75hlUEZRy3gV1g~T=Y67RX&@n_vh{6!q))X?mW|wuQjRj1nw==(=8rJ!nh+e?5 zc`)JRvlkQ3znR&tVn~CkLZtP*%>K9j(am(EH&fkarbkXY{^)6WK5F5?@~Fa13eJ2>J5MQaBsk+@5ITN{2B-j--$wB5?h#NGmz#ZeJm21%yP7y@Nu_HP<4_` z!#YE~*7$S`)3e0MeZ)lzET+mTqCwgCU4zA@eg~PaFpcf2_pvc|=b(KTh^tc5#46w| zGlUkDSbmP~C#gG6yv0q^9B(vKvd+kyq?MQKYQrmb8(!DaV%j-UDB~!$AG<9!$z8&A z=+iabe2n3<$e3zun+C16bl(3JQ+%m0T^3m^>z*{+?n>c3)<2S+#3nhLq&Br}ScNGi zlw>O2Z1tI{QzTnkcu+ zorOl9_OWnl#JDah5{dX2%lZ^HC#I8pW#hwKGMYHrpSVxlr;}NC1WWJ^LnnQRJjod& zW}X9Pi<~YT`GPH z*BDxvF!UFI_e$8BoxJEBH{@cH0IkZBgeHV)*IYIbvD{NEe z`dFmx&BEcK%oT1~We@sq`jhbZTF1ZlOO|ce>T`zW=Pl=1w*Nx-mNy(du?$C0C67<$|9#QyeaR6idq`%$M2m z$kMnT^V3-2w|z3&wen-c@+kAHjTXyqwy{j=Bm6JB%@bLSBi-+Yh?snz4*3^_FMnAi z{HepMe#i3k0^wG6o5@`IE3|($cxGBUum7H9_YETF2Rr@`-WKkV$I>FDZ(m&G*g4^g zcKqS^(3Yj5zsS1-o&0;Ru@qr`zbowIdnU0&z96#Cj&G6jgCg}u9%MQ86!K?*(_j7c$`o%AuKdXPs{1oiJW0!G}hwEAHycT&L zw{*GkIhJnASf_F2K0meM{CO(2s7y@IwHul!gPz4%s4d1;?! z_iO&A-qHH=Zqo8U{KIgXxOcpqmAgP25)HmAR#TPZbVzQ3c%HM1M zW39FQEt|BxJr{NS7w2gD) z8xLrGu@kz#t4`?n?0HnnuX;-NPsW?terSgFzv)w*A74JmDjyDZ()oOIp7v*3gVr~A zmi8y(7VXc%EZv`j_UL#F!pSy0zO8T7`u&qpIz8j0jz{=Q&40}gTK_L`3QU)u-B0_o zHWrO|7Z2q{zem`@^9#9-M+kQ zbbsee*7UMcjjtG__4NwOXVY-1Pmh;}lV>^}fbCB@9*-?iI&R)Z$8W{}-M&an$7?LM z%jxn>Lv?@j9isWY?{t19`)U7PJE7zI`fSaANlV?nC!f;!Q2&bV-&K$6c>TPGwpWGY zL%RRv9kl;NxQR)puid2iN8u!%PGA0!rq{02^y%9*eZxOAo^)EU(@Y9nx*BxKC9(dZ`b?HBb! zPq%;csOGP2t?iF{UDMxtNXviocb&!=_i6r*_h{Tbkk;=l(ZgaFF5lDr%MI&k;Ob#| z*yZ1#>ERo-f1A%}|9Tf|drJfHTKlQ)zwNJT{-Voud+N69Y3UMNZl?R+?*%`$!Eb9~ZY5&Vf`&$;E&mW_giDiY_|06htrQ6$L zv_HuwwY~mxwSPNs@=ljO|DC3H9IEBNz$rGJKYzEj-+!demzgCRum4U@+mX3i-xq~? zny#F$+cUjP%eTKu`&)y@wsik${;K6Sozu9_y&A7QsPnnk&061uzw7=VaY5&2W~T0+ zoCkIP3gSZ`Cjcc|Lh+$z4Cy@`KL6lEz|8Wr1dTBuld_FX@9O7 ztL1SY;QuX%A0GxHZHT+anJ?+;cQQ-u?MxqI$r?J|$Hqjv;$kq%$i!zIuBtWEq8U+@ zR~sIaAa0g=uh^DP^hf`sTxs?3b=8J`3VK76 z5}=@-!R z8;8j&BH0dm_+}M8xNyRIq~6<#PY}(E{kTOyyYZGa z9`emn@`)tV0LW+C_7Uyr%X~7EBh;QS0 zAES<83-LqvH=gNZqF;uH2ZrEJk;SGKwogOeFTO>8awv&-#0^~XMF!Wg*{?=Q53MGg>0$+v|z$H6;nF>3xYD?#O(3gaa zsj9TtR3(XqVq7E}ET+18GR4s6xrY>9QEAK(w8OopvWcV3laXIus(snjMD0Q%z3oLU zQ_+6%<1g+DJ4DGQT(b8eZiZX16*I>Em)@Hb)~ve-oGh28{TUefuK z58Ia`UKI@vCs6By{Nc=OA2Z#UPi9gXNi-F&jQz%ZA4h+kwfv?kA!crr&AK5zHdGWt zto``Sv~==nn6AeB(BArwyscrLfPD9Ebn*@LOx-Yk%hsj%D-C7&M~IlkQnZRF3*)BX zkdN^o62c==lqr$0bW>eN)CD!F^M~&FU%Cb!>8jde=yPgXmd36 z-W!YIecrcc-`9y^38LW%!-Xy%saqN9B@%3|NnzZgn9dkKty2A4&=on^3h(AszJ6?7 z@(oSuiu}6H$A}Yt`XV0oPYJ<(%>P}2OuI=bI$|+A6a8sFsC__Nt^iMA%Aa@%`T{5Y z_&A>d^+hv;xfm@ylU0?UNSsf_I5pAV>BewwOZA(O-CYY_jvW9|tmpQH+Ptj=lo*Re0<& z_4}RtQq)E*gUwlaj^4W!(NEF8l`S1^_CC=~Xt%4cB2F|CWdN71a`KT%yz!T#4{{uT z68@DXh#q;z$4u32ncgqOn|qa`zp;<#Z9HDbEFEKcB2h(xc(8rgW$ungpfMQ#@y?c? zJ>+8(>T_DThcimxQF#dT#{b)=qgJ5=82&DL&+&&F&{p`D`GTc$v?cMB7<0`~M=ybI zcXhx#Z6E50>o&lCnE8n{j_y_Ak#sHmn`1F~Nus5G|GD{y3L$?za=_b@;zzy@#hlrv zz{gBE`-wJzzH^YH`{SV!NBk1^kWE965cR?4f+vK+DRDJT;uAo7Rhc-J2CyLlkm+f--m^Waltnq#=^KjZu< zjfmQ+@Z~X-)|Iz){D~PpX4CXbhm$A#Wl$)$ z)WwPg z!%dhL=EIM8iI0usVq179fnl3)^rB*vZnAXa$MbJ-;l6emH=Mw->bEf`HU46Kg{D*?4&5z3 z&s;<1!3dkW@m8P7?k_Y9`jU4$ef9ecb&TTVu+H(Cd*u~|qiJicf z6nIgdPiL;j7H0^i*|Ro(gwO9`{w=mi1Fo}lNhFwmiJ$Cx#}6#u`2J7!v2Y1~`huP_ z%i&sVF;*fULtP!e*T`Jtp|mvN@E+(7LH<}LheKa!`wK1xlAsjB>bs72c3x|KtcCqaL9V^&R+kyv+y9qX(Fu zt7e(7!P41-?hN=7bNPtv67#!g)2vKKF9p4s$9%!|7vvj-|E*LwGZjA%^%Wl^gsVSw z{7LxqQ8O%KgB>0^8*jxZ%gwXPf=Jd=!j}SXIArOv^d8}dQ4diy!N;fthzqxXy+gQx~CZYbJ7V$ahaIAuPI8MsNryL%I z_WZm6H8H7W*N@R3@ZKIOb9CPa-~K>5-b9K}kA-cLeB|^?&I#c*c(B;E&GJhuR|NBo zOzq<2vF+h3$j`U=j{n#B`GbD4+eGFMXQ3<_^){XDwvF+7=1$;mx5UY3CRlDq44Z6! zAzpRpPxQ&UrH&p>iVV)id;UsiKhY#ykN*4LbB-Rv+B6M1Vs^YT`}vFUC+--^n7>fF z0ecO0yV6FlNx$$q|ZJ2zi( z4(J16ulx4@ukS|S+i!O`TrYfQOt>?)jhW4A?0vxZIHjb2p7EFIw9h}Wyrq3&s47o` zp7$|!$!VX^xq$p9m}b*IPXzGSTHXuTPoQctT^#l_4!qY@2Ht>HZ`F??K)f%^!Le(`fqVAG-aC-P(Ta&l)Fwul0GT8ctUiLS=qB#%iVL z-iKN~d?7%8B7mpp_QgKe{&;HxcvJuf`acwS81a4x@CWKqB8N3S>nn{T(=_&`>-KpM z>GmX+Y8(pWYgVA1Bz`UsPn2Ay$2UA$+bg+MkB4yJAs~N__P2DU*5~1rqV)e-LpG~*#P161s zpxjo`#{}$;3+P9sx8k20ke?pF4+iFwF`6EFF3_IWwf*F;HI4=6-|hkbaPzGEV~vyd zYyY87wI|VA^A8F*cwcGxSfS<*;bJCT-V4xc1N8#ljsU;= zzCdKF{&no98u#t3adNE2QB?h>yAl6H9}>HjN|y(%Ab1r=qk^;&qL^KpCOeNz1oXY7aq=$B?*;Tnexdoj zhctiWjK)PD1^CBkdhD#m`GNQM=-ZkenG?`=T+_Xy8b<>47qLHS`iO@$_LgfLy(WPF zsfbS3BbK2kN3mSW$YyL34d6Cw=o)>s8_x>k9eA90b^NgMgRZ+ literal 135356 zcmeFaf1DjhbtihNyYHPl()?(Nu^7pNXvu>ZKsbXv5yDJF%~&7f7z2ZGSOIz2wyem0 zgpe`#CC1@7HMVSIY~um*5}81_Y#i3?lB@#WjUma0mQ9FB2%ZheiwPt<#;k#mYV!B)qSt~Pj_DTZjpnr7`a}=bfgK_{TtK& zWO8rar%F2iuT=1 zv>IV_DjVp)Qz~1Tt6BDit;+nVF6>Yv|K_;+6x%G3l}2Gd;Kmf_rdZm=K4Sl;I<@AI^u2F_3RgI0a&Ne22@?<92 zuy&a^ZN?KvN! zQPE_19UIc?WLd|HEPiZ~)gM`1vnO2QNzKpIbKP(#J0p7#eC-0xlNyhiZhcs%Ij{US zTV&*zphf2?gKTY1eX!XEZHdeqYNX0(9n(~_YM?1GA7jnz)M}drGVS@~Vbua>znoQA z&F#r%d+%CVvb8A1bR;eq*r;a8bXsTbwA9lRM@~;(hpHpUI{3#$%|+jPVXF+YVHt_+ z_p~4M83{{C%6_~~2i#D?(-3Tvwx-Q>D|%dozPCV}|B)2yBw?4@5FWq(Zjkic$z~@F z!)n%G?-^>XoFsutY=&8pLrSY8~}9XHlpOFkD2^PC4%(7c56(zv4Hbd}S_k0Nm6k)z zGO^Hz1!fELXTZbi!b?2-AP3SZ%tt_spKG}|It@f01JOmcV_~6tfa5+M;9-H015MwUIj${bVETW*W0?y+cJ-aUsfN*e2gkV&E^^m8%5gLMik=B z#>50Q7ualBL2xzi497OMXOj|(IpfxAd69t+WsS5{GzZr(Gsd0B<82b_;gv;-qasC;%p zk%6S)l=d{ahJzF4xrJrKpDQwr$tI{i&8`Mc#VM^+871=#0{tvQ5)oTcqNh7V6}})@ z2vuIl=kF8|+dU`ql9W!LSBMOkQTpeUk-dJ%ioS_LrpF6N6y}xG`Yn=9Ii}aynK0A~ zA%pG-4PKDW`S~nur_;L*Bd4RtzTH{ z*=^~XViEiX5mgh>NAN%ht&J} zqw3Y1UO%fLHK>({Z`ZJqAF>jAj?8;~-ovn|x;h@6TWKq_#*x5TGOp*l61hz-ErT*N zT_mW(Iq(7KtJ<&ef96RYjnKw;o@fuNrDSca6ufTgoBOsq@F6q}+2rs;1;bRnW{5)G_Z zbux@Z7H;HZkxLbc8#M!>HdZjU5zK`_FVMqT*ScEpEF_^-*^mGM98^)=^2U(a{7*4489uV$gjTB#AMf z^=vjtl*oN_TF2=sxZtbTflG~7NYpH{95vEp;76j*NhgyHAnLSaUTqc?9m$vz@|l4A z#|Gp|2zrNOb=zP0lv3artD&9}FzOTIWUH8+m{BsNmrf_l0!Oh>K@B#Eq=#*L_g`HE zhUAwXK}UGt@UaxCWrofPB#8PJ%c;ZoY=Q^f94T_322yZOMd#aUfbe{Nn24nB(IQ8d zCzinW!5=^TnFqzS=5P6%2}xTQe8qt`8I==~M3}UWT0}jxy@!s2G27-*zAe4fI2ENW z2a#2#3~g`QG2g-RM9vmWdzE)9YiLP*32Td24c95!QW3#J#Xy$u@fSe zQ32OA@F;^q9(?biX6r#J#WvV1HP}ExnFr1(T3Gko`!~pKXyB{2eQYJ<{%mI3{;h7A z2h?K`MiK>Im5wQ+g?KHL2#-e#;DkPbffM3%_U?HDt&y4u+A2Qy@PE(-g3Ucn20BO0 z4bZ6qN4`4bma))*>^=q+GK*|&kEx0`SM<5*-S$tOOG zygS3xn%uu{u@Lq%OnR&tD_w!DLRxt>qoT~rcw(Cs?`d;9y;M-pC~D?*<}ZIxBDwR1 zeTBo4=_Bc%h}B%rLg`5@d#Q3;@mRm=^Wk^?a3NS|^Z=?NLi&d?n<2sCi+H`u8! zedMkp>2#8_QM3Pq_-nhYnaDmCILDAKi0HFT(m*f}P^)5A>?idUE9s$j|zU0ON;7Pco=hJJ)Ihu{D#S-Pf^!>^Cp@r%cW$Ky?`hM?wMS z_Z1q1({WOCCdyddPh@9&aX@&BB9izsVjM0zl9nRK6U8sXs4pkJ`tWyw$~f06kqjFE z4VeU*Q9m7O_jr#To@t4Z$4*f}f0kd$=FxAq-sF(ICfhOk?T>y9{P9OV*j-atP*ElG zN-yKU`}89R3goYl$=NS&L!P(ZFg}XDJUhOW!&bL_^Oc2UZ&Q^eOaJBXmtd3GANxGA zy1JIz>L4;8JKc7z7^f&y5#rlXGiu}vu;m$^z#Wg>xfi%TY5)9%J20Q^ z|MtCT=<$6_;DX7jEH#%6oZ%fPC6yW58$r&q=<3npAZx~6?BoSE5B#^`0;}SQFTF&P z*3v&+hu$3jzC)hJHpo}elb&elp$ZD21r9U9W`LSGajMwPQL@>Nc?{xo4_tPQ#oU|@ zzV#YR2={*)at!a)EFRDCTguH~>tL%=h`hZ_QE-~u@;`8xn?7y!J>mxJaOW*E&dB@X z3sLrt&K-B7uwz&JV;3ocsmrw9H&*>nn??_hBqObAK{G9jD9-gbX;~_u-|V=+Ns^sg zAmW@k)``*2_WWHJeCt7wAQTq7*m>4ziqg_BLO+96BC?<&%+h9=dFLl-vt$-dbYuF3 zE@YTE>)*3AK5-~@uoHNJqAe+s_-fS5+}?Se?;2F2yy}i`p|I$Yn~rrQyRx3o>abTM&YV0EU32qa|YHjE&s)Ckrf z()Z3oSc7c(2nw-ghkW4gza~lE_m8K#^*kEk&>D0&--K*xFcv=$Vu1-Uvv-uZw#(tV zYhwgoV==hk*yQ$eme4ceSKhzU>o4T(fgdL(J)%%$$6nB1fC%z zF8iSc*etW%ANj&BSdoW5`N4mRB>M7ovJ1n(X(017r(WpI0}@3#pIw4HMb0ZA{*B52 z!AHb((wB8sFrQ`Ly6W5i(vi;m?U!Rd+xF5|bSIllz^AFZZ(Y_{et`S4;S;Hui`;ky zP4%A`ow2Bi2lK832U%y?oQ5--wzi$wl$m9!ar-TIUkTio?-JXzMo3zDM*4j?eiM!H z%v;`j3K|-S3Zx!Uq)+mGPv`F4;DtIcYO`Uc&>bG0yR7Q(odtA zu4!4Cfet|&@f5;D<*Yav?UU>pF^gN?pQ2_Q4?8lNJaqG$PYBqK$d6$g_bX_Y?SU*> zj?#tB_CNb+cIqpxxU1`PJB_Oxexd@`QX~*rtn|OV^ST9xE{9qgIcIzPoI@Z-Un+8V zDcC@I-bFDybHm$E*v$Qp-g=sT2L8Fw$|Sm=i|%PVJE6q#$REuf9o)s2wNSVH=GX`_ zhC&iaJKM3=N6R!tCoSBoKC>H>r_Eo1?f8ZOR+E*~!}mag7}_~BNVLm}40cYUmc|MX zXp_;_M@At>44a`YolnFlG%*hh;9=Tm{~LMO7=NmZr0W92_Aok#y}m?kE3J;a7F)^@ zEEdtaU{BVvoatVBub51BYt7I=e(^6C!4bXjA`v(DjVbU)cIfj!tqWCQviT<`z@ZZr zMAn+g6&7PsXj@a7zW+AK5U^UazVyJy!7={)&pwD*ywi6Ct+DmUKR)aS1?6uz5tth= zBA;R$wZTyNvw4DkFlXk_8s|Nmj{0$taBR#V>Nf1D+yAmdqIcf=|6FsWM4NvfD)p?7 z?`}J}L?$#dThezUR-ocr~cWU-n5=GRcOUwibgL4Q18 z%LZW3^PDL?Ln%jDGs#Z1WLB;*$r*y7Db*`jI_ zU0$MA;9E-6W_oxhBm0RRUHr2QR_9_Q^DSk+?}R!~;7a1MIA+h5W7%#kwr%&Xy2 zO4Mv9vMgy%TVo_|16$Ppz5{J1ADU{verQYNUVF1kY}S9@HZGM`gTW+3uaNb3Vh?C@o!s%np(5r5FAs_Gk9Mi@!9S&Wz6Y?WL~Iziyd) z4KQe@qcfiZF3A(jXWJcud2|JiZj9@5DfeecYfg%+m`hPk+a_{|b;J|6sQnvw%y#|4 zo!87*k+ZLcBb^h2F3CCzM{kn!7~-p7_}ZcXYG1C?^dhOzr(eUNLEP3;4jE5dgyq!> z4iaYtln}}9u{D}vpqqoz`50v0)V>^YV7R$soV5FnI#oR|6__fd0k?1iZDt;|f>{FQ zp0NYQD#>d*Lo2a`tZ)|tI0~C(Gw)mkkGtv3r#hn#h`Cu3E9UUU-@-)GgjIz}I(QN` z%fkpVymi5KdV~W_XB4`QcAb62Ylo0}RGXDK`ngXr@oM1q zvIh$)vfT@t#o6t|cX`B>w!9h-D(C(!Ey*%GKpa1rV?y7Qa$DHm{kdT&-YdgsR??03 zc9r!sj#V0jM(d=;AL7H^u@~n=c%aSD7GYO9egqk2E0VGOU%*uE=KGW6KbWoYP3dXY zAWYi>jv$GXdnLk-?q_X}5L+nJV&cw-n*tk_H#)e?n!h2c(>D%59=ZQpCnK+VBAcMX zA{T?^1WFqlk~l?vA(Gf#2+c z@3fGHi;G@l9i1`ZUnG%ppEu6R_z$yYu#&97G(G5vl?EB_Q zt!A}gN}Urd#$8Ool4C$(+(U%<>v;|hULuQZtux!Zs5L5_s{*i4nG^JoQ^?ZF2j24C zY-CeB{M{9%wsgFTpe;0kXtGz>;53>qA7H{}szs3Em&^FeZd*bpP46-mk3VNIY-VC? z{NrF7NablWPDUg1)5vz#ociE*WpiIKeyq!4>JW!WSAEPF$GsF;RaAn8j4pK&4u@#T z^&AAk;&EXPHkcD7f+>5$%PhL!{%1lCiHmLN7P5O_7RUt51gHU!%+GPN3=)b>L7I<} zflcC1QdgisJXd@Z8c$32)K~`5v|w9`HkowbtbaxpGxDH=B=(WJ7G>c08%tZ6%b7p+ zrF1hofIDw@Bj8=uNJl1TENpW%Vm{UNa#YP=92q)&bOYGrh?UIBp&Q69_Jzw*JC&2O zl&w#P7pq~2-kN~2j>iS!nUO!ohG?t28B`riVD1rX0zge|pul48)gz7i$nVRml>yi+P#o)6K$h2(2*8DY&B%$G?A%IHp2B!xS6klDa6p<>#km2)l*CbZ#iCX3gbiJ8QuDmk*$g zStf!SJE)O{=&)vhNZk`NR_vZ%uq`CI#ZZDwDGKF9?F4S3uZCuGoyM#r~vJnWK^VVg$y5Q;)Kfu%K{oO+_w zM_^oY8E|R(bV;nld3)($2SNkP4ZekVRk0&vg4sxUOy`mrhtQ;jLq(xxyV(jP4586D zZDzPw24J88?Ejap?2^lik;U5BsFHzL_LcaxJA8hcZzhSuW`WPCW&CZql=gF|iA8J> zXIB9thX9ja^$iPm^bfDa+0~}@6xWTR3P3l({BPKUcmUT3MGnURLqAtgTOM|4&7>da zAYQ>h51mA&L-Mw_ktO}FA6=47D+kZ+Qu!(wuqKD&WlGsqdNA4rTa~@4U{V_v@{BHe z&_dvFA0m^sL^YM1KD;*?LD3GNVsj**Emk&89#Rx=GK8Itn z^kU$!ZbVp)fsWNT53s;ihk+r76qi|%Z+s;a$k<+bB*`YdAhMvWM)O1l&ZnHyH3+Y( zD`F`^p^>>5vq3*H@OUnnD|im-o3Go?^3hro?OR_|6hqe&3fE zn^F{_&@0s8G};z@-9W=2sc$};nY3MBX3dV%yaCQwy0MDvl*e|YT3HYy)o3gbE)COx@eQhd1jQl8 zo7rL`sOeI%rFO18WG8I&@tb)@%;ky0^RqHXi9Y1Q(vbN-|BWx{$$|V7<|26x0tNn9 zC`9vP<7n$1o;RL9vXleuVf<%ai2{<3o9w&jJn3FyTY*+~{1DxBSVa!8oLze2h7eoj zVafk0u0ceu(8hEjs+MMLnJ3@Gou8k8H-h>*X-|Z=i&hnuumd@=6S(tC(w5VRMArLs zbqx~94U%PuX?NVknL67<^`i1y7QRE@-$G=l2|8&Bv};Se$r+;Tgq>IC>O*f8Y&kLr zhWiU3($3K6`Ey{~|9@VTVOIN#V~N1eDLOJ;1@qiUB@t@Ygea6gy)REdq4*WUAHLyM zM9#86OvLiE^C4LzKl31>{WsnVH;Fz4H^ZMosCF40nk++{NC3QT>tPHzUA`D12CFNK z`qkJ7F?hcWkGzIJkMtvSt-T4=}hD+QPcg zV_7pixYEdGIY&Fm0iL8Lqk~_^O)NR+#*wKoxy&UkNoQM-$pQykJ474_R_0KF4u=@5Uiur(7}z zF`kj2F43}8zU_w$Y&N8c_8)^`F(Wq&0IHz?PP!F&8J+cDz~x%4vD`^;k`{6SD?`W(BNh0B`gPkgT!&(*MItLX#Z^o zjB(E#J7H|wW^!3;4#$#TM9t>G#`}#1$uQN))Wa>@wA#L$eKtwsE6)D!T_oH9qLSNME-zm=0Vsj6}zpQBjy&dYK`QwZ-9hrDL;q9hxjYw zpI-?o2gfQH%b6!X31c$UG?7akROZ;s1_YogK+%(0w7G#cBOChO;D8?hK%GNtG!7*5 z$k`8fQzl#&d_Nn-aT^lJ6elX{pP$LDqSwFA=MdVGcX8_-6}-8LXOweDVr*nP8Jbe7 zJ1Q88r+#%sHUbu5p^+d>F4#q$aXY!GHqeZE!Feo*bid_xngJPJkh%$X)uH0CU_`SV^Wd%{0z0>XcC}<1#(tK z;J+JU;q+UrF7f_JwAX{v%cU}EjLl%u@|)#M5)!ln-RfC1+~79L%JwXW`k=+2d01mg zj)ScGG|dIn&leikF-JpG&ki{jMr;siD5g_PHjGf2nM|p*c#&r$c@%$QKIy_$IRYZD*^m0MyaKJyNb6qCXYts{LVDo0mxz10k{%_5%;Gahazi9_ zMpw|!tX!63(&E9eLQc(^TZ9ttfdZ_g4i6znqi%W-6(PI=E)v&3c4&#D==m0d-N36C z-jTUPc&;DKd)^2m2-<0fYy-&)Sn21cH~Or`WkGf3Ii(H89<;b34v>llk(My_qFiu{ zg<4jr9rcAt&eg=vP@)Brq)pKyPJ@*b+bW2_Ze2D7Oyn5wlQZg(i##tJmlBUNt*=x3 z=pY7~AQ5N8Lc=^GNga_1qQ`#Ln(s(ksT}g5nt1A~W$^3R3G1H|IM4o>) z-2j!wLp*3g%>;oqFU(;&He7?)z|oQXZF&xZ5o8YHa&?uEhL<%Z4@_d9Gl$5&FF`vM z*jgBeh-C0LC1yD4Z+_>iF-*u^yvYSZ7k`P3+KV~M{ya%y{;Dm>r1(u~21E}E29r1- zocbzPz|b4RU%*ZFt6_u+aBLPjlZ=)7vmWDo*N3w*C8CskuiR>4q^n?cVXVUdkbflc zZMej!e5wGh03#R z9pHVCj=9EI)Q|Jof`fx$;z$m*Qof@QA9Z|(CnY3_+l;ABz>x<=Zo|@rvW~jV3TcA872ly<8+Y1F9L9o;!Oz*l7q{$V10_WdEkgG8P z^r2>X1PMN697|5OZOV2X+L3~UrLNU8`NS;rfhcWvFcW+=1e|F*gX($SsE|vv%we+M zrI^p8jY@-LKA`g%I3USX_|)PKzgD} z)2QkFq$SsRTFm{Ku^Fx)pvr`SkK{`FwqwjsDZ|va`_Ge7bcw7AOXRID;h^II^#z0> zEZJ3rp&i_Zt%_jwQem4IgNVS((tnw=Po5B zojC;2STme3QkDe4oDLyEn#76O&WLUzt#lqj^R$v-0e~EMNFi|n$3_G2`Y=o&b;2W3 zC$bCzNFyh6`j7Bq$_PMSRMwOWr@X(+(APbiG+EGkFxu^zF=1xz(OVtF6Gz=ob0n(osM0HS75KjKV>gj}N^}1FzZ{ zLPO>zWDqJ-pKtbXYS0Haqn690dEjGkio{R|{7`8~gR_yZkvw zg=fIun$Us93SQ<6YKD?@1i`v|!GTRDaZC|e=xXR^Ws|TV_dpLSZR9+L>OhXFl>t1^ zLS^Uh{!$Ph#QmNRg=u%Jw?=a}*$KE&xqe|h$*yZ$JJ6se!E??ZMPT^#=yR(<-_k;s zXd3{Z1I~yT84%}C_a?9iBKMwZ!Bq_;k^BUfR)IB5FdjsiVEx?N&7$t=&VCVV{Ib6tUo9e?pD2ZYg~-76u! zZ_4Gsb0VA}LJY+u=e6MEcs*bKKSc*_E}+R;q+b#q+4;EafKq?f#sq|QHhn~`e`m=oNd;8mTO7HJ&W zgK|s)yNm#D@@FoI!9PW6kivFC8Y@2tpZJGdu>*TNv^eep5+#Ug?HB z0gOIomn17>3pD5zD*!^L z7Mz1fwtWZKh%G_I-&-3Sw_WK;$iZqT3GY*vDbzFsD9}0+N6q6PxsFaoHMT-cQ(2wJB3uPG2 zXXai`2xwzN+~M^ARabyqF!T&(BO@Qi$rD5xU(Ut$zyG7CbUOXB1^M1GprHeGLOW16{hq+~q||l)Eo#~frCVuX zWlqC*Fn;UiuvD?-*m%8;K_y4!>k~B zPyzZESjNJhH)#$FAW^rljDu4_Q0sX&STqs8ZVXzB-ors}Azfr5ITv)(dG(A#7(qaJ zsHhRYK9ghI;mPPq&<(m^X#(X^Cw5-^!;&UF>JNAY5Zf%(iu^G}9RW-xg z;g1lK@Xcibb{GOWmtq&(*S+syde?af|en)Gj4K;@X9dHqM zG5+k40fOF1^+62MFehYrK3k)7YUT_KB1IL_fR`lIl{$2vLy@Quu0srfjGFa1dHJN_0jke0h-MxUSkAvoL@wU(T;K&p!$ z`_nJ^AzkaIEpIc<)V<;y)+A^|cy;odMWth?o?vll0J=KCQ3F#9Fy>~zKkL&iftD+g zyh(Gw14N4)P%|*z`AN(hIJ-JPk{Sp|WP3J;36W3!v4h|f42pEswF!GkUq3cpX*#Xv zAOky29RqDQ_S6-e|0j!Jlk|(H9K^|VeKz^KU0Pkg02#hVj6+2W6s8Wk($1`b$fJ?N zu7YjKQtg6N_-Mrt$YY%Hia1`3KjrWNV*d<((l^xIv{^=} z6k^I1hY03NeHJsSA7=^=pfX53G8XJPtcM-h{9C`0sr_z!4|a8zlD!NLq92oB*6Fxt@tee!d4n~@2M^qU>ODNXj@m{AnG zmU?}!fuO`qQ+00-3<84sOGO=Rbiw%y+ z_V^s`{2Hga=<*CTtHCVQ%F&1r<}(c=zirDojvRXXGYF+)W0Ikt0dDzKtpCwO6bA7+ zp|Qzxl(fa4gB;`-WI^8Vd7#i*;gO2`f+EmBQhTD5u`*MV%w;glIVF?k5Ic?PirC1! zu42Szj<&0^fniE(7EXfwir8qnWxodDAuHmu^}-@94%tQ&bO;SsvbHgY$cZ0=Lgpo- z_d{P+TUwYUP*r3eB9;xRA7XH5-%SN1?ruTc`)U2xfYn#1CqvGh&rIrOyZa(31p_ zJ+X9C?9Z^KyBHJLrnjJri!(n>u}V-)ZT8=qKvAsp(y#l`^&Xcaw#69ZnS0epO-`xs zA0!U^Adw1z1IefOK3uQBGJ{1Qu<)>!_mLn@AbH&P?e@jX4*YQ}l& zlWC*^Z! z4?xqzg@tQn!Q;wt(OZqA)!TORpgYPBX4_H_0iju91U5m79 zQPnPFJ<__kbu(F)b1gD*-$!d)o89R#_VnbZl-itvp3$?RXTw45{3zap&K(f(jd zev)J3h(Dl^7EV+FVOPAhws3rXeG$_2mDqMNXJE-2&u?};<+N@Fs>Pr2$I?OM`h}W$ zIBag)_izJ3A-D)YiOk^%Lvq!xHx><(USv&=1w;=vcU1u+JmNIr@OHXKMrd45KO3l3 zk;p&1sU*ZfV2#7CVHCkHm*6P!Cf1CDEJ@9&Eu38__vxK%TahEL(imjc1#Xop97}uC z`cMDho2B)I(dj1fa^BSJ%yPox1)g?qE%R?M0ExIc>a;pT&f74@ezFG5nkr$gB>&W} zA~dO|vL&iNG&9ECV%=t4&ob?D20Rx17SVVz?$ z9!-|5k?(GlVI;;w5{IvpZcAT9S&-slzX)MsCDNCE-Aqi`iOFpf?g=KKy-j+SNvZ@1; z?ZwuFl9-ppA+kTlOO|sWbb~!Qs?sp1$bx`9~BSM|R z@N<@1^q#J5t~i3nQs=++{i8FMNQFbS@PgwAB47TLzm4%*S1tvnC+cZGYph($XK_9U zeczjfoHYuL42I zK3-#coZ#zDxyRuExus7Wx)GmK0>XrF+7V>kOw*aUb@7MlSl&lV%hwc1V5Ot(#x+YC zHV9e8!QaS>e0MBI{pQ$cH%heuzR_CN<3WZrOvl>{At1g72>C}J5yw=EuyY9rXJz8QsgMMqhH!hF4NYy@^)3gVPEMgMJ)i?pb4uO zgad4TUwsa%)iThdTt5%rzc9&0y9ZCuEiTENU~7yo$Tx0{C8fMI2Y5JbgQdc2l%{Ykakuman`4x^(aedPAF`m*o1; zA8ZhxT7k_v{sx97HVf(n=foL~({{V9YmkK%KC>LdTc;II6y3GTW{rV4*zDI)P7l1u zz4#LdE$UQfW~coaRHg9!KSW_Ci(vDml=>W2C3~EJU!S23KsPm5r;{7wuMK%pbLUb^ zSr4MsdkAAtcr?B`^Lov~(*tv=+<8*}FyH#{BGO&|-Xtu^u4TId3n__L|O|>#FKV~D)Bx%F16h_9X)*z*9jTy1Pf3Um-*37|E zgBvs+Vti-xG|LR#^1E0Q0Cp89{w9f`HJn zoX<+#;KdM!SP6}dMpq^HWh;GmL8)3cK&7y4BODuU<1qMU5Y-E|4GtZG!L>2E1W>Wc2^? z-7(PA%MNSJw%@A_b@m{SNGdSP+=Oi@TDExiLY7WIb6v|-}LKdM^RBYNCMLfP1wv%x&E0uh)#(0tNF~Mh5;O zBx;sp#8@@zC!d%kgTi>GUh3!B!&5HTc+VO9Au^wK&E0qk!)d0VeO&i}Z1U&oy~`aIx4KHMW7OBK|jCWLtZNb#$Ad8?;8fcv$hE zrc3$twdguQzig@zTzM?1J5PUasv`RlBz$3JyrCPkg7nh3;#@aSZ-EtqMd)kVAl*SaPuqUa5nXk z4cOPBSE;pPKtAh0I%8?1%Q%Gk_eV$MnYZ=^K-?-NT;9}tS%_li*#n0=c&J})>xMhpYmG2$E*wuP z$U|rnMqd}stYgFLA9^eq$rp5aS+hEnV(aXy!ZC>)K`=}(_{0G7gT;&Xw_vM+0kCIv z!*6Ec$e>X7~sMUINE_w*ToQ85pn$`-;4YSRJ!9g#ScA68*J`@01eN zYqpW)eI7^m={5LThNlbeRAQDvd_d5LZ;e(-?OeU>%RZ)V&4FA!al#8LHL_&k@sY>r z7ZrSP{idMbSLY#JMy}cm#Xb=)i?&<{vzaxz2I9^6Y(w*E4((ly6>;q&%1RFXUx)Ux znN=lgU@_8$!SEnH-K02mg7`gzU|K0EX5brxi}Hmc(#%)Ao)#4~&LK?ze1dGQ4A(x* zTA|SGsG9&LN9kn*ekq2&&J0#tB5FK~$e@JpOcZ4>694W-8Bg^bL~|%rkxYpz$?z+g zJr3FCHL4HRo}bB?^_S}UI5yUR!zgk<>kQS8yN#Mj^bw9AJ>AsO`d}U&A2HUaZ&@`) z4>*V$W4vY^}j-7u=fWqI{-206;A6*X|h7r`-@xkH4S?$n^9p z=z6x<&!QYP!!IYAr5P)-N0T+3SE?}r4qdvkS@nvtr-6;6Tt^T;Q$%^*sF|6S-ov3J zGX5!3y*M{~$thPNYCBK#rN%?w@@ekpXp4DIvib?sGI1_)K|B@av$pKKOqWe16+Wus zzmx03(yJNgO)Ac^@m2vfx^Z5jRzx+d{!X%Kc*5KOO8qt1eGlEsO=ggTyro{E%xm1NkUUXw^ z0D=kt1AFE#Yr!|ae2imLnK?;sSfZ*2|!% zg5DUumwkwC1K+gNOf;f6%dY+%jvi- zKA9nDXsFtW>**P8y;ncsAjrxhT0goWxd&bWcr(rd=h+Zq&3fANYijCd*^>}z!W_yO z+7njlfu#N4zgbx_FX>COnU5MC2}D*S;igLxQ8)UO$?HIgs~r_N2{o&T5SeM~PnLS& z{c(AyNPeE{!}B>D+CVqsa*Vv+@iz14`b1Q)&h+KQ_df~}6@fDxlKXRzfNQiy#j#`H zJ6dz}R*xXWt%aZfJ>m-Q{9yw2%M;DP`80VZtq`nbV#0gcnO~`giORR7XVmz7E8ytI zCby&%zX@Y|0F)aGr?K_T)*16ls3UqlW5QFW4C{Jq&NQ8Oe3s*kL^4Vn0dls+&={2j zoF}y{>8qjqG&Au?dE;Fi*(_toruY2JBXB^aO%9dID0_Q3_oF~bhVQ#z#@h*NfYWi1 z6NQbQp%2Cams#_innzq0f*P8ff1B~vdZuWaR02L-hXu|W2ww`&xAa85aIcQpYq)UW zui$I8<>uabBV;|PT`B@_V$H73O;BVduifbkJ)(*SE$larl6Q8YBJfP>N@S zo1Ax%HpoTA%nlVf17AdmSYqh&vw8hMj;!ArL;v6V(+{)Ll~wRJ%68W8fG}6QgQ1Pq zt2*in_d)al;vRlZ7g~Cn!}#xidqR`Y@lBZxumRz<`!Hr}rIo%gG+#gc4r$g76J={` zWV%trU+)7IQq*@bn^EWLMp-3cwno^hp*9L=)+{uBE59q*dX+}@Da=r@ox(W?wm+;H zNK^nw?1EMb6caLU6o?4ELDE3g&-H5rq;_RdK$}x&a@tZ*6oFD4=7>@WgwQ$=UYaEZ z0{WRc)0o@v?26>|SJC(&ttUPa*AETL6>Csj6|V=vpjbyeUby~shro+B&7n0wZ{6q( z+bep#UC~ zSp03+Jd`pQ5+O1XME6^_zT11G*e&(gOp2i$QJv556Cm&YZh?sZ7F^nz-e7b^{>{r( z;rUrxJJ9d~YvZBMVLg)B5OXvwcDEJ^Kqc?sjWUd>Ezq6rJOkfa?`boxM5@T>4xj!% zJ~;{8JLjv~Ja6D>3&#Q*H0&3L5r3)|;-q9tt|)>+%iPxU^;v8n+zXNM(tPxuPfTG0 zh?;SoOGu^qco@y;8*TdnOj=nOUBlOr?{vz)t`qBP$~Mr_<~C1PSK4=FbLZ8k%9?@M zg#`4%);q}*7xrfwUga1^L}{2X_~pcjx!#5I7=)eR5~Ec-)`>nvu44#}B6+-v=Vz!e zNPJ?k!DvOf^dm5u5190KOv>*H4!&;2DIt8;E`(9m4f;(MtBAP6-wVO=#NWFzddRAj zHBSF6dSI{k$R+%uJ-(t4zQ}QC=HQwHL@fMLWlY#_^P;gpwu=_rN#1nnfz@EBD(cR* z1Vvi3rHbT-^YYznO@MwzMJce^5k|y08LYFF6xr~m3vGrHEz>LHegqkFkfJmw!c}3{ zkPH1xyJKe)*;Yfi)ovAE)l6GKV6I5h-CUAB!6yfOJ}8EtX06!x!a|jU9=Hb5Y{=ZA z(i&_!nx@Ld)7tOx4>LynHb9XL@%``KmKiljiiDneN-x>qr+N!mo=uyTz+-JjYv&-% zxy_Ftndf)B#8gX7Y_iAYo?3I0bWC@iG>7fst(Pk;KZ-N!pcPb~Y#yi(t3h0(t|`D% z`Qx>hhTuBh`KX!mc!Q%zhWpS^qg0zU2xyHxSY~ikr#;KyT4>lTn788E?N<hqf0fG86V7K7w+h+rwAEh>xGansvqh*mwCI zt!+gP+9-0!f&!SA!WeQ`2Vjg@$?_V-APzU^LYEI`F zgL@PsN~wV!0aa7j<)5GX$n01*M{R1{eVgWLBS_g(IM9^+&8G~mX12+Yz4P@8 zjtIbJxr8(IULQkkGE}Juv9(^dUd@c#asnF1?kdqeh$hSB(Tn+LEyhy{s~G5d^aQ`e zmobX_AegCL&M>FCwKdCeYPMd@Hv4ZuYQml~h8sAk+4;+*MJvjFhVaW4oV4Qm+P*}8 zAEe?zz(&W!)e%12@8*N}h?n&C9^40+=saVwM{1f^P3z+*GEK}O`!tX?yx z_N0PUR@4o)-8lDmN^=y7ig`B8-CmzY)|({_US6aNg)!40P)gRf_BkH_WNm!X?Vr>IUoBkW}(AK%aL~W#;(S8F&gj zyi0(mVN9?r9!7)Bgfrs3u^#^T%XiDsqpL5QFq;c~W^&l#w-n1<@=RLzrI>}@>Z*Kx z28G$kjV}6BYzh)~cx@d1SV>#~QY!c|92C(6Ds10Y7rumTN@A20Il@DgIkD9Yok_oS zEAP4+hKcU;hDq;G1?b1af{kN4P_LQQjG6uacuRp1qz15)znzFRuYTW1Bx?;U(q?*D zP=Do*PjmfzCIgrdd<->101(NlkIsUyfIXxyD4OtG-nHtPoxPLP*bg-A$-hMjiL=eDiTyf$W<4{4WGHBBBLc^(n6EFK+fjRo#;a{ zu0`7TJUp(AKx9^uFq&+~{tS@t$We8CuZ+mxHkhR-?uv6PedQY*A@w7{54n&{L$(v5oO(8UcwL zgZMXNVU$aRS07HYxkQCt5rL$C%&9}@Kh5?X|*->hZm+>A% zcWp$1$gHvrsw}EB)zF}YVnsX#+gOmpu_P4`v{I!B0ZZM~U~j!}{{hn)mBG1Q&4%Wp z$fA^2Xj9OcIx4_I=$RG~|EhKSrXnY)2ED?Wg{@J1kTnZDXpmGu?|P=AGCUm8E*K*I zgeUs(^fe{9=BNBk6O3|oC}TTjj(fC~n-?|jeHUxZ!U@l7mUGa<)0{9N_~l8S)ccu8 z;qw_dsd8mL=H1y4sWx6{X2=va!>@dCH1!J&hWmkgW{Sbf5w<8689U~nS_lNURYh>>-MCoX_FcMKJ~9j22^y9I0wH1i%@(saFi>V z8DKnsr5`(rtU(OQdUmx95)u(=hGY&gDdmBBMi1Bh*0GX48p7#0s&AP|5(Bgh$BZzLMR>4&D$Ze_4xh| zr~*dtm<<@cQY%rMv4}9$?eUEIXf49-p(OP6i127lNfIAf$$dg~!|rNO_Q%lpI7BQ*5G!p*x#APO6>)2)4uE^` zVjxoEejpSOwe=nN@FQ0oHZ5pjNx5olq@oEa0vL4~PjiR=q>HwZ)^3qK<4o2}#vf!q z3*YTVh>+@qioHfZRvtRR;eTXqW5uI_4`!oGwVmY5-)Au_o{m1*pmJE0RD5oFi zjWomz=d(MPP_ybWTL6$``G-rU}6(6?vMI@2`0ycpD zymBml>pgB&FMimxzCBNp%VZMrX*pOJ7`#0)M-Np^G7YGs6<`R}B-VP*9deIm4&Owl=m#asG%uBsmQlgA`jk~-z`^Wy%`Amd~Njq^b z1DUGbklxw092yi@gH>`Vsyw?=CWsFv)SWaLCqe{5}m?{uUlW{-=6#;?$fy-RuujUEj$m zQcJi`Yc?obXBn+JBt;jFK-(&?Yl z>Sp%GLptUFjpw;9B4sbxLliy~eq&wZCN+m7Rzgcn>&B6-#jiCejt*K!$6GzSVi^&+ z^SJ7!t>#4B%LQu}IbsS{A{AL~n9#vTn;Cz$vIKL&_p@`0+J{?amFj<1sAMcNFXK)F zQGGe?!s258cI?OinTp&qpKQL(sgTf=)#RvAxWf}_Cb{CBx=_G+Fr22O4D9BDFo=al z4Z!cb``T!-0op8?jQ^8#?t|c6 z#vX~%8#f2zj%fMb%3IrRPhODC5u1-3g<(wB%6=Y2wj<5Kfr9(`#ZQ#y`uLh>B(dej zIWzvd{Yj>a_|QRPrV#m+>b%inLBHSxzZ0kzJX7D99=QoQNPK(;g_$}c3PvBT$zEN9 zpaFMemjz)P>)e0hp&4sr&%V4RM&9Z)fp_|zxoB(^KZ$U(>&*|hfO*Jwrs7gg$D8kb zh-`f32{5-Eqt!3a%(WNDS%@dvEK^MqbkX1x3&x%EWvB87E6^Q@+kz%s6CE$3zQvqU-z zUgL?&!PI%NZyDro3KWJ=ZH-S>exho0`Q_wCWPgeSO{ z2JYCeYO1ubFF+N*07cOc-!r06ku+xRyR^u`KekBK!+;9m2WB{IMz=U6d4jI{Lu2(A zxO<=g8@}6(M?fUc=^7;R%k7F$e~|n zJYeQ5l^1lCD2sREuRwf|O7JUU-+@GbFv&Djw?qY$VEomHx?#q``FUUoO}$KTKg92q z-neYanqd*VP_oj80(#N#qadn)zJP`>w#T6)L`|#9>Aieh^6D9f*jdzgc^@Pikn4fF z^_){A5rS%br2L7R=xq?80?onf_g|(vSfPLI*j@~k?c8@JzoqoUdAPXPw$c*8d2wls zRgaRerr~{%JgZ*dS53w}@QnH2LF$lU2CzdIW;>aO6zZ9X(m9Zl#7uO&eD$QnwWOX}@&RDQ{2sz+W z+H=sb16wAU*UgE*@|to{+J9vMR2JSDT!dWR#FEJcxpHl0jtAoz@qk6{)vAj65#)SJ z%4UBUmqcE0^fRyp&QW>M%~!f4H!nRK8<>ST%x`JC$Z{mx?j_D+L_+;RwG=)cSeZE} z9)QE>YI4mGJG=$d%s*PQqoU`HNRLzsE8g>kzg<4TA`<`8h9iT#xoN(vy`<0itNdg) z@=e&xG6+cfct#xGrxDDu%*wo2cX$%(D#rRlR%M{mwsiaPqm2k;{5eYKvBforDXb)z z??-!AMQ|<*n{~lLIN{G5AGM5d%Lw(iFJER3em*-t=Ns7>;w9Ake~E)JOc_oaa~QDL zP5EVS;Mo1Uztb(5;TJk|*5$NzP{07bR%08vm5CJ@2IeKoRYF)Bg{U?&hH>7gYkjB=r*daVOJCvN zU8YiwJ-(j}W?sp_P4?)8*37s{e3F{GtKJ;OU-@Dt5X{11fhgEAi3biKAYpE+UFDC^ zAp-=Bm(ex8t33|wyH$5I<*zU|dEdR@fH0q7c^kuOo5_ood(5#DSE+sTf<8YJKLGZa zG~^%C;Jm@wRg@4#{njiFL0YIaPs5s($vb-3QA+20F0^{I5W1tEH#{M@XkjJLW|@G$ zvS>JN3k;iG?^KRoWWY+RohxlVbU(Fvcz*V|qiQp@ff6=j+6-X5Upp=>DZ#2|J+t?f zLo=wIDcQxvVVeFPq)a7hJQ+C4Kb*gzfmN`a{1Yj2Gt85Cn1mp?*`U5sW% z*^$2yEI8c8TZSgE!5P(`S7n)mTFqkpGOf-Ko(4A<-p9?rpD(zQH25(MpRFgU zott&i@`aas>T_W;NLVI-fBi%m!S5;Qf*#`cpwb~wR=?g=((n^C7U5Rjf}KaV>m|mh z0OomvPK9hA#*Ap(37|?CXTYx@qCNhA+K-KEdkJJb8KE;q^B0$?;ajy(SU69ML;fz1 zJ;|RpDoRL3B{wK@u$;fK^wnq&G4kLq~jE-N=)X!-B>Wwg>{A8pOC9-oO;{`%^?2;zUM_qWE1DJ&3BEW z4dz4LoQ3b8`1a){mo@$KNi99KZ%$Dl2qA>t(N7{&)> zY>ICKa(C4ct{{f?plVjTtY@Y>4AQ|>xIm4$CE(5z@|71n&qfX+&UqG++>|SqbCF)p zHhQj)5fIN}ZRQz+YgAcqEES(tb6a&E#6Q^BtV5udgoHT5C7>Z;JWMc?Is`BqeU`J4 z?m3hC)bMUo|hCDCI+51a}1_EPaoN&zulYAk;AoM%f@1TekS3o zz0ASQ%Vg*Z7g!?9J5Ff-H@ZI~Mr3)JZaO^7ty{Wt4`Z@_#)@P=sSAzAYCl43F_O*L z)WU)-u)S)5=1h8$Gc9U%L>#MObve_O~ba1ilWH@plf;o^*K8L!>L$s`40CfX%MD zTTG^rHO@m`*X>57VQc3(KMI>!`4Na5agxm7%D!&yiC7v9yl}ZXmlmT}{Z=4Rh8!q9 zB+=FweuQ|1+wD}cac}jIOIZ`)seHzQEGW>pgBPY?giy6-<&u@HDazU8_J^iosgS-C z!UnWA8D6+0U?+E!h0FwD|F{P7b-qq`y=vQyObEcj7h zk>wlN!Rl%TnZ2jTm8uR3(@*8JI){wKd9po8_%mH6CxO-uTh#iey3j*Zz`! z%x005?F=~n2ItUGKdNeLjPfJsXBo%=B6KFqZRww%f;Y1JkI>#TxlK7P;8GVSsrVCd zy9#Rf-R2mqq!kr~lQqCuXE#oXcRXpd<8!-T(X=3Zv`|KqoLu<5j z0XyDJn+X?$GMV`YL=B7g!`^T-!K^I=g*PGKX-9T2r zDww{NGv{cG_F;y__4DbMDNt#YFZW`i(kCZ)`h3D11Y3?Yy4FK;!WlYnI%qqqrKpD+ zhG1aS~Qf**MJY3um3K89++KK<|{L_h%q>7+a+F zdl0p#vAqBP+WUOmJ2N3#?DM|A-@B68d+oK>*?X_O*Zw^F?7NFG;5W!S*`)|GG!}`@ zyf!i>{W1&qY{8QysZv+V-byg1l7h7urX9nU`NwFEv_OD%#@zXkaLD>U24*CZw9``A z`B}a8nKG$IU~48r;YUuF#)zX?#!xPF1T&tKP$v5rD)EHEGal`V0I3u*f^Br+1fFzD zF1Ubuz-_LiWtM#UnG+6_YW~0i-NZ&@*XGh4NH;lwsF51(R6yrXO_gkVc7eni$gV|4 zx&WjL(1cW~GYkbJvHurXqycpw#h&%z^twzlk9;HY6(}ZHPGq3~?R0zQ}F z%uN1n*`jRF(iVm6A#Fs&mIND-Oi%Od{X2XD|zy4Eay`(KA19N1j>6OW0$UECjpSSix8f(~B`O`ZLyMCiv|8_F0MY*ka+# zb1~41F`i9&)`*W<-dvvKus_EZMi%Vr7Z&wYeN^r|rWMLjGThaJ&Wqq@C1hpgo*z4w zXw0z)bq!HJbM~zywqU-FEg#2}+5ZBeqqT|!llMiY7i2yIan?SAz%jFnfwcU{R5@@= z_)pQB_H@a11-p_nUExyDv*HrTp}~~U{G>FSL6pV#X%Ju`R$1)WaJO%?m~5DG=6nF` zk;O#j(QzzSnfVWFRLd-6jS4q$KcS-y2HeBLsOr12`mra5mpm0DrV(=&q!O+m+ElIT zs-|3NzBO3bin?N3Bp7)$8u20ms;>27te=)1M#jiYI9RMUc<^BKr;Rp~*BMgwEdxE4 zukN}5^|d%WlW{JdOGK+9Wfzb7S>>1oV*Ae+1i#2x3@TPQUADNG^fS}(CVU~oZ@}i* zn4VQ&JF~_`sY@}ZT&w5J)s#36W#jxg!Gb1Mf#slc=`Zs1b4s1jawm7TPes>WC5d!R z#3I~D%9p}g#S2u^LRLVUm8f8XM*iaiC?RZuJNoUmh1U|oJSTW*1FDDE6-Jt!V0&FP z1RZ3UNTd>y8kIDdAmW$SAv)=0pG(o#*hSmvLpHE@z(js+uJO3QiGF1AiQ%OHp;jKx z-h>h%9JJz*RmD?wg9I0Fny#DG9fJntraFcFR#Ha^84;QCtX$saSSPaNt*>w;-boH2 zKd)S!h*W!@8)Bkz5y#-y@q;M1FU?8LbHo2Tf4SMsJJ3ZaH)x*`4J!eZvde=$-CHwR zJe4!!W|9TE{H3(Kd64Vt(#iOPXTGsEMMKT`8K>kwm_Aerb)YGmL;1c@QWQM_4KF~=yYA94g-b`7Iom!iDPtqhx5UU{hP={uo+2ed)S|i0H zS8aAUCLy|@0!y+`9otCV5b2&+Bkh257lE*0SEDIrLGfi0mXiWMAXwooR&Qxh2O@_K zsG)jR1(6!IANG_9_$x~~cryAOUmUR0Kn)wv-MrBYl+Bl<`n;y>1>yCy3tTXaXIbFMo)V+9`{P2Wkc41wC1HDk9G2vsWILt>#?GN22|d8Or8b=7ATS_h%S zgtU-`#qD(bz|jx!i#*4F9A#K;)wb%_oloRJyAri&gqhd26YZa6DbEKlR>N3v$a67L z0sQpjf1=_#AV_^MQpZ_AgIRcN|5d}VJdM*e1=#3*HTa@ko`AQ-!i<$U=M5`TT6(ti98|b=U@jX8aY)maW|^XgxmD%FCh%Ihddh)9+ev(WOUcnMG8WAB3+Wo^Ie_hW zD1e#7C2c%+oAYN8L6})ju>ECfR>bP6hQdwoUJ`K|6~!XG)M{0Y!IHi)drDZyeb`VH z17SRXIwMr9cqM0MAkS-M?!O~{xkNAnu}MtAGU=_*Y-pWf*(B;?8MWK*IRg?NBIlQl zqF+ccT~um{I;+{f@CJ38B?<4`J*-NT3`Ln0XczXNL0$-<6|dwRWJYs%6RN9v$^=hR z4iC@uxhS*YznP-WD%RyQ&7W}y%ESRQYc{fg_&N{y2E{OAk;W8O(yTbMGPY}iNy_-? z2r`4Z>j0aDzGRB3$Y|b&W;qzl1|_fwuB>A8yBjPFBw5eim`k2Y%l9lhe30)H%XLN? zz(NJ1a2omVZ*_#s<##8+F+-fX-s6dc=x90@XDB01MWc z2niSGIP*XUvccB#MoR`hVGQyWgY6IvkHcx8VUqkZpo5zS+(>Qv(+N*niF4)xnr^uQ zmTXQ*Ql;hxn;jv^s#Mc|Ca`?{XewtFa#9=!ZkVm-ijW(5+i<1p%Kn9NPDa)5HHFd; zwJkp20rGWSJDdf@@3C5qelJ}G*0vX}*$rC5>$nlPUHym!=f~fFiHXAS#P_xnd^q{K zSM8io!S+WU`i|1iQ}IhuWvx2l7Ne}eBN+^+5bb$93yL495xwlrv=6BrIeXO(6wf0o z+;DHmU)7v}`;&=vxL2TZ&bQF2>vMl$%!I1U{o4~NRfD~6d;RBmq15|t(2QNc*8+ze z^kA_gid(Iz(I4z-y5Go)Fi=%+BUpXgO8ZtAcKpSuxxk7X9@}x}2k}euO2Gs%i0yo8 zHki`tyhDibbMM)Qqi#+R`H=_287feZE&G_Aa_G5XqJB64{K%R1Y@;eqUcK>)nK%xk z&8!Iwg2SU48F}g{Jj4?pp;qVr)we)@$4)pNU{7_m?R|z>a{xcIp|a>j>;2)8vp?hu zqCqz5FL?bgKXm|4J^e8it_znhKll;I0)F8)ls@ZsmfB?!YO;r3@eyUZy3YQ_kr$gH zv9@Zl=Zn-qBxir}<;xh8L;&Bw+@HMksV5HA)zc%m7f+oZ&Gw3BI4P^ti~kARF)8G> zHh3EBlYHMB;18h1?RG#7Es2%pD$!;K1O5?I#k#QX;W;72Jy0;P&0klm=3e(WN$L&0 z`cz|x8KgN#1D9+fKtg$g3OySs04uH<9aAdJ)*R@Zf|u@g934^Mhjk0n|Bt=rLbK>? z$es>xg1$8d&72s82$l}@_h)1nbP!opwcsI3sNo>>?Q8;g{JXYoMCxR5-MmpN8&$sg z+RsV`KxeHZVTl3=CPhpf)zheiqe3V-m^Wg7!ZyN>X`KF^a_8MxB$e;}==R=JB%y`? zHlah>QRUk|ddidF`Q7g#Pf4IUT-zR_cC5Ok< z4Z*j-Kzm!Jj0#78=h9S8u#Q_68#2>qY;+HL*FdwOY;Kfup!AXe{lv=D{DvC()N`e3 z$*nx`orzia=iWAcSgYaZOxcEw8leG6jb3`f0iNB|3`F>y3CnyO1<)0EZl87t&fqpw zf**U<8uHFqyvyI6=ap| zI2GrK4Pu$AVOM-=75-REaI^}Hp;*=FyDVr^+hj11UU*zcN_7mSBPfv=AR5 zE6{$MpRotFWD4ehmFLM;#F$O2sZpfH^u7Xotf>`B0{Nhc0U zLwpn#QhDr4Q}19@0_WFmDSi3|DUE8tx%toi0Oqt7U!tqPa)3}ZJ^J*1j$sogZBaHx zabSJ6?Z>H#VnnnNV%0r6d+>osbmC3@2F>DDkpTpbd=8aE9`zo)@6Rd*8_q-NEvjA*KJbSc zCH%Ml`UF@G?3tH;tG}6K!7+8^P#}+9o9;wgO6!-R{Ds>GAMw_$om{?wsv=rcgCT-Z zErn*+zhSoSTWmue`QVVMM(Uhj!mKBy$e6NPefnL9-h)>C{|w{pSM~=f>_eG8WZ4R{ zS7m+n@sq=tqkVyv*{Jv)bg62j&`dRb3qgB7`;96@#XUG>C9y*>q8WZ~J@0oAyi!U` zH9q%)2sM93-+oD{a6)ylG*jvC`jW8Jg;wAG*vtPf7R%r4gZyhg(yuV_njAP!c$6} zq12Qwd|MR`#4+tnofHT0BWFh&Q4vo*8XP2R1QCblzu+Ti>;>Eq>~pbbo*V3jRhk{a z%h$p{dfZ}g=<2|%3+o$^1+9YE@QLbvrRU>ccm&1|c(L)cK=( z-c?TQh9Dtlq-JQ^ws|Ro4%p6Y7Erz)TkZ)dvs{H6P)uMAl0W(2Ti4v^qjehOE zTa9Ci8wDT+YVHz8ME{oqUqCx5NRhR0k*y)|;M6aWE0iq79Bd533+{S#@2t`nU@P($ zP+GU%lg-Jz2)ueY5Mr>8p8g3+Bf*_&4mel?liLnd;&@9e0YpSGX zp(KX76(4Ban;?ezsTisEmvb*qfzt@z(?W4zMXWd)wWuw!tSvVZ?Talwj71`i_=?G$ zl#u+kF*#J2zG1Oy&^@1HgE?V+&yQz~=={fi3<-Gzz6!1C35cMepu++{Y88JCr078W zSy1cz4$shgTwe(HC6F(T^9U!TG~qL)uR#+{TanOLN6UZYCy z&rsqGJiI8h$T^550(tp2yzyD@xx#ruv#%so(lyiXDa1 z{^7brT}v+Q3DARYaN$LP3z9^r(DBttRH;PVLQ1R33eG^}RsUyGr zVidXlQVOeURQH^750LYpe_InLIRYPr^8&T)Z|v?4l95`)S2?6kT718y_G;ql;^Vf` zt7F>x7_y&%eLIZJ^o+cgwQElL&A&C^tp3yrqkP6QLG;;wl==Wf0l9#dAe{8N3`1OX zUIR9G@H387?I-_=uW7{*aVkOv2ZlGL2tD|x^`v;rVWyK1mZsk!k~Q46zxf6yR1|>D zp2BMytu9^@{}$?OWE-Z|4R!FzS%Wp-hyR$x@ZwBReA^L1pgg?FR2SpfRJTxZoTVR$ zJ$d5QZ??3?3CgwK@^>i`JMHcJb@5@CWuFc6;iRV3sO$c9uqBSP!;@+ht@7=F&If-E6c!=Lh&X%Uhxl=PGi z-tb)j_kUkDf;>Dpa}x%#!7sd(n)NUH2MdmaC_^TJ?92l64YCfU0}ULo*C*;i-qp+m zCiKtwD8*InJvv|yas>*hdd>H@ThQL}-j10IC;+S*9a46&TUeCsur0F$mtJ72I{&x? z?>v;fc~V_5sUDbVO!ce5yM}6*rK(eskFb#(1ppyrfMGPg7QeZf+kBq>p1-mHVc6i?*uOgIsqN`dh@-UKH*jm+p z;@-2gvJaTX9E$PaY4==?D1PoEpr|7x%X(XRJj5zE%h=P--k(|F zE_I&ZDrlazWU*8yMV>o35F(+0Vfwt)#o*vc_+2a zAndX+t4>=fQ<4YMDaiDHGr90P@XniR7L}VlTk;zvoIg?tkOly{YX}_zMO52PTD9)` zs5A5+?8hhWX5QZRsdx2T_=|xpGm5y>A4r49nYdc76OKH(=3F#`FQumoeb?W;1pay7 ze@A|Mj~CAk1aZ6fXF&|sv`%S~fK~)An*qAg)#tp>d(4OjG!5+vt~sej`c{NT{4!@#K$<6!JQpbiHzzWg;?;)Xghw?BYlUa^c)ji|#N@DvyN6B2@)3Z&?tZ520aOJ0TCPSYwzVK~p`y%zF znK|I~-Z%WFI)KMMzi<}$Ob$VuYb!MD$_?6_3nUAKdxhFI;ZGh-bSNWr1I)Wl-GXvI z@m06qxq1nCRegQS>k_fKI)B>*uqp!&26S@F=oj-3C-0IeRgE2S}berA)I zQ)@OnwBkhL1amhFOL7fQMg#?nXjp+O=cu3-m~<`}LDi@s@dZ!*2k$2Nkorha$au_V z6izN&lz5K4ex^+WZ7$-l=Ptu!GzZc_SWIwhbT$U14d39{)Bnx6aSVv(uQ_;9UOJR5 zK@2>wFeXAxTt6CBV^w*V!)+K#K|3+omFu|ytlksGH6F3YshQ_kyps8QV3Dfc;-dK} z-RoBS%EY#>@u*5Ak|ngM>Yr|g>GPVBwBD#MdEw@WLP^#Kk>vpuV?3I8-+NoZ+O^Iy zWK>^rZJG;`gpOS;f8HNkAlrWQ4PN80_D8o{E)8~UF-EQGk&D|YR|YmFfo@a9j4HgA z#3|UOieKrZ3Rj!+Pdvcjk#BB3d(NuUFN29~wCXqRHVrj;+V%UkW)~vKMGz!X!-2r~>oCL*5r}R@~k-D93AFy>}FQ62HD}3@u>ro}uGdiobX8Ly?Gd zIF0Zy!=~Pta`c8;hcOHluHrjHKK1o9)bX?gXte5qJN|G3UhU5P>$Y!UVbLBvWI%lU zPgtEt1(^UyG;6Ga(ifrqVCO!2X0uGfvJic0ea-7euc%@R@ORFgQ)+X)_4-t+#@bJ< zGL{mHLS1IW!fqItK;;l{-ynchqlU>77AaVuRu5b}d@jVcf2}@?cDCfj7fx=|>a6eI zdYcoO@A@o5Gm|r+8MCglH}E-w2$tHASjBw%lPRSJX6Tl{8}*tPwL95t zViTjU?(R$$p?b2%5DBKq)?n37g0tdtY{yN{ zk2ViltT{guqfM)!!H#;ds%lqZhj&jBM{$TFENNh2MtCu%TEWA(Du%I!Ml{1L8ubvw zeUtTI&J{>NOBJ~IE5}_O#=7?r4xVcDxBD6mfHTz|dPmOuiyb5I2R6#)!H&C9 zyuzw}>VxGBQ4Ub~?adDHE(@$VbX!J4EGb)5e8&xFMcgK$Z3p~%WWD-LY6cd{g}|g~3TTe68WOSKF=2Q| zlNQd#W9sBHFX94Tt^V8XM(Hb~1U*>+zRr*rTA=A`SY&<4&Q0*pHAu^H!l_bP#b->$ z2%^_#`WaxdiL8D3J--0z{c-PY2n~GZLIb70oi8CN;sL`k+eP0b>XQisF&s?HEIa_K z6O$#TVBolpW~~O*;E&Ky{a=k=NUV46dvH(ugF`7_JEp5i>w@^=O`rsmsQ6`MY5d_d zhlK|)_~ZLC9Iil9K}Yk8qz3BryDSko1W>=^RckHs)SUV;yy}(rd>(anSoN0*hmv?I z%=2im{ixB%0Eo9upUEQc7%(BWX1Ag4Y-I_k0x(pK7l^AUW`f<-KwZ%L7qh^xtR~%Q z@qxT^9)m>%YVD>m(aeoTQ42?Wtcbavh%pic&K4*s0&p~Hx?f|>s0QS2#OlWnp+WS2 zPt1=`mH=7iexo1HbcvIrL5>kYnF<*1Q|UJckXiE5ZHQ*@fj6PfYFAb*2AxbK_x-%l z$mCYeJmf5gLP(qJ#LH4Ml(;Wo?Wu)_ z47!$vj?ENg!Ip2Bf?s?3&iHh&HoY`>6n#W>8eazoSDs=;q|1S38YH**8!+lJ1gNuF zWVB2ZykeA*Qxi)4$Co!#iGy$8y=g&kbp@5*{{W>XQ9%npXrCKQEkmdG#+t#>d9B4j z#W5m6h)_>Ly!0is@nVyJY_x(Tz^^qu`3((o4GulX>f@WfD%;t<oX1SPZ>#k#$Llf*~psxvvoD8zI!}0vXz4up&Nz35dL1)|Ghyq zjXuF3Fb~4XliIU^#ZGFNvZ)2vDUM+#3}U>C5fcPC4kwpzJnLf*M==~$5bGROF)SpD z)j+eTjjqgQlukcr--|dgQpZSwum*pP${&t4u+d;M`#}NxS}+T->P{A;lqEg&8T{G4 z5s?e-GN`k!V+~*5H$i5(FeKb(dmjd(QQ`s>Y6Vr|m~WW?No{twbkExm(D<&-Fykw1 z0#jUHEWBOB=Y#1VJ0MhNjW>CT)A8s%9&f?NQTIfG z6$7(bWtSijpR@NjEs5^qfWV+>_a9M9U^;xH0PE2{99o7gXvl%&s7S}4#MGy6a6&~{ z#W2MYN0f^|%|;yDF#~UfqSZbBn1XZVDf`IZL?;78MVJVKJzIIg{sQ?8OjO1k$qi&5 zGpgjB49Edkhad;18Hd*4p%1!hBr@2;##4j$J(Ysif0#P|Z~QF)c! zBVK*N2a)|GG|(7z#_?=@eRx)71puT2f?2~lOF>-Y%cz5ofLSslR&v^fl#-qqJ3NP> z`ta0w2*+n%MY3DQ)s~>#czWhQXB(QLqUvM|&Hjqm!oY2k6|>B;ykxP8liM)47H$V% zMvQ9Dz)IUk0RVstpl>AM%4ilvU>$9qYX+eNdJrAKi&RR17>g$KbtzqdWl@(GJJ75F zI!D9_3Mh2tn7ST@)BpY6zF6%eabcLrG=o7h5(1M3^h@@g4hU-e8T=u2X;;RJAtYIb zX4QYW(aDKe$*~Tf#f8c}x{@-91D>ss5Hi8to-|9DF%J8LIaTo^qJKhWp#f&Z2-^uE z_HZ9uU^G`JE{O=63fdVedW94z z!@&?!l*RPgPg)FGVsbGUwh>r(ypgixpW=#)@XOsI~T9jVN882}X1EZPK%07gS(uMhZY2tPdp5p$01*fEw}5|7%EC z`1GUUrz+_6(Gg&Q`VAL@b1QgnsG6tUgV4UH#8@GC4Ww}Lx%&~&h2lmE-)F6#J9H6F zichW_k#>|tvJwcE+fK2OjH>jRd|9h*Y~_@^QZ@DeW#kb8>>30Tni&cVg~76qPlz=5 zllLJ-(8>`1KsuK^I@O~z=8e1!&f5q}DQG9mJ~cz9*M2mM!QyZ^aIm(hHV{lMFw+`; zO2lq~$1W6^o>o(+2#A>->#}F*BR(9lA~sCN15?EMOv5uv*h6{H!41gx;Gk? zSCMIsYy1^Yy0k7tpgAhm*a-hwQ+*~|Kw6-{7@rXV(M)hNkx%>yxMoO`PB^C=JcnuELDWm8WI)(^2qTE8(V84i;HPp#9C``n3~75ZAxDjLIOnlx530%V9E^yaYNR(@iL)9o-_7I5czDYKP^Tdj?-66<}nm z>4GdCQMVBq2;lu0+^P3Xvh0k^JM$joTi^hOoX65;t@p63QqWw1l4+$#QR4m(<2Dju zcUC+&U@7!G8ZKYg8T`k@Sv8h{05IXSN)X-g$>c?jGSAI3fqW+Hm{H;z=SevNG#eUI zoZE0bV>1ryeaNH(jdnKE03LI1^BDl6(SC?f+$jusTw>>J2TMi46Ct4f^O4-d+9$AF>mTF(_$%<2okK@2rz;H!!h8Zi&KW=xC$ zXtoJn^Smd#1U+eIjqr#T8k4T|I$Q`?66_^{_f&APblYSZT+*?{01^^Mmd%84X-lxi zno-Kir#yH9n*c5s5Ua&Xt+Oj`IRMS-!|_(K{XU;=Nq~s~P?@34cPt$Zn~IDK4aft6 z$QR^b&j%e1gNsu4`N<*BVDhr1Q;4p5+FkGf8^w*pm9im*3}Qg28%GrviL>M!5JRfa zjNxhhajaZC1SuyP4)QLvx;-O_k2H(8NI~QSNHYiYAYN~hjI>W^#z6Bqvp3LpAm-z7 z4tvr8KJT84P2XsV!I79OG&_kUmq7{5NSNvlQN3x+O&4ftP$c|(hB$#Eb;=oWJPYRX zQx0HfK*Y#oub|eS{(~L~pl9(uJ$z(Z3OTgagTxGm5!Oh(QAyzqCA|K|;h2N4aB7ty z4e$Il30yS6^Zai@~{pKkNOMgLVNtRR8kARyt0o8~H zw7o6t>yy*R%{!2lywNAcL7X8)48A;aMuCiATx7^uW0bGYxIEzwW*z+`w{Zgdizs7= zX-WfnMPG-D>y?J7BUmt70Xk;b49ZP%(M~1HHPBkY+uLMKT8anmSj`%BF_r{tHbS^h z;iudhT%X+nJ|GsCj&_FIQrF}pBF*z|N25W-*dd`~RLtH}H_y9;jU_(8Xn1q(FlC60 z2KL_iEUYnj(IL~q4o^Zc!iczYRC)++h;UTIO6>|cbI_a+=>^44~*0pjn-bb zUo|m0*J2x^13X#8B7CldO$Cen#`@`h_nn$T7rFxdYtn<&LLA!O6=`4@pQ_7QY287ZXCBZ;X|^1({a2Sdr18QR;*`^QxBPPtJZ* zv+Cbj#t^vvI*P$_eIvY@1bp=g&cwgPwuSZ|Bd_*SJc}&r3ylh!!?!!3h~9mcS#~CE zB)>RVk%4}(CNTnKwycZ5Vj&6!20@5da@Qk-*~NhAu{k;tVcRQ^Dz#- zczFh~Y(~5h>1ohC*bIU1=8f#LnT~s7QOkdalalcr!xvyI{~XXxKsRAP@$0kr%hs`} zwI30I9e0_difhG1zN}`Q$%`M!vO<=Z!lBZUL2kQ7>Iw>AV~nRQ{?L80s%fYK+If~A zdzOHE*ML~|K?3_SmN>RZQ+U`(wQU2X4{N+jFINl-z;cU=r5%W7+BU*n2<28se=l#E=pTZa2`ZaF@hW78AR&sL>()d&9==z zGQIUVc;|nNPc=vX7;Zj2;TYlpBces(J|7?=@jw~8WI6TC7JcKAc8WTwZ{P0ZR|d1_ z&dpT}x$GOqIM-6_d4G=(Vjzu2Md+(w*5$axnwc69ns+#9g?xPTMQbOp@ItQ-Gpp)N z*7H*gbt!r>WqIF1BQzti=io*C4&rV&R`L6d7Ebmnu867GZ}F{|P`!hl>=l!!?gh<^zKtUGf*4|ey1E59;dtib^qwfqF(C)3 zQDoT|Xtr$z^ja`ME;d<_J7|;Y7^@g7_+Gfdp35L$Yr*pjLVyPH(+GE9dQyT&lr9zH zScwUg*7y`FW20nP4ek$2U$RF!b@V0zh-2O4im?Pgm4vU*Q=vHv)PlQrDnP3Y2K%+8 zPtbJO>w7SLRG?Pf5W>SN6{}F4XCES-;2%LFhRN5dHjTXH@Ou6RNX^^`N{&hzaE{MVU}U226aZ8)8qmtNC8BdW*`)UxbU++W1W>?=CC9V zWP#kTRa%vlHy_P0#fIGWGMti~4Gt(q&gY~}fZS0rWO?x2W)@^*C~;)jfCSqLkbrjF z=^`IgqZnc!wj06qb&aP`wFDo7;EOsKa+og;$74vbpG}kW=$=eUOTO&vLPLV7V>P!+pZQdK7nH+J%*c#@5hcM%Le<6 zcr$>X%d;@N?banymDalt5he6I1m#viRLPd^`S-C z802X3i=5ZOP$P;6j9^g8bEphy%*R%o0wxYH{JNjTh`GKY0niVN&z^!^U?Zp^bYxy5 z*;5fenxGpHq;a;Cb#E+A&MZ7Pbx67%1Tj<$7tenVnRL|C$P6}K;IaHhJ4W)0gQB7VH*Ix2edB08uXJn$U_LB6;m_hi7nMK z`@X_@^QmqA5DG`WY(YDlfhd4kZl0CY1H%v@6<399&N&#tq%a$m%^D$d+zi}&?dlJV zQ>^04oH*lmnU@e8bS@c+$N&8Uu*c->mO2P5g~<_dVxYqGx#ctA*ve!qA6DhWG>Gmc z3ktM}*2*{>Kl%?+$2SYt{rw`T^LXU);;fW&1{BH-|_UhP0emE{jw=0FtoZaiV|UYMMK zud`$_bzzQf+6jWyX$FumEOC)!iDQ?dC#Dyv+xxQAY`^7WrFPap=?_&b#Vp^<#22T2 z?`p49r$)S;gQZI%ixEYWqj=o1sJK33PVubz1@NuY7^uASwCWmZ$@vML2ivtfYNA$lVrHE>3`z0>=v-Y zLz-Gz30z7N`l%fZ@Bei$^>a+h&Wl^Bavt^n^MsVf{a*!0?B@u!Bkm9l=%)hxQ%QFT z+ph4l7}WFQGV@7Cqco1j{Qr5!SOV!W$~{YB6VFmS#}th@CMgQ(`I0s%`o^P}+EG~Z z<6NBbEJd?O0CFV#8f0ohXF$QBblgFw{cuxFzAb2Tlejf2I7^m1qG4EMW=08o; z|LGY1-yMPI*RhKKIp){=9OM2kjJoKQ{tFZJN$FCOWxPaw_Rw+yMQ`%##b1ial<=QB z`c^Dv3Mk_R_1VH?#p@%JmHV?5@j~(Fg^15GEmA*RBu2OHTaf8kwZZ?9F34V#C`;9a zxbv3gg^*{huWv7CKRfnJjh&id-*KVo%TJ%^GmK`kj9-PMo42`3nVV19~1mziVK)XchB>guu7?3vzaLQv0k- z6!0};CmkUi&m&DkN28t*O6@pOsfGOfE1Gy%6j1c~6zc##o;A<19pvsnFq2dKfv5!S zxByt6;b$EHol$%CWf=X9;P~;(y>~A&3*7QJgM$bjG-E7{(7?^W4`F!C1W(L{_ux|u{in$mbI>0ccSOWn* zgw`W&foUYj9{WVMoLKBudMY z7=QU9dZxcV!x&_y#S^mg0r}bjD)ma4uTtrIrTI({Ht2OiWF?Hn4iVHkPssWhtXl23 zBGTpfUY|d{Re*ozT@W&?IJ-?sBfM+~9>z1vpyaoCULyE{P9MIf2S|x$NDrfF^qf1ji)G~@es`pS=GP(_1YoG_xVwP)+DXlpd={Mb^>yPWlK?Ar zc;LJUAM;6uk^P!+G(4L0V6IPVv><5}#*B^^WEg}y0h(!OW-sGSg(z{;$(|RQ0R>_g z7IN{OR5RFfud-ydHY0?-5#RN61LXKQFlOrQL=H4K zh<)|(ds3cn`wqW9>H^#pkb;EcxiV1ojh&~oXQGK`6a3q_C$_IwFisx7_J zQkxsllI8*9j#=VM+6l5;-xV6=0i9mfRoaY}y>$uJxDBY1toR z7E^$qw7&8TWpW~Z?#Mr%lZebKj}C4lG(L>Urte%3x%EWxTp)b%S5wIgX!&OyWii1^ zMG_=k+>*sUreG=&`Bqh(7=~janDw#5JG%B-b(do$^aQN;ooDcf)RQU5IK@XGYdKHu z0pFYN7BB>WA>jZM>Wn>z2i)mH4EeI2(&@=mB?2u(ujm2i+lUE^-?I1^N`(P#bAwD1 z{7CJd?Cj1-Gr|Ztg@Q2zd;H2%hGSu|Y##F%4vz7xlspq$ml5e>a)^qjW(Ad<9?Ew< za212xbFtHA#pJ-x$7QS+3I+~5ZVUo$dX~vGPnjj^Y$=$083V`{kNYmZx{{q#N_M2k zg6I4U+4199b7;m=C<8Z`B42E0`Rs~nS<7Ci8&-iJw&59Nk)RZVu-(Z$Cu!jM@3iCN zOQllljcLg|QYnH`*@88~?-?MbmuYx=76vkgdz;9@a#DKN&@yr#gH#kX=l&qRaJXA! zDUVKX=Xh#RopZ>m10x=qYdj&ES-4tg;>gj(7$|awo6NF|V?1jYLdgEWN102sGY!ww zk-EaxjX|JvQ=EjHvr)VQaBI~UY$-8-qBb(6WmgWQa*<;?{!w&akg8$&2K_m`?NgVh z5=;5MDIvgd1;FCK?CSLgDWAus8( z$Y;{*OKPSHk_qfMIY{tdF{l=Dl7g7WZ`QoKG&Hle*vHJV! zOz+apQby6@D?I}Vf%p>RF?h9}xKae;gyVRY+$*mTq3NG1OVREp--; zNNrktJ%nfO!V~b|qB_-11_0Tm!8AEmZT8&{dAP4Cg0x-1k5l{VIt2~iKwEe@_}@_H z=aY!m$Q_-i&O*{B4#%P;R3^l(SsH-KyDszgNonN|03(G z6Tn*N2?|wGFKR8ewZ6sxR-OQAR#Av?$}(6$@D5iosGYvaC4M`y$7TX3da1W8T=q*P ziaHwyi=zvGnxXP>*+N+yMC-!+^i18~z!N(nU4PquK`3LH&K6=;LnZr~z)~|^g9pug z&A4Y|2}M-n5BXyINBL}_E5I6<$O7|yBP1EmYCBK{cJ+!G(JV|wvn)3hi!g7L=q0t_ zgaZ`ngic21b|_y!fUz<-}yYa zYyT8l$&22`nsR{3NUzEQ9e(#We_VOueux=pwqC9OdlXJff5LV=;sTA(Xbx15@CRl} z7rueZOL1~bC_jn|1xyikBVu5;E(HzyjRt;m)osPcjZPF>f{1KOgnjy++22GA0o(h<$jrX=ZrYMcr%Cq z!?EomhttL9z$J}QHlPLV*Ri4123op@bynIw2Dzo~X-h+*C{~_9DyD*f1C45HFx84mL@hG0@`>w775}W-?r*9z%Nu=2#ee1C{WJsAg&EWEFsWj-@wq z6gL|Ad^OLTvxT5w=-PEof>@ZMV)`vfm{SuBI)NPU(QJ+r>FV_Z(vUBDt?Y+&84y7B z9gCp`#EfAK2M|JYydPd2e-?iZru9u3n)%es*%Ifo7Pb`Z$arK`{yLAqi#cvb)mqfL zL1j(86zS;;a3NMIQcS%IkYxiBI2PXe^5!N==gpuApook|;pqaEI#UxcLn~_zmW?U1 z9bPQLT6o;}1|LweIm+@umMuI7@w+!?C<P@1@pI+wsU09gW{ z>R5$aT?p?yw-}fM) zEGH3R+rnx=!|VU;ZK&y5??@$ObzTEf0dD2VFto#MvVqNcE%jbk8MWBcP-iB}`9ORD zpqlXX_+BJ?<7+s*$Bk(ys?c`#Agg6dFuvK~SzI-~A6^0P0E)^O&M1wrKhAJ0Rdy5i z6AiDK8R(rMl^r_f`kniYEwzuIye1W79}BPNj-{eoESau2&GIuKC@$Qi6C)a$0+!y? zO%~1^5yvB0;k|VxkD;X*xrmF?9QbqA@8VF8m-7w21HUDZ1v z61U}`;I)n=Wg|2?6Y=AjsjDfi`d{%F=}lY$4}ch4yg!4Rfn2O+vyehkjUwPN+c6Nm`DfSEXbPQ4e&R8A~E9eOvFk0-=h@)$@1HJd4HCPRfg~kSDqF< zNX4_TwT_^GrW>>c_f8u%rP<}7Gmw0up0>0K2`*KzK;<$HnvEM~pS7M>r|j$TYDULe zk=G%fz^!gRCPbc()U8cI>|TOkt%fL&eaSCg`ZCl!102+U8ekM&2zr&ys3z`Gu zxTWvSLXu@?jXg$)fh~B%@S`ARf_0`YwTxS8uoe997BaK*jr&DuX{j)X!q!DN&=hpw z%q)LnVfjN6xJ@==!(WEKV9%p)vDkTj3ctA_;rzZ48YxS;5e#92KWvOycqPbw(gP$h zGY(Y4F>;OC!`rXPBs8()Q=Z3$e~epj_}h+JRVda9EUrZgQMEhOc4Us%B1s)G2AF!G zn^LOkOB^U((@w!Ffx(S0XMc4K zvV|f}ZZ9gdt9&&{0Yf@b7|*s8vUqa_9l&VN4IYQn3Z_|T$+dhYwC>FrOO&kZ_LUQB zu97=!vX9fzvk7SAwU{h>BI@rehl!gdC@u$WdQ2HF5Qzd3w4BOmcNx~1MYw=zeVcU$ z;y}xzP$}-e0KEf3c7U@=wzxNDoC=XuP$>yfwF3A?9`~@+j6zaU0FDe7iPuHtWlV~F zHY|EDrXZn$%DBVlBILY3r4{Y@VtzPP#4KX)L!FC3_8V9>`Cuur<%w$qy=J^0MgX;G z%C{9suGk!5VbCT6bc5_d2|fm`q8On(aVKPCiJc*(MOq5#N zvGhiB++@LWx3>1QBFJ?QDIm=Qt*YB`qE60Tp)w|o_9Dps7NE#JtTE6)bHH5#_GG!h zFi5e&s}ECE%W5b=_M0YQN}}<_AOa}y&iZA=;J_DQPF!*Fb&z2&8_!0WOt(F|Vr4dB zW;sx^KplY9vFR$^g2{MZh}kDF1`Unj;WZO5Wil4<0xZz@c$5{ErhR-Cb!I?AmZ2z? zl!p;;fEh7+6EncuKs`7yD7~2ko(Ex`<$7rJ`ni~suEJw0K`fAB*PvBc0})F%%x971 zI7Wy7-0TuDD`9bf22O0JXEhK*t(G!|rG7vVNn}48&s-P+c(xTI=!gxw)!M8n%)(eI$XqO$S;A*y)$Zt6~xbtX%U6l)3-{EU)row5$PkU^~bG)NwQ- z<`h0S*G58tGp(<)PH88$0$a6xYMf?!;Q1d9GBNs1w?1V@_qG~uhwMN z$AuRz@r?0cg8zFq;7~r6Dwo>8f`kHrk2XiKQ?Win=Mqd+5yME2Wm)0JELc39fXgsr zP~5IOZj;5cSnI!EEIGV3AJ5|BUuBTpJ-@URd=Jg@ruG5F>7>`y41Lc7N=`sl6 zAj_PI!Wx4l!s==wHmsWVJEbyUfP@>M+2r#_I36@_Nrf~E7fWxNTrxSXA&pVnm0XWO z%JQlh%*9}ijL^XG?H_jtBOrh~2CYX$Oohe=X^n@8v)6}$FfzcxrvS-ORdFR>6$9K# z7|ZPx4?Em>aJRYaD3$-2m0|?28sDaFzQ)i+uL-&KWEO7_W z0$Co3S^`S=7%!rPL27aL8nY~oiMrbw{f8Z$9hF{={hKR!F< zQ0J7Uu!CY!no%-8wXTslKHtXW|ZUjVY%7+VRtF6Qetmt_;%Tz+%}DNV`&PtdgMnuXSKG z!x(z^16>GW-_9J5pBQ|OwiGYltTS=Wi1E_5{qUQtkScJo2=gYVBNE*lWP=9UJa69o zj1mqoP>o4-pweW07T`B|lgT$;<+>DB3~B@0k|)_mS!)1_K{IwzwP6wC`YcgZzFKRo zeQ008VQLZZKb*V?>}GV+N$}!J4x0sqaYCA@H>)YxJP?Cq+rPBbBHiAdcg-9n6+QqM z6IWDdsavi`2t(b35vq4A{1tHe>bqlu@l0RCib-l}Zxy@Dy>^NfJx-e?*p5lMqd6A_ zKM&$_jGjmIgo_*tZWW)@w`&Rj2QJKgO8SBKSW0!0>5!xd5PaT{g;G+3=YHY=-hj zfeL7zlAuJGWt|bd$+=*@;R-Etz+K%rjK&saCA|I?y z0}9H9dZLII0OX77vq_g2mH|zXG;yaaUTj*Sgf)ZuUwe&XYX~C-eov|08@TD9eG7Qq zW3LmZYYWt2xaG1$${vIm8j}XcugXg@f>Iqnh<6oCNzxaPP^$Yyv+)v}jR^pik^N|s zW$v;^Vi2V|=}~F00-z%dF9ao9Y7X3IVT=uV+wEkt4sgj>@oaNI`uA6!1Yz_b4>S_B zG&j_TNR05eAS|`4V1X8XVd6f|eDw zqhQxOTO=~qU*g9zLn1+oJKt$#b?sSI_$Vn; zmW)A zY1=86hnTO0z%LodVjA+LxUaZO+!p|2m{nQP6`e~aR`y+G_#qfS3dGLI|JnyIT^42b zrK7}v?)xxi#q=FWeLlLf)A=w!ea<`yG zNmR^gDS((EmHlGRxC<@r2JK5dQ=>+(K4WFXZ!wMtFFwPJAmHu?0fN-=%WM`MFf==i zfQLZIQGV`N+Kkri=Y3;f5RaAh8TJ^xm{ql8GDQF;XRQ#&FXUW*3ZfVgPK|{|M`JQ) zsC0d?Nu!8v^HzcYXT19I z&-@4+jez3AvdQNoDo_H0RJFrZ@*JzV*};rpMgp;#j(MVF6-H+(1xyyuqxAbFTWa>t z`RsTNXmVd;43jUr3Gvl}(MpP-s7W8G2lnfHrWWjUERq+8%ot&4EuFBm029umJ&ec5 zH9jq#F$BF?oLPM{+ZzK&YL!VHL08>=R_aLQ(Gk;SW zfF`5ix%C+d4y??LkY&O`eIr@Bg)0?dJPHq`#(>3KAJ*$2KPy+ArJDJ~%d_Q26wpnm zjd&Kj7?NeFq+IF0-k8avontKX_HZ zdK~0KXj3vQo;tY(yqfzp6=){=Ajivgo3Jrxc`cEzqMf-%#dSM8wTfop zU_iiJu7GJ82(AvAOkKrTu;fP@Ss5G$`I;tz&&W{#W{2R2hjqrLlobdc$a;kJ^k&Gmo@y_2j3BK z2x69NVT*_0Fi`P$U!2LKr^ldGSF=1evg>k7-2z+w1h1){gZF2<#*MJ{m-Wsg@knEC z8L*SfjgZ)wMP3JEO?)1RCaxKS*R~XZ2@)`aurSoS zuXaJY+Mx%W7sG4mhuZyC!jjm27$=YN3&lq+IMa~l9(5<{Oc61XOxD3ZY95|(Gy&K{z;fw>{(%=-L<68;5{n`%? z`HFksXRtg&yq{-3m<7d^O$RGjub!WJO4;*0o39x6qae5+s3ZcGE(J4GQZ!iz)%iZb zv%c}X*s)kiIap%4v&8XUZ(Qiu1YPP`6gwJl5NXU;#`xLv8TRO)TjKN>Z{ z4d*)9lFJDLnxn}{whhFMLII*#8A-ueH^@uwmT$WPl4|91*HS|`7TwpPP%Y! zNpdkqq-HzhR*d~lnafQfUBY}xXpqd{h+~xU^^+%yFA*FQ7o@1vb%5nU5+*gn`}Ong zIFuEzdnR+A>yU_=sY@pr3dW5P*#wVVl7f{3$^!4tnEUnW*QMk#7OM%?$d>wo^LD7Q zF(5(46d#vbF)Q)%!&+mk_!|=O(}vV6V)nuMa>wrEM#NxW<~vxQ3yx>;iOP`mi@gW1 zuRoCQW|T9EU-agYjU*h$?H+P)@gN4O)67fc{h4ZPLj&4^Ga!i00Uiz~`-3@2?!Q~A zgESDso|@))kp7W0*w?2DyLxt&}aPh=!a1LN&J5E#D99Je`d4T=%awj8v! z$A$q9YhpxfpIe#Yi4x$8f*ks=p6w^`UTGeOPS-eD8m>Q2lUwitg*U7 zLk9V>@I*5e$xj;s9|DQM4zN_u5KBqU261X>xeu}^_}sDqQP!-&vm`GEz6mBGVeqa-n4&V+?3JOmr0r>tb zV%enS(hjk1Tt&8Q2^6as5~kN4IIb@5^|V2-pR~mwm~@);S&1D#&1?h zje5z+t#OJWWLc}weXtPUkssIKftVq1DME-ZVe|rm7@+=hLPvEjH1l#>kq)54mcTM7 z5%nF+`>{a1JCmiD$kHWCrcA)zWF<@o#nxGABnoKf?W1P$5)oln;{6r{!qYv_a+8yy z>kGgW+*ij4P}f=|-eg#3`D7Wy64aP|#DJosW`=hOQwzObjdHl=&JJG98*349s%U!N~aMp!_(0a~pZhlaX5fj}4VbRxFzVz?IV z5{0+j;$lX9%Ln*r+MXB(7r_df_!41_JM@7dpki2`0{F1RK&<6nt@YK17y~9aU7bjk z!tn`KLmB(UhGkG0{58)*9fx=`C?oaUt<>!JtKASo89*woI5=&q5yPHuAPJ21+c$xV zNvX4Ek;t9o?Pe4+smZ#XAuWvIv~VXEP^8RI&%TiB>#Kq00A2<_^$ti+%1Hp!I#iCV z{CuWXC1AsFJ)7Tege}eMn>N63a7F>s;Q~&dHa?KR$irfbOCbiT@A~fKugETfGNs2rH5v&7d#~?8;*w<$k#zIF|cHV z(RVI5l7%Vd2&Hn+S-zlIU|HhQu3EtXh(I#~h4qQ;{M3Xi01YvM71t~6jUlfyc>(3M z7CAcZ^-<7%c}^)KGNE8;NCDuJa9;q!JgSrZjPV(dF_%VuLX>@DN~LGxGFs;6V2*Z!Ae4J$km7zC&U!%BvjVGidFoOCv)RUaN z#pmGc82aj`8sw8*yHZK!&+?wyS}`8aHBEHTfFEfI$nxF{?|u5qxwfFTs9J-{K%JrFD>tH+7^}ePmR|UJtHRb= zB*r>BZZ{~ldHNg$@x12iP$Z_*cJ;egD7#cmA~)y=x8MBq)Bo|4AIDGs|b#4>dfL@HAGmKvB5}dJ+RB2pSLp z5jCK;z@-osFjCNjR%UJy~eC=mI4xv2_*cfW(3Zb}xrZlOjP-n&4=n4PCo8?3k|90VVK zjyQIIvNc^BpU?W5RTc&%A!{e4U5)Ao2U#O_={~hB=k{cM=W3&3OVf-wZ|4iphu0co zr1E05#Ye^hCoMIqwz8eE!J>`OXRTKwl3%78Jl2K$>HCgVF(tjl6qO!?e(cNeGeOLn z^2}+_pZEvlW_Go)dus0ozu_jf*RuPKSyxm9TpkDnMP zcWnjo%h0H?DIH%31;K;2sx>-wplZp`np@FuH)G0EH$(s6O7yd*=8A48uK+$$ z1AAG}R7`Bq)4<_M*4wH~)uQS1k@t}bxBlj*#Ee{>1HR`@+^MAhWP#XU6BKe{)#+zuZ6vK znkpu> zUEE*v*q+N%f?!P_!q2RE2Yn|_g?`XX7hjzkiY^N8NF|=OIeYACBw93U7W-Y?g>=(! z6EW4LGoe47N4}VfF=A#_4g!Av7tX&)sUnX@CIk0)%-R2fGsToW{S5)st~K(Q?N5r$ zs(J=}!qeez4>uBPZyw8rD|f?(mFaIfYqGWN#JcfoJQV#Kk>9}sQc zYa(#on}{RD&l$U^>erovpi5uskt8QmGxA(LyriFtuXf~bX5U+(zxQs!Us{GmYZhg* zfBH-%->b%o9kab3{_R$Ud2Pd&L~2J~h&%%}VjgWfQ0&@{4by{Q*ftjrZQc_(lHuYf z{d&-5{bR;Nx^)76v$>$qbEnAPP}fKhe6g8wr`hL-1;A0lL9c0ROiKHop-(!N7jqHz&Ycrs{e`2E*Ni@o zoV+t1@_x6XzT|a4#}Upyqh?$7zrDGUCiAl37nQiLuh=M8pGJLPYQc^6Duv1ev&qNa4u8p= zE}rjxR%H8*nK}HBsB-$%R;!+VD}PWB99``AA6Y{^xjwg$KgT&6^T^xFkiX@0{5$6( zw>~;gbj|MW4A>bj``zP@~r(w|;xA zYH~0Px4!g%gEtaJ`CG39-nJc3-uql+>&31NPds9F$I1Z)17?7YelOz{ThBH98;>L&|i}}4*KLK4$d3G zv9##|@bA3|JIyH)>CoRDoAXng{KNL6-}5d^(#~=6rA!vtxBeU8*5jQ#%?DFP(p_I9 z{|d+N-O-|vg*|}pSnbxoc1WakpN`10w6lXZKcezzIEDRNt#oeLe7#6+^^4HoHqWhp zlrWg^oGW`R4?B5Y{*6c|XE^X5dIQSpgSh_UWZb%Mn6q~eu3fn=UJJgorGxuLMUuNY zICrt*|4DmNVUsIkAHNBx?K=S9cR6tGpB=u@D3P?e{JIWS{lI$Ju|gy%X9)1%J?>g_ zVx>qhZzOQLJKX+1yv1<=u|LZB>tSm?0sZ!+lJFQ5IU?Qi08I&Yu)jQASaSdJK(sI{Zs-Z!hB3$FXrE19R z16+fm1Aqtbq3p@}5@T0Y%npKJ9@aAwJ84XIA@1RQ$(6~f8vG>#qLkg3v;UEF(X4V; z_QE?s>t|1rnpp+l2aR_0k3_|+e<%XJ=xoR(wb+;e^Bx3VbJSfc-_8@Qoc&;ow02cY zcXfx~+Hc9XBdeUexvPx!KiVJqX2@@}tfjHxH6H;NuR>gN#Wo{P^a2b{_N5Bxa;~vQ z4tB?12RXou-EtNA@Y^Kdua_XN3_S>s1Di5?D;R-Z$?8TA-tUw(&?y3J|Y0{=bk z;%V3ccMLRn)=21qTY(!-BwlpY@5ENT+6Z|Yb6`=M8W_pDiEmhYa$GpMj0zmsj8`au> z+yvaTJ@I9yPgISKc?W@8v;(c*SE-G39UCPd4`FZnZotoq=Xc?+s{eNU#++8&Tig`* zp=Y?3=T z9~gX{t@fUN_m^;sn8~TGU3yp>bf17e>-)R)cfG;Y>sU%H{XyhPU&BV7L33tCO}_ zjs0P7z|XcPoP5WVtbKILXzba4mg9eLleHCFTzs86?Bwf0R`1)s8hIb;=;Z0VP3`6y z%oEh!@8C10sumVL4P1T7tG!z+Q1L0g5aEo zf$f1Is-4f66D8idJAKB-RCli#j(%tDLtMN63box!o+6-j@UuXrZ)&h3~uOD#or*2YBS$-SvsOQ}JBWI|dzuU>T?Gd+skfL_< zVdtM_u8o#NI)VFNALX>pCt3##)WdTOs|^}lDYIQ||!PG1l2%abU66WPnRKd(-8KA*oI zU%tF?cu#&GA7>A5f38n;zBo96KYab-pMR z>wWzb;rV4;=SxWM*~{NA4o<+o-uoqp-$e23;}gMg_0`J<^$#2P9G>u8bwvCK10lH~v(9|6%KWGsoG(OADXghvU|J`qq~|5x+RR z7q)&`jHCDDt1teiroq449)6kd%dn64%Sa;q+3C# z&(DM7j(Kmtddutak6Z8Y|Ec=K$?xx<2(G6*yay!z_#O}hPb@HU;Ww%)kMi5+bsidc zQq5~D=JdlH+LWc1r92_`;Aw}DdB6;=ul5oGT(5AeL0%B3o$zhnLHdDy>DY*CKb_3MmApQYazgCA{y z+N+ES(Yc63#6-hlOEy}~<)H?7Wowz}mMm4v@Wp4AlpsK_|_birYFzv4F`@`OG_U);RAGx!QCV^iUCY~}yJ5*SW5`&#H@$XV2 zPck79{+M`Zh#inQf2K82>{U*Spf|F1`-(0tp6Z)twLyQ87yf|%ktZWYoF*CDV+Qu% zWjUs}jOr8OM0SM21j4QyI?(OylF{BC$8#&qTnh*ds#R zq4VMAS@#w8z?SG^SJLlr^NHEeJDRq6$iuOfQHA|Simfa%k_3GuCZ=_7u`hiAe!Lr5 zRE^@lbAL}4`~duEIV@WzddFBb$>duB{)!><7T&)jtWS*@>6~n|^Tn(u-n+IyzHFne zU*Ym&S(tcjCN}e3D ztYh*bxKYjSkFAFAPf{hZx?Id>`-x-h8;XiVf5kKUUiL%YDflj}M74qk-3aj)OA+mq zV$2PXL-#QHzRWXtZ)2Vh8Iwfka5?!MtPxXw5%N!@9%SH$4BBclt6ZNM#^yQx5n3YC zR5F^PA1}GJ$HctYMRamIYux}!yF8IKA)^B?6&ra2eF9^WX?~6;=7YOv%ned$Ky#ye zE0s=HJA0!`foS*ZunYA7eI&TXX!cz4<>$Z=;M>$>UyJ_N5r4qpE>@=fl6rF`PFKjg{C0YA*x#+Lx&?_i4B zf{`LOa3(anh4^KCYC!DHCV$`;N~0}wnVO!|+~8Iow%Z_2VC}`TuowI1(0C3yG9klU zLU0H4_%}>$k7J@G+>^$^0g;c0m2=NRo`E#3!8gZtcW*EzOg$S^Y9#wr+NN({-#?R2 zF=H$DGjZ0Isi~&$!&b53YDb=>Hu3@Pi5au0hVyV1^@4gE8*j{&qr@I$4kz;v`aVk= zalJLunQL(IRL0p-@`{na-%0EIVmJRt%W0Z5bH=Miw{Y;L8e51?okxkuyo~s+re*kB zYi?me!r3!+Oti^7+II8F`wqBo26=X?$|;`uQ;CP{TJ-14ynH@&T(D=1xUOYdkM=^6 zgYi{pjL768_$WhJg0gE)%rMNBiUD3iul%AVaGgcG!3mG8eSZ=u-HNPTDn=@qfvC6h~ zl-*AlGdfDTJS=kl6NpdR2HC1rz2U{2K|{(|xJWb#|Cit3n8c0i7Ky%fFWsIC*q<;8 z?i4LzXeGdOsVjgh`FH-83*XRb-~!CFO7z*DqLFhrcCtBg+KN7bKEW4+4Q1uaVWK^^ zi#Yz#sA$7v)!B@9RY4zAiNtakEV&7@{uY={-f}`YCBkstR>B0iGlq-&`gZu;z?e#* zNJC}@f<4Gb*f(!38f`9;O$WYef}63$oyb!J-$9$vuP6U~xawxc>%t$xKM=WY5gnn{ zl)pb9KKiFZ^V^S*?^DpfP@S<*^op0@PgzSvo>*(q!$WcFAJMOf?j$n@tr*;F_%44y zKE{6N({(=jzYo4Qd?F%Qr$o!A03%0nh#|MRgw=BRFBTa=sO-20`nRwT{4xTOB@9xP zq+_3D>=zR$VIslp7p;QN31cU9B8>^NP=xFy&D;b1F-k7S z-qHS)LH3JLa{JDpF~v*`(~Ev) z7kma_Z)Dpv82iiv-p1Hqg^@^wnu#;9+dT9vld;L)c5JUW|wBg6)~AYYHY%G5+Vs7XSe=vw?86?y$S_Wuli zRa~JCpc8g*f6+`O@s`FAW*UQ{5%dr5Hntd^$G@e_L*Htq>rQjbVsCdX&gd#y+!r3Z z#6+-1;W3VpTrpANwAoJfi-@+Ov{s*nKk|eFBUxvwbfpxGcGun#kr^?g`xX<^PM-(W zyhbej@fh-R%-0rheHkRC@I!_JH<9lzGJw^`Y9I7=*Pieat{l@v7OqDB@3@BG-(+S6 zx_pg2*+2b7_RSQ#`*x0H7yqx~n-@e@-VOhM0N1L?;7b1fHjX6=9Ei=m58FmKHh;x6 zd>>`2TxI7Fx}N8Z}rQRJwE?2fpG16`zdS2r65z{cAA+E8&)Hmi$q{CceUgP*oy%zhj*OVlN z#;6xD;3xynN9bm^xCeiBBOkcdE$gg0vZLD2ja-9|@N=rz+LMhrwys0|LbVO({x8h~ zKNmR6q*bBStxQuodnc!Iy&j{Q%V2fDHKCHR*&>d$?$4?XJNv@FlKR!`XV8!jmB&;+ zpQLtI7w}g&`I%M-R2Lke<9v*Hgqu{e>G6MX3;e&t9{p5{8mP9ui2TPs)K^>7LTz$7 z^3X6J{eiXXd#mlnICIdCd6gs9mK;(WMV|=%sEw&j%2nH%t6cgk9Fq%GZ(XJKPy93d zSL{LF4&JTyiX*DUIm}-H7tXP|=Vhy@rQqqz*M7#}`9}Ev26^|X_BhjOjf>xxiMJ}% z>OGb#5_Z8}mFRNeNV@TRnMhzjAX=n)-c4%9AxBV)Ki4BKL!Zwu7Z9Wb!RjKlGq6YS zD*iZ3eNVP}_Rs0Ib1ienc$ixIY7^v}3!eRJSu0K>3vx1(vvK8zPSAotWQYqum7pAudk;M$zA88 z*wy*s9;Pn>)S=<6H5aq;Q%_v}&cc<|Qy=hwg90}`?24pZk#sHSgrZ+)Wu z67m13>l5++$#`G?M6hR{xc&Y0K79gweeIQyK0%l!3~PVA4}1FgX&5J;zyALk_T-B@ zrv3drdfz_v*3aYTmo1-vBD}wUBKk!7#_>xaufJa$oZuLVqfcP}MDoYsz5Np&J087X zc76W*Cam+t!Jhs6dK?EQ;Ky&oI$yo_OTfRr^3<2U-ts4s_x~I3`@@I-&GPy7qb=Jf IKPe^nAI6ZwY5)KL diff --git a/j1a/icestorm/j4a.v b/j1a/icestorm/j4a.v index 7dca8d7..36d74ed 100644 --- a/j1a/icestorm/j4a.v +++ b/j1a/icestorm/j4a.v @@ -338,7 +338,7 @@ module top(input pclk, wire uart0_rd = io_rd_ & io_addr_[12]; wire uart_RXD; async_in_filter _rcxd(.clk(clk), .pin(RXD), .rd(uart_RXD)); - buart #(.CLOCK_DIVIDE(313)) _uart0 ( + buart #(.BAUD(921600)) _uart0 ( .clk(clk), .resetq(1'b1), .rx(uart_RXD), diff --git a/j1a/icestorm/uart3.v b/j1a/icestorm/uart3.v new file mode 100644 index 0000000..388b24f --- /dev/null +++ b/j1a/icestorm/uart3.v @@ -0,0 +1,69 @@ +`default_nettype none + +module buart( + input clk, // The master clock for this module + input resetq, // Synchronous reset, active low + input rx, // Incoming serial line + output tx, // Outgoing serial line + input rd, // read strobe -- used only to clear valid flag. + input wr, // write strobe + output reg valid, // Indicates a new byte is available. clears on read. + output reg busy, // Low when transmit line is idle. + input [7:0] tx_data, // Byte to transmit + output reg [7:0] rx_data, // *Most recent* byte received -- whether or not the last was collected. + output reg error // reception error + ); +// you can override these on a per-port basis, looks like: +// buart #(.BAUD(115200)) _youruart (.clk(clk)...etc); +// or +// buart #(.CLOCK_DIVIDE(312)) _uart1 (... +// The latter might be better for designs with non-48MHz clocks. +parameter BAUD = 9600; +parameter CLKFREQ = 48000000; // frequency of incoming signal 'clk' +parameter CLOCK_DIVIDE = (CLKFREQ / (BAUD * 4)); // clock rate (48Mhz) / (baud rate (460800) * 4) +// will probably want to support at least down to 9600 baud, which will require a CLOCK_DIVIDE == 1250 + +localparam CDSIZE = $clog2(CLOCK_DIVIDE)+1; // one more to accomodate assumed signed arithmatic +reg [5:0] bytephase; +reg [CDSIZE-1:0] rxclkcounter; +wire rxqtick = rxclkcounter == CLOCK_DIVIDE; // strobes high one clk every 1/4 bit time +wire rxrst = rx & (~|bytephase); // rx goes low with the beginning of the start bit. synchronous to system clk, not sample clk. +always @(posedge clk) rxclkcounter <= rxrst | rxqtick ? 1 : rxclkcounter + 1; // initially held in reset +// very important: idle rx line holds rxrst asserted, +// this goes on *until* the start edge is found. +// thus synchronising further sampling to that edge, rather than remaining in phase with however it was reset. + +wire rxstop = bytephase == 6'd40; // 11th sample 'tick' would have been at 42. +wire nonstarter; +always @(posedge clk) bytephase <= rxstop|nonstarter ? 0 : rxqtick ? bytephase + 1 : bytephase; +wire sample = (bytephase[1:0] == 2'b10) & rxqtick; // one clk for each of ten bits +// note sample is false while rxrst is true. +assign nonstarter = (bytephase == 6'd2) & rx; // start bit should still be low when sample strobes first. +// if it isn't, then it will go back to a rxrst state. + +// after this point, we have a sample strobe, a rxstop strobe +reg [9:0] capture; always @(posedge clk) capture <= sample ? {rx, capture[9:1]} : capture; +// note bits are sent least-significant first. +wire startbit = capture[0]; // valid when rxstop strobes, and until rxrst releases for the next byte. +wire stopbit = capture[9]; +wire good = stopbit&~startbit; // valid when rxstop is asserted. stop bit should be 1, start bit should have been zero. +always @(posedge clk) +begin +valid <= rd ? 1'b0 : rxstop & good ? 1'b1 : valid; +rx_data <= rxstop & good ? capture[8:1] : rx_data; +error <= nonstarter ? 1'b1 : rxstop ? ~good : error ; +end +// tx parts +reg [CDSIZE+1:0] txclkcounter; // note, two extra bits to accomodate a limit 4x as large. +wire txtick = txclkcounter == 4*CLOCK_DIVIDE; // ticks for a clk once every bit, not every quarter bit. +always @(posedge clk) txclkcounter <= txtick ? 1 : txclkcounter + 1; +// note txclkcounter never needs to be reset out-of-phase with itself. +reg [3:0] sentbits; +wire done = sentbits == 4'd10; // eventually stays 'done'. Reset to zero again when wr strobes. +always @(posedge clk) sentbits <= txtick & ~done ? sentbits + 1 : wr ? 4'd0 : sentbits ; +reg [9:0] sender; +// wr strobe might come any clk, not synchronous to txtick. No real need to force txtick to be synchronous to it either. +always @(posedge clk) sender <= wr ? {tx_data, 1'b0, 1'b1} : txtick ? {1'b1, sender[9:1]} : sender; +assign tx = sender[0]; // wr loads this 1, because tranmission doesn't start until the next txtick, whenever it arrives. +assign busy = ~done; +endmodule diff --git a/j1a/shell.py b/j1a/shell.py index 97e6576..b809d63 100644 --- a/j1a/shell.py +++ b/j1a/shell.py @@ -18,7 +18,7 @@ def open_ser(self, port, speed): except: print("This tool needs PySerial, but it was not found") sys.exit(1) - self.ser = serial.Serial(port, 4 * 115200, timeout=None, rtscts=0) + self.ser = serial.Serial(port, 921600, timeout=None, rtscts=0) def reset(self, fullreset = True): ser = self.ser