diff --git a/data/daily/1c-enterprise.json b/data/daily/1c-enterprise.json index 4c59eea89755..ee0e36f16e6b 100644 --- a/data/daily/1c-enterprise.json +++ b/data/daily/1c-enterprise.json @@ -2,6 +2,6 @@ "title": "GitHub 1c-enterprise Languages Daily Trending", "description": "Daily Trending of 1c-enterprise Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/1c-enterprise.xml b/data/daily/1c-enterprise.xml index a2444de61d19..0f75165c8727 100644 --- a/data/daily/1c-enterprise.xml +++ b/data/daily/1c-enterprise.xml @@ -3,6 +3,6 @@ GitHub 1c-enterprise Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of 1c-enterprise Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/2-dimensional-array.json b/data/daily/2-dimensional-array.json index 89e52c820c75..a38e8d8c857e 100644 --- a/data/daily/2-dimensional-array.json +++ b/data/daily/2-dimensional-array.json @@ -2,6 +2,6 @@ "title": "GitHub 2-dimensional-array Languages Daily Trending", "description": "Daily Trending of 2-dimensional-array Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/2-dimensional-array.xml b/data/daily/2-dimensional-array.xml index 4f309075b636..5c17c37f5d59 100644 --- a/data/daily/2-dimensional-array.xml +++ b/data/daily/2-dimensional-array.xml @@ -3,6 +3,6 @@ GitHub 2-dimensional-array Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of 2-dimensional-array Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/4d.json b/data/daily/4d.json index 6de6a9fe65f1..c388e000c77b 100644 --- a/data/daily/4d.json +++ b/data/daily/4d.json @@ -2,6 +2,6 @@ "title": "GitHub 4d Languages Daily Trending", "description": "Daily Trending of 4d Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/4d.xml b/data/daily/4d.xml index 2f5f766a8105..5e6e0123e7ad 100644 --- a/data/daily/4d.xml +++ b/data/daily/4d.xml @@ -3,6 +3,6 @@ GitHub 4d Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of 4d Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/abap-cds.json b/data/daily/abap-cds.json index 14ba6c4eb091..0d57f6046d82 100644 --- a/data/daily/abap-cds.json +++ b/data/daily/abap-cds.json @@ -2,6 +2,6 @@ "title": "GitHub Abap-cds Languages Daily Trending", "description": "Daily Trending of Abap-cds Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/abap-cds.xml b/data/daily/abap-cds.xml index 6adae4b7d250..dcc4634916e9 100644 --- a/data/daily/abap-cds.xml +++ b/data/daily/abap-cds.xml @@ -3,6 +3,6 @@ GitHub Abap-cds Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Abap-cds Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/abap.json b/data/daily/abap.json index 33d6d9e0cac7..3029998eb6ed 100644 --- a/data/daily/abap.json +++ b/data/daily/abap.json @@ -2,6 +2,6 @@ "title": "GitHub Abap Languages Daily Trending", "description": "Daily Trending of Abap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/abap.xml b/data/daily/abap.xml index ce577dbe726c..de2162c86060 100644 --- a/data/daily/abap.xml +++ b/data/daily/abap.xml @@ -3,6 +3,6 @@ GitHub Abap Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Abap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/abnf.json b/data/daily/abnf.json index 50b62cafd89e..54298a3f5eea 100644 --- a/data/daily/abnf.json +++ b/data/daily/abnf.json @@ -2,6 +2,6 @@ "title": "GitHub Abnf Languages Daily Trending", "description": "Daily Trending of Abnf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/abnf.xml b/data/daily/abnf.xml index 44d75727faab..a55edbe3b429 100644 --- a/data/daily/abnf.xml +++ b/data/daily/abnf.xml @@ -3,6 +3,6 @@ GitHub Abnf Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Abnf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/actionscript.json b/data/daily/actionscript.json index 70784703aa96..c029cbba7633 100644 --- a/data/daily/actionscript.json +++ b/data/daily/actionscript.json @@ -2,6 +2,6 @@ "title": "GitHub Actionscript Languages Daily Trending", "description": "Daily Trending of Actionscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/actionscript.xml b/data/daily/actionscript.xml index 90c1450bce15..b6881b089ea3 100644 --- a/data/daily/actionscript.xml +++ b/data/daily/actionscript.xml @@ -3,6 +3,6 @@ GitHub Actionscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Actionscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ada.json b/data/daily/ada.json index cfc9c426947c..6d352d7126a0 100644 --- a/data/daily/ada.json +++ b/data/daily/ada.json @@ -2,6 +2,6 @@ "title": "GitHub Ada Languages Daily Trending", "description": "Daily Trending of Ada Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ada.xml b/data/daily/ada.xml index fdc3924c2558..a4e502ae5c63 100644 --- a/data/daily/ada.xml +++ b/data/daily/ada.xml @@ -3,6 +3,6 @@ GitHub Ada Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ada Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/adblock-filter-list.json b/data/daily/adblock-filter-list.json index c496ebf0fb97..1b05715f0d43 100644 --- a/data/daily/adblock-filter-list.json +++ b/data/daily/adblock-filter-list.json @@ -2,8 +2,45 @@ "title": "GitHub Adblock-filter-list Languages Daily Trending", "description": "Daily Trending of Adblock-filter-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "AdguardTeam/AdguardFilters", + "url": "https://github.com/AdguardTeam/AdguardFilters", + "description": "AdGuard Content Blocking Filters", + "language": "Adblock Filter List", + "languageColor": "#800000", + "stars": "3,253", + "forks": "637", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8361299?s=40&v=4", + "name": "Alex-302", + "url": "https://github.com/Alex-302" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29142494?s=40&v=4", + "name": "AdamWr", + "url": "https://github.com/AdamWr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33488229?s=40&v=4", + "name": "zloyden", + "url": "https://github.com/zloyden" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/58900598?s=40&v=4", + "name": "Yuki2718", + "url": "https://github.com/Yuki2718" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18536328?s=40&v=4", + "name": "Sergey-Lyapin", + "url": "https://github.com/Sergey-Lyapin" + } + ] + }, { "title": "uBlockOrigin/uAssets", "url": "https://github.com/uBlockOrigin/uAssets", @@ -41,50 +78,13 @@ } ] }, - { - "title": "AdguardTeam/AdguardFilters", - "url": "https://github.com/AdguardTeam/AdguardFilters", - "description": "AdGuard Content Blocking Filters", - "language": "Adblock Filter List", - "languageColor": "#800000", - "stars": "3,249", - "forks": "637", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8361299?s=40&v=4", - "name": "Alex-302", - "url": "https://github.com/Alex-302" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29142494?s=40&v=4", - "name": "AdamWr", - "url": "https://github.com/AdamWr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33488229?s=40&v=4", - "name": "zloyden", - "url": "https://github.com/zloyden" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58900598?s=40&v=4", - "name": "Yuki2718", - "url": "https://github.com/Yuki2718" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18536328?s=40&v=4", - "name": "Sergey-Lyapin", - "url": "https://github.com/Sergey-Lyapin" - } - ] - }, { "title": "easylist/easylist", "url": "https://github.com/easylist/easylist", "description": "EasyList filter subscription (EasyList, EasyPrivacy, EasyList Cookie, Fanboy's Social/Annoyances/Notifications Blocking List)", "language": "Adblock Filter List", "languageColor": "#800000", - "stars": "2,258", + "stars": "2,259", "forks": "732", "addStars": "1", "contributors": [ @@ -151,43 +151,6 @@ "url": "https://github.com/jellizaveta" } ] - }, - { - "title": "jerryn70/GoodbyeAds", - "url": "https://github.com/jerryn70/GoodbyeAds", - "description": "", - "language": "Adblock Filter List", - "languageColor": "#800000", - "stars": "1,230", - "forks": "112", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/23030460?s=40&v=4", - "name": "jerryn70", - "url": "https://github.com/jerryn70" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/852289?s=40&v=4", - "name": "ignoramous", - "url": "https://github.com/ignoramous" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1214129?s=40&v=4", - "name": "T145", - "url": "https://github.com/T145" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2028642?s=40&v=4", - "name": "ispiropoulos", - "url": "https://github.com/ispiropoulos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17930955?s=40&v=4", - "name": "RooneyMcNibNug", - "url": "https://github.com/RooneyMcNibNug" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/adblock-filter-list.xml b/data/daily/adblock-filter-list.xml index 17ccb99769e5..a2092e8c77cc 100644 --- a/data/daily/adblock-filter-list.xml +++ b/data/daily/adblock-filter-list.xml @@ -3,7 +3,45 @@ GitHub Adblock-filter-list Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Adblock-filter-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + AdguardTeam/AdguardFilters + https://github.com/AdguardTeam/AdguardFilters + AdGuard Content Blocking Filters + https://github.com/AdguardTeam/AdguardFilters + Adblock Filter List + #800000 + 3,253 + 637 + 4 + + + https://avatars.githubusercontent.com/u/8361299?s=40&v=4 + Alex-302 + https://github.com/Alex-302 + + + https://avatars.githubusercontent.com/u/29142494?s=40&v=4 + AdamWr + https://github.com/AdamWr + + + https://avatars.githubusercontent.com/u/33488229?s=40&v=4 + zloyden + https://github.com/zloyden + + + https://avatars.githubusercontent.com/u/58900598?s=40&v=4 + Yuki2718 + https://github.com/Yuki2718 + + + https://avatars.githubusercontent.com/u/18536328?s=40&v=4 + Sergey-Lyapin + https://github.com/Sergey-Lyapin + + + uBlockOrigin/uAssets https://github.com/uBlockOrigin/uAssets @@ -42,44 +80,6 @@ - - AdguardTeam/AdguardFilters - https://github.com/AdguardTeam/AdguardFilters - AdGuard Content Blocking Filters - https://github.com/AdguardTeam/AdguardFilters - Adblock Filter List - #800000 - 3,249 - 637 - 4 - - - https://avatars.githubusercontent.com/u/8361299?s=40&v=4 - Alex-302 - https://github.com/Alex-302 - - - https://avatars.githubusercontent.com/u/29142494?s=40&v=4 - AdamWr - https://github.com/AdamWr - - - https://avatars.githubusercontent.com/u/33488229?s=40&v=4 - zloyden - https://github.com/zloyden - - - https://avatars.githubusercontent.com/u/58900598?s=40&v=4 - Yuki2718 - https://github.com/Yuki2718 - - - https://avatars.githubusercontent.com/u/18536328?s=40&v=4 - Sergey-Lyapin - https://github.com/Sergey-Lyapin - - - easylist/easylist https://github.com/easylist/easylist @@ -87,7 +87,7 @@ https://github.com/easylist/easylist Adblock Filter List #800000 - 2,258 + 2,259 732 1 @@ -156,43 +156,5 @@ - - jerryn70/GoodbyeAds - https://github.com/jerryn70/GoodbyeAds - - https://github.com/jerryn70/GoodbyeAds - Adblock Filter List - #800000 - 1,230 - 112 - 4 - - - https://avatars.githubusercontent.com/u/23030460?s=40&v=4 - jerryn70 - https://github.com/jerryn70 - - - https://avatars.githubusercontent.com/u/852289?s=40&v=4 - ignoramous - https://github.com/ignoramous - - - https://avatars.githubusercontent.com/u/1214129?s=40&v=4 - T145 - https://github.com/T145 - - - https://avatars.githubusercontent.com/u/2028642?s=40&v=4 - ispiropoulos - https://github.com/ispiropoulos - - - https://avatars.githubusercontent.com/u/17930955?s=40&v=4 - RooneyMcNibNug - https://github.com/RooneyMcNibNug - - - \ No newline at end of file diff --git a/data/daily/adobe-font-metrics.json b/data/daily/adobe-font-metrics.json index b934e6780d57..75e5bbf91c47 100644 --- a/data/daily/adobe-font-metrics.json +++ b/data/daily/adobe-font-metrics.json @@ -2,6 +2,6 @@ "title": "GitHub Adobe-font-metrics Languages Daily Trending", "description": "Daily Trending of Adobe-font-metrics Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/adobe-font-metrics.xml b/data/daily/adobe-font-metrics.xml index 9d78ed987e43..7a884e86f563 100644 --- a/data/daily/adobe-font-metrics.xml +++ b/data/daily/adobe-font-metrics.xml @@ -3,6 +3,6 @@ GitHub Adobe-font-metrics Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Adobe-font-metrics Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/agda.json b/data/daily/agda.json index f2fb14b8eda5..e32be72fcd82 100644 --- a/data/daily/agda.json +++ b/data/daily/agda.json @@ -2,6 +2,6 @@ "title": "GitHub Agda Languages Daily Trending", "description": "Daily Trending of Agda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/agda.xml b/data/daily/agda.xml index a1293f8f7a3c..cb44e71ef683 100644 --- a/data/daily/agda.xml +++ b/data/daily/agda.xml @@ -3,6 +3,6 @@ GitHub Agda Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Agda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ags-script.json b/data/daily/ags-script.json index d16f9f910bbc..ebcf5e40e535 100644 --- a/data/daily/ags-script.json +++ b/data/daily/ags-script.json @@ -2,7 +2,7 @@ "title": "GitHub Ags-script Languages Daily Trending", "description": "Daily Trending of Ags-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "MapIV/eagleye", diff --git a/data/daily/ags-script.xml b/data/daily/ags-script.xml index 2c246dc6c672..b3c8eb45c2cc 100644 --- a/data/daily/ags-script.xml +++ b/data/daily/ags-script.xml @@ -3,7 +3,7 @@ GitHub Ags-script Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ags-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT MapIV/eagleye https://github.com/MapIV/eagleye diff --git a/data/daily/aidl.json b/data/daily/aidl.json index ff6ab24ef026..8186279e961c 100644 --- a/data/daily/aidl.json +++ b/data/daily/aidl.json @@ -2,6 +2,6 @@ "title": "GitHub Aidl Languages Daily Trending", "description": "Daily Trending of Aidl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/aidl.xml b/data/daily/aidl.xml index 5aca7faca27e..43c9312b718d 100644 --- a/data/daily/aidl.xml +++ b/data/daily/aidl.xml @@ -3,6 +3,6 @@ GitHub Aidl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Aidl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/al.json b/data/daily/al.json index 7d1ae95e58c1..ada976c38242 100644 --- a/data/daily/al.json +++ b/data/daily/al.json @@ -2,7 +2,7 @@ "title": "GitHub Al Languages Daily Trending", "description": "Daily Trending of Al Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/BCApps", diff --git a/data/daily/al.xml b/data/daily/al.xml index 3930cdceff0e..2711a96a8829 100644 --- a/data/daily/al.xml +++ b/data/daily/al.xml @@ -3,7 +3,7 @@ GitHub Al Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Al Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/BCApps https://github.com/microsoft/BCApps diff --git a/data/daily/all.json b/data/daily/all.json index fe5be33aaf7e..cc853a41738d 100644 --- a/data/daily/all.json +++ b/data/daily/all.json @@ -2,481 +2,476 @@ "title": "GitHub All Languages Daily Trending", "description": "Daily Trending of All Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "black-forest-labs/flux", - "url": "https://github.com/black-forest-labs/flux", - "description": "Official inference repo for FLUX.1 models", - "language": "Python", - "languageColor": "#3572A5", - "stars": "17,302", - "forks": "1,228", - "addStars": "536", + "title": "2dust/v2rayN", + "url": "https://github.com/2dust/v2rayN", + "description": "A GUI client for Windows and Linux, support Xray core and others", + "language": "C#", + "languageColor": "#178600", + "stars": "70,220", + "forks": "11,588", + "addStars": "73", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37085732?s=40&v=4", - "name": "timudk", - "url": "https://github.com/timudk" + "avatar": "https://avatars.githubusercontent.com/u/31833384?s=40&v=4", + "name": "2dust", + "url": "https://github.com/2dust" }, { - "avatar": "https://avatars.githubusercontent.com/u/22528125?s=40&v=4", - "name": "jenuk", - "url": "https://github.com/jenuk" + "avatar": "https://avatars.githubusercontent.com/u/1769875?s=40&v=4", + "name": "yfdyh000", + "url": "https://github.com/yfdyh000" }, { - "avatar": "https://avatars.githubusercontent.com/u/788417?s=40&v=4", - "name": "apolinario", - "url": "https://github.com/apolinario" + "avatar": "https://avatars.githubusercontent.com/u/15936231?s=40&v=4", + "name": "CGQAQ", + "url": "https://github.com/CGQAQ" }, { - "avatar": "https://avatars.githubusercontent.com/u/2289?s=40&v=4", - "name": "zeke", - "url": "https://github.com/zeke" + "avatar": "https://avatars.githubusercontent.com/u/45081750?s=40&v=4", + "name": "ShiinaRinne", + "url": "https://github.com/ShiinaRinne" }, { - "avatar": "https://avatars.githubusercontent.com/u/1416801?s=40&v=4", - "name": "thibautRe", - "url": "https://github.com/thibautRe" + "avatar": "https://avatars.githubusercontent.com/u/69106050?s=40&v=4", + "name": "Lemonawa", + "url": "https://github.com/Lemonawa" } ] }, { - "title": "abi/screenshot-to-code", - "url": "https://github.com/abi/screenshot-to-code", - "description": "Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue)", - "language": "Python", - "languageColor": "#3572A5", - "stars": "61,234", - "forks": "7,497", - "addStars": "291", + "title": "EbookFoundation/free-programming-books", + "url": "https://github.com/EbookFoundation/free-programming-books", + "description": "📚 Freely available programming books", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "339,479", + "forks": "61,784", + "addStars": "305", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23818?s=40&v=4", - "name": "abi", - "url": "https://github.com/abi" + "avatar": "https://avatars.githubusercontent.com/u/2022803?s=40&v=4", + "name": "vhf", + "url": "https://github.com/vhf" }, { - "avatar": "https://avatars.githubusercontent.com/u/53188310?s=40&v=4", - "name": "clean99", - "url": "https://github.com/clean99" + "avatar": "https://avatars.githubusercontent.com/u/926513?s=40&v=4", + "name": "eshellman", + "url": "https://github.com/eshellman" }, { - "avatar": "https://avatars.githubusercontent.com/u/59241904?s=40&v=4", - "name": "kachbit", - "url": "https://github.com/kachbit" + "avatar": "https://avatars.githubusercontent.com/u/3125580?s=40&v=4", + "name": "davorpa", + "url": "https://github.com/davorpa" }, { - "avatar": "https://avatars.githubusercontent.com/u/6828924?s=40&v=4", - "name": "vagusX", - "url": "https://github.com/vagusX" + "avatar": "https://avatars.githubusercontent.com/u/2694949?s=40&v=4", + "name": "MHM5000", + "url": "https://github.com/MHM5000" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10100659?s=40&v=4", + "name": "kadhirash", + "url": "https://github.com/kadhirash" } ] }, { - "title": "gitroomhq/postiz-app", - "url": "https://github.com/gitroomhq/postiz-app", - "description": "📨 The ultimate social media scheduling tool, with a bunch of AI 🤖", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "11,333", - "forks": "2,320", - "addStars": "294", + "title": "jesseduffield/lazydocker", + "url": "https://github.com/jesseduffield/lazydocker", + "description": "The lazier way to manage everything docker", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "37,793", + "forks": "1,208", + "addStars": "96", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2025715?s=40&v=4", - "name": "jamesread", - "url": "https://github.com/jamesread" + "avatar": "https://avatars.githubusercontent.com/u/8456633?s=40&v=4", + "name": "jesseduffield", + "url": "https://github.com/jesseduffield" }, { - "avatar": "https://avatars.githubusercontent.com/u/100117126?s=40&v=4", - "name": "nevo-david", - "url": "https://github.com/nevo-david" + "avatar": "https://avatars.githubusercontent.com/u/9713907?s=40&v=4", + "name": "dawidd6", + "url": "https://github.com/dawidd6" }, { - "avatar": "https://avatars.githubusercontent.com/u/36304232?s=40&v=4", - "name": "garrrikkotua", - "url": "https://github.com/garrrikkotua" + "avatar": "https://avatars.githubusercontent.com/u/15320763?s=40&v=4", + "name": "mjarkk", + "url": "https://github.com/mjarkk" }, { - "avatar": "https://avatars.githubusercontent.com/u/2481437?s=40&v=4", - "name": "jonathan-irvin", - "url": "https://github.com/jonathan-irvin" + "avatar": "https://avatars.githubusercontent.com/u/15654980?s=40&v=4", + "name": "qdm12", + "url": "https://github.com/qdm12" }, { - "avatar": "https://avatars.githubusercontent.com/u/59761933?s=40&v=4", - "name": "Ansh-Sonkusare", - "url": "https://github.com/Ansh-Sonkusare" + "avatar": "https://avatars.githubusercontent.com/u/1804568?s=40&v=4", + "name": "thaJeztah", + "url": "https://github.com/thaJeztah" } ] }, { - "title": "EbookFoundation/free-programming-books", - "url": "https://github.com/EbookFoundation/free-programming-books", - "description": "📚 Freely available programming books", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "339,384", - "forks": "61,775", - "addStars": "220", + "title": "bluesky-social/social-app", + "url": "https://github.com/bluesky-social/social-app", + "description": "The Bluesky Social application for Web, iOS, and Android", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "12,524", + "forks": "1,600", + "addStars": "350", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2022803?s=40&v=4", - "name": "vhf", - "url": "https://github.com/vhf" + "avatar": "https://avatars.githubusercontent.com/u/1270099?s=40&v=4", + "name": "pfrazee", + "url": "https://github.com/pfrazee" }, { - "avatar": "https://avatars.githubusercontent.com/u/926513?s=40&v=4", - "name": "eshellman", - "url": "https://github.com/eshellman" + "avatar": "https://avatars.githubusercontent.com/u/4732330?s=40&v=4", + "name": "estrattonbailey", + "url": "https://github.com/estrattonbailey" }, { - "avatar": "https://avatars.githubusercontent.com/u/3125580?s=40&v=4", - "name": "davorpa", - "url": "https://github.com/davorpa" + "avatar": "https://avatars.githubusercontent.com/u/153161762?s=40&v=4", + "name": "haileyok", + "url": "https://github.com/haileyok" }, { - "avatar": "https://avatars.githubusercontent.com/u/2694949?s=40&v=4", - "name": "MHM5000", - "url": "https://github.com/MHM5000" + "avatar": "https://avatars.githubusercontent.com/u/810438?s=40&v=4", + "name": "gaearon", + "url": "https://github.com/gaearon" }, { - "avatar": "https://avatars.githubusercontent.com/u/10100659?s=40&v=4", - "name": "kadhirash", - "url": "https://github.com/kadhirash" + "avatar": "https://avatars.githubusercontent.com/u/10959775?s=40&v=4", + "name": "mozzius", + "url": "https://github.com/mozzius" } ] }, { - "title": "krahets/hello-algo", - "url": "https://github.com/krahets/hello-algo", - "description": "《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing", - "language": "Java", - "languageColor": "#b07219", - "stars": "100,063", - "forks": "12,583", - "addStars": "123", + "title": "abi/screenshot-to-code", + "url": "https://github.com/abi/screenshot-to-code", + "description": "Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue)", + "language": "Python", + "languageColor": "#3572A5", + "stars": "61,358", + "forks": "7,505", + "addStars": "492", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26993056?s=40&v=4", - "name": "krahets", - "url": "https://github.com/krahets" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24556310?s=40&v=4", - "name": "justin-tse", - "url": "https://github.com/justin-tse" + "avatar": "https://avatars.githubusercontent.com/u/23818?s=40&v=4", + "name": "abi", + "url": "https://github.com/abi" }, { - "avatar": "https://avatars.githubusercontent.com/u/99076655?s=40&v=4", - "name": "coderonion", - "url": "https://github.com/coderonion" + "avatar": "https://avatars.githubusercontent.com/u/53188310?s=40&v=4", + "name": "clean99", + "url": "https://github.com/clean99" }, { - "avatar": "https://avatars.githubusercontent.com/u/3739017?s=40&v=4", - "name": "nuomi1", - "url": "https://github.com/nuomi1" + "avatar": "https://avatars.githubusercontent.com/u/59241904?s=40&v=4", + "name": "kachbit", + "url": "https://github.com/kachbit" }, { - "avatar": "https://avatars.githubusercontent.com/u/39959756?s=40&v=4", - "name": "Gonglja", - "url": "https://github.com/Gonglja" + "avatar": "https://avatars.githubusercontent.com/u/6828924?s=40&v=4", + "name": "vagusX", + "url": "https://github.com/vagusX" } ] }, { - "title": "shader-slang/slang", - "url": "https://github.com/shader-slang/slang", - "description": "Making it easier to work with shaders", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "2,825", - "forks": "207", - "addStars": "139", + "title": "termux/termux-app", + "url": "https://github.com/termux/termux-app", + "description": "Termux - a terminal emulator application for Android OS extendible by variety of packages.", + "language": "Java", + "languageColor": "#b07219", + "stars": "36,726", + "forks": "3,857", + "addStars": "28", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2652293?s=40&v=4", - "name": "csyonghe", - "url": "https://github.com/csyonghe" + "avatar": "https://avatars.githubusercontent.com/u/31106828?s=40&v=4", + "name": "agnostic-apollo", + "url": "https://github.com/agnostic-apollo" }, { - "avatar": "https://avatars.githubusercontent.com/u/14912361?s=40&v=4", - "name": "jsmall-zzz", - "url": "https://github.com/jsmall-zzz" + "avatar": "https://avatars.githubusercontent.com/u/277251?s=40&v=4", + "name": "fornwall", + "url": "https://github.com/fornwall" }, { - "avatar": "https://avatars.githubusercontent.com/u/10618364?s=40&v=4", - "name": "tangent-vector", - "url": "https://github.com/tangent-vector" + "avatar": "https://avatars.githubusercontent.com/u/18900601?s=40&v=4", + "name": "Grimler91", + "url": "https://github.com/Grimler91" }, { - "avatar": "https://avatars.githubusercontent.com/u/857308?s=40&v=4", - "name": "expipiplus1", - "url": "https://github.com/expipiplus1" + "avatar": "https://avatars.githubusercontent.com/u/3536343?s=40&v=4", + "name": "maoabc", + "url": "https://github.com/maoabc" }, { - "avatar": "https://avatars.githubusercontent.com/u/31557731?s=40&v=4", - "name": "saipraveenb25", - "url": "https://github.com/saipraveenb25" + "avatar": "https://avatars.githubusercontent.com/u/601966?s=40&v=4", + "name": "trygveaa", + "url": "https://github.com/trygveaa" } ] }, { - "title": "tmc/langchaingo", - "url": "https://github.com/tmc/langchaingo", - "description": "LangChain for Go, the easiest way to write LLM-based programs in Go", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "4,945", - "forks": "638", - "addStars": "11", + "title": "black-forest-labs/flux", + "url": "https://github.com/black-forest-labs/flux", + "description": "Official inference repo for FLUX.1 models", + "language": "Python", + "languageColor": "#3572A5", + "stars": "17,485", + "forks": "1,237", + "addStars": "770", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3977?s=40&v=4", - "name": "tmc", - "url": "https://github.com/tmc" + "avatar": "https://avatars.githubusercontent.com/u/37085732?s=40&v=4", + "name": "timudk", + "url": "https://github.com/timudk" }, { - "avatar": "https://avatars.githubusercontent.com/u/61425964?s=40&v=4", - "name": "FluffyKebab", - "url": "https://github.com/FluffyKebab" + "avatar": "https://avatars.githubusercontent.com/u/22528125?s=40&v=4", + "name": "jenuk", + "url": "https://github.com/jenuk" }, { - "avatar": "https://avatars.githubusercontent.com/u/1130906?s=40&v=4", - "name": "eliben", - "url": "https://github.com/eliben" + "avatar": "https://avatars.githubusercontent.com/u/788417?s=40&v=4", + "name": "apolinario", + "url": "https://github.com/apolinario" }, { - "avatar": "https://avatars.githubusercontent.com/u/63959220?s=40&v=4", - "name": "Abraxas-365", - "url": "https://github.com/Abraxas-365" + "avatar": "https://avatars.githubusercontent.com/u/2289?s=40&v=4", + "name": "zeke", + "url": "https://github.com/zeke" }, { - "avatar": "https://avatars.githubusercontent.com/u/92272038?s=40&v=4", - "name": "zivkovicn", - "url": "https://github.com/zivkovicn" + "avatar": "https://avatars.githubusercontent.com/u/1416801?s=40&v=4", + "name": "thibautRe", + "url": "https://github.com/thibautRe" } ] }, { - "title": "pingcap/autoflow", - "url": "https://github.com/pingcap/autoflow", - "description": "pingcap/autoflow is a Graph RAG based and conversational knowledge base tool built with TiDB Serverless Vector Storage. Demo: https://tidb.ai", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "1,462", - "forks": "70", - "addStars": "194", + "title": "OpenInterpreter/open-interpreter", + "url": "https://github.com/OpenInterpreter/open-interpreter", + "description": "A natural language interface for computers", + "language": "Python", + "languageColor": "#3572A5", + "stars": "55,862", + "forks": "4,843", + "addStars": "107", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12960671?s=40&v=4", - "name": "634750802", - "url": "https://github.com/634750802" + "avatar": "https://avatars.githubusercontent.com/u/63927363?s=40&v=4", + "name": "KillianLucas", + "url": "https://github.com/KillianLucas" }, { - "avatar": "https://avatars.githubusercontent.com/u/10102304?s=40&v=4", - "name": "wd0517", - "url": "https://github.com/wd0517" + "avatar": "https://avatars.githubusercontent.com/u/4324290?s=40&v=4", + "name": "Notnaton", + "url": "https://github.com/Notnaton" }, { - "avatar": "https://avatars.githubusercontent.com/u/10701973?s=40&v=4", - "name": "IANTHEREAL", - "url": "https://github.com/IANTHEREAL" + "avatar": "https://avatars.githubusercontent.com/u/63524998?s=40&v=4", + "name": "MikeBirdTech", + "url": "https://github.com/MikeBirdTech" }, { - "avatar": "https://avatars.githubusercontent.com/u/1237528?s=40&v=4", - "name": "sykp241095", - "url": "https://github.com/sykp241095" + "avatar": "https://avatars.githubusercontent.com/u/6693226?s=40&v=4", + "name": "CyanideByte", + "url": "https://github.com/CyanideByte" }, { - "avatar": "https://avatars.githubusercontent.com/u/5086433?s=40&v=4", - "name": "Mini256", - "url": "https://github.com/Mini256" + "avatar": "https://avatars.githubusercontent.com/u/1667415?s=40&v=4", + "name": "ericrallen", + "url": "https://github.com/ericrallen" } ] }, { - "title": "surrealdb/surrealdb", - "url": "https://github.com/surrealdb/surrealdb", - "description": "A scalable, distributed, collaborative, document-graph database, for the realtime web", - "language": "Rust", - "languageColor": "#dea584", - "stars": "27,875", - "forks": "912", - "addStars": "27", + "title": "public-apis/public-apis", + "url": "https://github.com/public-apis/public-apis", + "description": "A collective list of free APIs", + "language": "Python", + "languageColor": "#3572A5", + "stars": "318,332", + "forks": "33,915", + "addStars": "106", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1588290?s=40&v=4", - "name": "tobiemh", - "url": "https://github.com/tobiemh" + "avatar": "https://avatars.githubusercontent.com/u/50463866?s=40&v=4", + "name": "matheusfelipeog", + "url": "https://github.com/matheusfelipeog" }, { - "avatar": "https://avatars.githubusercontent.com/u/4958190?s=40&v=4", - "name": "rushmorem", - "url": "https://github.com/rushmorem" + "avatar": "https://avatars.githubusercontent.com/u/15134885?s=40&v=4", + "name": "davemachado", + "url": "https://github.com/davemachado" }, { - "avatar": "https://avatars.githubusercontent.com/u/1282276?s=40&v=4", - "name": "emmanuel-keller", - "url": "https://github.com/emmanuel-keller" + "avatar": "https://avatars.githubusercontent.com/u/36134699?s=40&v=4", + "name": "pawelborkar", + "url": "https://github.com/pawelborkar" }, { - "avatar": "https://avatars.githubusercontent.com/u/7524414?s=40&v=4", - "name": "DelSkayn", - "url": "https://github.com/DelSkayn" + "avatar": "https://avatars.githubusercontent.com/u/246103?s=40&v=4", + "name": "jbrooksuk", + "url": "https://github.com/jbrooksuk" }, { - "avatar": "https://avatars.githubusercontent.com/u/17960250?s=40&v=4", - "name": "kearfy", - "url": "https://github.com/kearfy" + "avatar": "https://avatars.githubusercontent.com/u/1914165?s=40&v=4", + "name": "marekdano", + "url": "https://github.com/marekdano" } ] }, { - "title": "xmrig/xmrig", - "url": "https://github.com/xmrig/xmrig", - "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", - "language": "C", - "languageColor": "#555555", - "stars": "8,793", - "forks": "3,462", - "addStars": "5", + "title": "gitroomhq/postiz-app", + "url": "https://github.com/gitroomhq/postiz-app", + "description": "📨 The ultimate social media scheduling tool, with a bunch of AI 🤖", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "11,426", + "forks": "2,325", + "addStars": "354", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", - "name": "xmrig", - "url": "https://github.com/xmrig" + "avatar": "https://avatars.githubusercontent.com/u/2025715?s=40&v=4", + "name": "jamesread", + "url": "https://github.com/jamesread" }, { - "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", - "name": "SChernykh", - "url": "https://github.com/SChernykh" + "avatar": "https://avatars.githubusercontent.com/u/100117126?s=40&v=4", + "name": "nevo-david", + "url": "https://github.com/nevo-david" }, { - "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", - "name": "Spudz76", - "url": "https://github.com/Spudz76" + "avatar": "https://avatars.githubusercontent.com/u/36304232?s=40&v=4", + "name": "garrrikkotua", + "url": "https://github.com/garrrikkotua" }, { - "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", - "name": "Foudge", - "url": "https://github.com/Foudge" + "avatar": "https://avatars.githubusercontent.com/u/2481437?s=40&v=4", + "name": "jonathan-irvin", + "url": "https://github.com/jonathan-irvin" }, { - "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", - "name": "pdxwebdev", - "url": "https://github.com/pdxwebdev" + "avatar": "https://avatars.githubusercontent.com/u/59761933?s=40&v=4", + "name": "Ansh-Sonkusare", + "url": "https://github.com/Ansh-Sonkusare" } ] }, { - "title": "gunnarmorling/1brc", - "url": "https://github.com/gunnarmorling/1brc", - "description": "1️⃣🐝🏎️ The One Billion Row Challenge -- A fun exploration of how quickly 1B rows from a text file can be aggregated with Java", - "language": "Java", - "languageColor": "#b07219", - "stars": "6,436", - "forks": "1,910", - "addStars": "12", + "title": "bluesky-social/atproto", + "url": "https://github.com/bluesky-social/atproto", + "description": "Social networking technology created by Bluesky", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "7,121", + "forks": "509", + "addStars": "114", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28612?s=40&v=4", - "name": "gunnarmorling", - "url": "https://github.com/gunnarmorling" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/91577?s=40&v=4", - "name": "hundredwatt", - "url": "https://github.com/hundredwatt" + "avatar": "https://avatars.githubusercontent.com/u/4898225?s=40&v=4", + "name": "dholms", + "url": "https://github.com/dholms" }, { - "avatar": "https://avatars.githubusercontent.com/u/697976?s=40&v=4", - "name": "AlexanderYastrebov", - "url": "https://github.com/AlexanderYastrebov" + "avatar": "https://avatars.githubusercontent.com/u/3150233?s=40&v=4", + "name": "devinivy", + "url": "https://github.com/devinivy" }, { - "avatar": "https://avatars.githubusercontent.com/u/72259616?s=40&v=4", - "name": "artsiomkorzun", - "url": "https://github.com/artsiomkorzun" + "avatar": "https://avatars.githubusercontent.com/u/1270099?s=40&v=4", + "name": "pfrazee", + "url": "https://github.com/pfrazee" }, { - "avatar": "https://avatars.githubusercontent.com/u/221014?s=40&v=4", - "name": "ebarlas", - "url": "https://github.com/ebarlas" + "avatar": "https://avatars.githubusercontent.com/u/4732330?s=40&v=4", + "name": "estrattonbailey", + "url": "https://github.com/estrattonbailey" } ] }, { - "title": "RPCS3/rpcs3", - "url": "https://github.com/RPCS3/rpcs3", - "description": "PlayStation 3 emulator and debugger", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "15,612", - "forks": "1,923", - "addStars": "3", + "title": "FortAwesome/Font-Awesome", + "url": "https://github.com/FortAwesome/Font-Awesome", + "description": "The iconic SVG, font, and CSS toolkit", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "74,110", + "forks": "12,202", + "addStars": "115", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23019877?s=40&v=4", - "name": "Megamouse", - "url": "https://github.com/Megamouse" + "avatar": "https://avatars.githubusercontent.com/u/132895?s=40&v=4", + "name": "robmadole", + "url": "https://github.com/robmadole" }, { - "avatar": "https://avatars.githubusercontent.com/u/6028184?s=40&v=4", - "name": "Nekotekina", - "url": "https://github.com/Nekotekina" + "avatar": "https://avatars.githubusercontent.com/u/556268?s=40&v=4", + "name": "tagliala", + "url": "https://github.com/tagliala" }, { - "avatar": "https://avatars.githubusercontent.com/u/15904127?s=40&v=4", - "name": "kd-11", - "url": "https://github.com/kd-11" + "avatar": "https://avatars.githubusercontent.com/u/19155546?s=40&v=4", + "name": "deathnfudge", + "url": "https://github.com/deathnfudge" }, { - "avatar": "https://avatars.githubusercontent.com/u/18193363?s=40&v=4", - "name": "elad335", - "url": "https://github.com/elad335" + "avatar": "https://avatars.githubusercontent.com/u/529604?s=40&v=4", + "name": "sensibleworld", + "url": "https://github.com/sensibleworld" }, { - "avatar": "https://avatars.githubusercontent.com/u/6413475?s=40&v=4", - "name": "vlj", - "url": "https://github.com/vlj" + "avatar": "https://avatars.githubusercontent.com/u/78311368?s=40&v=4", + "name": "Aryan2727-debug", + "url": "https://github.com/Aryan2727-debug" } ] }, { - "title": "justcallmekoko/ESP32Marauder", - "url": "https://github.com/justcallmekoko/ESP32Marauder", - "description": "A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32", + "title": "shader-slang/slang", + "url": "https://github.com/shader-slang/slang", + "description": "Making it easier to work with shaders", "language": "C++", "languageColor": "#f34b7d", - "stars": "5,983", - "forks": "640", - "addStars": "8", + "stars": "2,857", + "forks": "209", + "addStars": "159", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25190487?s=40&v=4", - "name": "justcallmekoko", - "url": "https://github.com/justcallmekoko" + "avatar": "https://avatars.githubusercontent.com/u/2652293?s=40&v=4", + "name": "csyonghe", + "url": "https://github.com/csyonghe" }, { - "avatar": "https://avatars.githubusercontent.com/u/53179565?s=40&v=4", - "name": "trisp3ar", - "url": "https://github.com/trisp3ar" + "avatar": "https://avatars.githubusercontent.com/u/14912361?s=40&v=4", + "name": "jsmall-zzz", + "url": "https://github.com/jsmall-zzz" }, { - "avatar": "https://avatars.githubusercontent.com/u/6174563?s=40&v=4", - "name": "tracedgod", - "url": "https://github.com/tracedgod" + "avatar": "https://avatars.githubusercontent.com/u/10618364?s=40&v=4", + "name": "tangent-vector", + "url": "https://github.com/tangent-vector" }, { - "avatar": "https://avatars.githubusercontent.com/u/49810075?s=40&v=4", - "name": "Willy-JL", - "url": "https://github.com/Willy-JL" + "avatar": "https://avatars.githubusercontent.com/u/857308?s=40&v=4", + "name": "expipiplus1", + "url": "https://github.com/expipiplus1" }, { - "avatar": "https://avatars.githubusercontent.com/u/612794?s=40&v=4", - "name": "wallali", - "url": "https://github.com/wallali" + "avatar": "https://avatars.githubusercontent.com/u/31557731?s=40&v=4", + "name": "saipraveenb25", + "url": "https://github.com/saipraveenb25" } ] } diff --git a/data/daily/all.xml b/data/daily/all.xml index 48a67eb1a51b..4fb736062ec5 100644 --- a/data/daily/all.xml +++ b/data/daily/all.xml @@ -3,493 +3,488 @@ GitHub All Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of All Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - black-forest-labs/flux - https://github.com/black-forest-labs/flux - Official inference repo for FLUX.1 models - https://github.com/black-forest-labs/flux - Python - #3572A5 - 17,302 - 1,228 - 536 + 2dust/v2rayN + https://github.com/2dust/v2rayN + A GUI client for Windows and Linux, support Xray core and others + https://github.com/2dust/v2rayN + C# + #178600 + 70,220 + 11,588 + 73 - https://avatars.githubusercontent.com/u/37085732?s=40&v=4 - timudk - https://github.com/timudk + https://avatars.githubusercontent.com/u/31833384?s=40&v=4 + 2dust + https://github.com/2dust - https://avatars.githubusercontent.com/u/22528125?s=40&v=4 - jenuk - https://github.com/jenuk + https://avatars.githubusercontent.com/u/1769875?s=40&v=4 + yfdyh000 + https://github.com/yfdyh000 - https://avatars.githubusercontent.com/u/788417?s=40&v=4 - apolinario - https://github.com/apolinario + https://avatars.githubusercontent.com/u/15936231?s=40&v=4 + CGQAQ + https://github.com/CGQAQ - https://avatars.githubusercontent.com/u/2289?s=40&v=4 - zeke - https://github.com/zeke + https://avatars.githubusercontent.com/u/45081750?s=40&v=4 + ShiinaRinne + https://github.com/ShiinaRinne - https://avatars.githubusercontent.com/u/1416801?s=40&v=4 - thibautRe - https://github.com/thibautRe + https://avatars.githubusercontent.com/u/69106050?s=40&v=4 + Lemonawa + https://github.com/Lemonawa - abi/screenshot-to-code - https://github.com/abi/screenshot-to-code - Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue) - https://github.com/abi/screenshot-to-code - Python - #3572A5 - 61,234 - 7,497 - 291 + EbookFoundation/free-programming-books + https://github.com/EbookFoundation/free-programming-books + 📚 Freely available programming books + https://github.com/EbookFoundation/free-programming-books + HTML + #e34c26 + 339,479 + 61,784 + 305 - https://avatars.githubusercontent.com/u/23818?s=40&v=4 - abi - https://github.com/abi + https://avatars.githubusercontent.com/u/2022803?s=40&v=4 + vhf + https://github.com/vhf - https://avatars.githubusercontent.com/u/53188310?s=40&v=4 - clean99 - https://github.com/clean99 + https://avatars.githubusercontent.com/u/926513?s=40&v=4 + eshellman + https://github.com/eshellman - https://avatars.githubusercontent.com/u/59241904?s=40&v=4 - kachbit - https://github.com/kachbit + https://avatars.githubusercontent.com/u/3125580?s=40&v=4 + davorpa + https://github.com/davorpa - https://avatars.githubusercontent.com/u/6828924?s=40&v=4 - vagusX - https://github.com/vagusX + https://avatars.githubusercontent.com/u/2694949?s=40&v=4 + MHM5000 + https://github.com/MHM5000 + + + https://avatars.githubusercontent.com/u/10100659?s=40&v=4 + kadhirash + https://github.com/kadhirash - gitroomhq/postiz-app - https://github.com/gitroomhq/postiz-app - 📨 The ultimate social media scheduling tool, with a bunch of AI 🤖 - https://github.com/gitroomhq/postiz-app - TypeScript - #3178c6 - 11,333 - 2,320 - 294 + jesseduffield/lazydocker + https://github.com/jesseduffield/lazydocker + The lazier way to manage everything docker + https://github.com/jesseduffield/lazydocker + Go + #00ADD8 + 37,793 + 1,208 + 96 - https://avatars.githubusercontent.com/u/2025715?s=40&v=4 - jamesread - https://github.com/jamesread + https://avatars.githubusercontent.com/u/8456633?s=40&v=4 + jesseduffield + https://github.com/jesseduffield - https://avatars.githubusercontent.com/u/100117126?s=40&v=4 - nevo-david - https://github.com/nevo-david + https://avatars.githubusercontent.com/u/9713907?s=40&v=4 + dawidd6 + https://github.com/dawidd6 - https://avatars.githubusercontent.com/u/36304232?s=40&v=4 - garrrikkotua - https://github.com/garrrikkotua + https://avatars.githubusercontent.com/u/15320763?s=40&v=4 + mjarkk + https://github.com/mjarkk - https://avatars.githubusercontent.com/u/2481437?s=40&v=4 - jonathan-irvin - https://github.com/jonathan-irvin + https://avatars.githubusercontent.com/u/15654980?s=40&v=4 + qdm12 + https://github.com/qdm12 - https://avatars.githubusercontent.com/u/59761933?s=40&v=4 - Ansh-Sonkusare - https://github.com/Ansh-Sonkusare + https://avatars.githubusercontent.com/u/1804568?s=40&v=4 + thaJeztah + https://github.com/thaJeztah - EbookFoundation/free-programming-books - https://github.com/EbookFoundation/free-programming-books - 📚 Freely available programming books - https://github.com/EbookFoundation/free-programming-books - HTML - #e34c26 - 339,384 - 61,775 - 220 + bluesky-social/social-app + https://github.com/bluesky-social/social-app + The Bluesky Social application for Web, iOS, and Android + https://github.com/bluesky-social/social-app + TypeScript + #3178c6 + 12,524 + 1,600 + 350 - https://avatars.githubusercontent.com/u/2022803?s=40&v=4 - vhf - https://github.com/vhf + https://avatars.githubusercontent.com/u/1270099?s=40&v=4 + pfrazee + https://github.com/pfrazee - https://avatars.githubusercontent.com/u/926513?s=40&v=4 - eshellman - https://github.com/eshellman + https://avatars.githubusercontent.com/u/4732330?s=40&v=4 + estrattonbailey + https://github.com/estrattonbailey - https://avatars.githubusercontent.com/u/3125580?s=40&v=4 - davorpa - https://github.com/davorpa + https://avatars.githubusercontent.com/u/153161762?s=40&v=4 + haileyok + https://github.com/haileyok - https://avatars.githubusercontent.com/u/2694949?s=40&v=4 - MHM5000 - https://github.com/MHM5000 + https://avatars.githubusercontent.com/u/810438?s=40&v=4 + gaearon + https://github.com/gaearon - https://avatars.githubusercontent.com/u/10100659?s=40&v=4 - kadhirash - https://github.com/kadhirash + https://avatars.githubusercontent.com/u/10959775?s=40&v=4 + mozzius + https://github.com/mozzius - krahets/hello-algo - https://github.com/krahets/hello-algo - 《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing - https://github.com/krahets/hello-algo - Java - #b07219 - 100,063 - 12,583 - 123 + abi/screenshot-to-code + https://github.com/abi/screenshot-to-code + Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue) + https://github.com/abi/screenshot-to-code + Python + #3572A5 + 61,358 + 7,505 + 492 - https://avatars.githubusercontent.com/u/26993056?s=40&v=4 - krahets - https://github.com/krahets - - - https://avatars.githubusercontent.com/u/24556310?s=40&v=4 - justin-tse - https://github.com/justin-tse + https://avatars.githubusercontent.com/u/23818?s=40&v=4 + abi + https://github.com/abi - https://avatars.githubusercontent.com/u/99076655?s=40&v=4 - coderonion - https://github.com/coderonion + https://avatars.githubusercontent.com/u/53188310?s=40&v=4 + clean99 + https://github.com/clean99 - https://avatars.githubusercontent.com/u/3739017?s=40&v=4 - nuomi1 - https://github.com/nuomi1 + https://avatars.githubusercontent.com/u/59241904?s=40&v=4 + kachbit + https://github.com/kachbit - https://avatars.githubusercontent.com/u/39959756?s=40&v=4 - Gonglja - https://github.com/Gonglja + https://avatars.githubusercontent.com/u/6828924?s=40&v=4 + vagusX + https://github.com/vagusX - shader-slang/slang - https://github.com/shader-slang/slang - Making it easier to work with shaders - https://github.com/shader-slang/slang - C++ - #f34b7d - 2,825 - 207 - 139 + termux/termux-app + https://github.com/termux/termux-app + Termux - a terminal emulator application for Android OS extendible by variety of packages. + https://github.com/termux/termux-app + Java + #b07219 + 36,726 + 3,857 + 28 - https://avatars.githubusercontent.com/u/2652293?s=40&v=4 - csyonghe - https://github.com/csyonghe + https://avatars.githubusercontent.com/u/31106828?s=40&v=4 + agnostic-apollo + https://github.com/agnostic-apollo - https://avatars.githubusercontent.com/u/14912361?s=40&v=4 - jsmall-zzz - https://github.com/jsmall-zzz + https://avatars.githubusercontent.com/u/277251?s=40&v=4 + fornwall + https://github.com/fornwall - https://avatars.githubusercontent.com/u/10618364?s=40&v=4 - tangent-vector - https://github.com/tangent-vector + https://avatars.githubusercontent.com/u/18900601?s=40&v=4 + Grimler91 + https://github.com/Grimler91 - https://avatars.githubusercontent.com/u/857308?s=40&v=4 - expipiplus1 - https://github.com/expipiplus1 + https://avatars.githubusercontent.com/u/3536343?s=40&v=4 + maoabc + https://github.com/maoabc - https://avatars.githubusercontent.com/u/31557731?s=40&v=4 - saipraveenb25 - https://github.com/saipraveenb25 + https://avatars.githubusercontent.com/u/601966?s=40&v=4 + trygveaa + https://github.com/trygveaa - tmc/langchaingo - https://github.com/tmc/langchaingo - LangChain for Go, the easiest way to write LLM-based programs in Go - https://github.com/tmc/langchaingo - Go - #00ADD8 - 4,945 - 638 - 11 + black-forest-labs/flux + https://github.com/black-forest-labs/flux + Official inference repo for FLUX.1 models + https://github.com/black-forest-labs/flux + Python + #3572A5 + 17,485 + 1,237 + 770 - https://avatars.githubusercontent.com/u/3977?s=40&v=4 - tmc - https://github.com/tmc + https://avatars.githubusercontent.com/u/37085732?s=40&v=4 + timudk + https://github.com/timudk - https://avatars.githubusercontent.com/u/61425964?s=40&v=4 - FluffyKebab - https://github.com/FluffyKebab + https://avatars.githubusercontent.com/u/22528125?s=40&v=4 + jenuk + https://github.com/jenuk - https://avatars.githubusercontent.com/u/1130906?s=40&v=4 - eliben - https://github.com/eliben + https://avatars.githubusercontent.com/u/788417?s=40&v=4 + apolinario + https://github.com/apolinario - https://avatars.githubusercontent.com/u/63959220?s=40&v=4 - Abraxas-365 - https://github.com/Abraxas-365 + https://avatars.githubusercontent.com/u/2289?s=40&v=4 + zeke + https://github.com/zeke - https://avatars.githubusercontent.com/u/92272038?s=40&v=4 - zivkovicn - https://github.com/zivkovicn + https://avatars.githubusercontent.com/u/1416801?s=40&v=4 + thibautRe + https://github.com/thibautRe - pingcap/autoflow - https://github.com/pingcap/autoflow - pingcap/autoflow is a Graph RAG based and conversational knowledge base tool built with TiDB Serverless Vector Storage. Demo: https://tidb.ai - https://github.com/pingcap/autoflow - TypeScript - #3178c6 - 1,462 - 70 - 194 + OpenInterpreter/open-interpreter + https://github.com/OpenInterpreter/open-interpreter + A natural language interface for computers + https://github.com/OpenInterpreter/open-interpreter + Python + #3572A5 + 55,862 + 4,843 + 107 - https://avatars.githubusercontent.com/u/12960671?s=40&v=4 - 634750802 - https://github.com/634750802 + https://avatars.githubusercontent.com/u/63927363?s=40&v=4 + KillianLucas + https://github.com/KillianLucas - https://avatars.githubusercontent.com/u/10102304?s=40&v=4 - wd0517 - https://github.com/wd0517 + https://avatars.githubusercontent.com/u/4324290?s=40&v=4 + Notnaton + https://github.com/Notnaton - https://avatars.githubusercontent.com/u/10701973?s=40&v=4 - IANTHEREAL - https://github.com/IANTHEREAL + https://avatars.githubusercontent.com/u/63524998?s=40&v=4 + MikeBirdTech + https://github.com/MikeBirdTech - https://avatars.githubusercontent.com/u/1237528?s=40&v=4 - sykp241095 - https://github.com/sykp241095 + https://avatars.githubusercontent.com/u/6693226?s=40&v=4 + CyanideByte + https://github.com/CyanideByte - https://avatars.githubusercontent.com/u/5086433?s=40&v=4 - Mini256 - https://github.com/Mini256 + https://avatars.githubusercontent.com/u/1667415?s=40&v=4 + ericrallen + https://github.com/ericrallen - surrealdb/surrealdb - https://github.com/surrealdb/surrealdb - A scalable, distributed, collaborative, document-graph database, for the realtime web - https://github.com/surrealdb/surrealdb - Rust - #dea584 - 27,875 - 912 - 27 + public-apis/public-apis + https://github.com/public-apis/public-apis + A collective list of free APIs + https://github.com/public-apis/public-apis + Python + #3572A5 + 318,332 + 33,915 + 106 - https://avatars.githubusercontent.com/u/1588290?s=40&v=4 - tobiemh - https://github.com/tobiemh + https://avatars.githubusercontent.com/u/50463866?s=40&v=4 + matheusfelipeog + https://github.com/matheusfelipeog - https://avatars.githubusercontent.com/u/4958190?s=40&v=4 - rushmorem - https://github.com/rushmorem + https://avatars.githubusercontent.com/u/15134885?s=40&v=4 + davemachado + https://github.com/davemachado - https://avatars.githubusercontent.com/u/1282276?s=40&v=4 - emmanuel-keller - https://github.com/emmanuel-keller + https://avatars.githubusercontent.com/u/36134699?s=40&v=4 + pawelborkar + https://github.com/pawelborkar - https://avatars.githubusercontent.com/u/7524414?s=40&v=4 - DelSkayn - https://github.com/DelSkayn + https://avatars.githubusercontent.com/u/246103?s=40&v=4 + jbrooksuk + https://github.com/jbrooksuk - https://avatars.githubusercontent.com/u/17960250?s=40&v=4 - kearfy - https://github.com/kearfy + https://avatars.githubusercontent.com/u/1914165?s=40&v=4 + marekdano + https://github.com/marekdano - xmrig/xmrig - https://github.com/xmrig/xmrig - RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark - https://github.com/xmrig/xmrig - C - #555555 - 8,793 - 3,462 - 5 + gitroomhq/postiz-app + https://github.com/gitroomhq/postiz-app + 📨 The ultimate social media scheduling tool, with a bunch of AI 🤖 + https://github.com/gitroomhq/postiz-app + TypeScript + #3178c6 + 11,426 + 2,325 + 354 - https://avatars.githubusercontent.com/u/27528955?s=40&v=4 - xmrig - https://github.com/xmrig + https://avatars.githubusercontent.com/u/2025715?s=40&v=4 + jamesread + https://github.com/jamesread - https://avatars.githubusercontent.com/u/15806605?s=40&v=4 - SChernykh - https://github.com/SChernykh + https://avatars.githubusercontent.com/u/100117126?s=40&v=4 + nevo-david + https://github.com/nevo-david - https://avatars.githubusercontent.com/u/2391234?s=40&v=4 - Spudz76 - https://github.com/Spudz76 + https://avatars.githubusercontent.com/u/36304232?s=40&v=4 + garrrikkotua + https://github.com/garrrikkotua - https://avatars.githubusercontent.com/u/8947059?s=40&v=4 - Foudge - https://github.com/Foudge + https://avatars.githubusercontent.com/u/2481437?s=40&v=4 + jonathan-irvin + https://github.com/jonathan-irvin - https://avatars.githubusercontent.com/u/490176?s=40&v=4 - pdxwebdev - https://github.com/pdxwebdev + https://avatars.githubusercontent.com/u/59761933?s=40&v=4 + Ansh-Sonkusare + https://github.com/Ansh-Sonkusare - gunnarmorling/1brc - https://github.com/gunnarmorling/1brc - 1️⃣🐝🏎️ The One Billion Row Challenge -- A fun exploration of how quickly 1B rows from a text file can be aggregated with Java - https://github.com/gunnarmorling/1brc - Java - #b07219 - 6,436 - 1,910 - 12 + bluesky-social/atproto + https://github.com/bluesky-social/atproto + Social networking technology created by Bluesky + https://github.com/bluesky-social/atproto + TypeScript + #3178c6 + 7,121 + 509 + 114 - https://avatars.githubusercontent.com/u/28612?s=40&v=4 - gunnarmorling - https://github.com/gunnarmorling - - - https://avatars.githubusercontent.com/u/91577?s=40&v=4 - hundredwatt - https://github.com/hundredwatt + https://avatars.githubusercontent.com/u/4898225?s=40&v=4 + dholms + https://github.com/dholms - https://avatars.githubusercontent.com/u/697976?s=40&v=4 - AlexanderYastrebov - https://github.com/AlexanderYastrebov + https://avatars.githubusercontent.com/u/3150233?s=40&v=4 + devinivy + https://github.com/devinivy - https://avatars.githubusercontent.com/u/72259616?s=40&v=4 - artsiomkorzun - https://github.com/artsiomkorzun + https://avatars.githubusercontent.com/u/1270099?s=40&v=4 + pfrazee + https://github.com/pfrazee - https://avatars.githubusercontent.com/u/221014?s=40&v=4 - ebarlas - https://github.com/ebarlas + https://avatars.githubusercontent.com/u/4732330?s=40&v=4 + estrattonbailey + https://github.com/estrattonbailey - RPCS3/rpcs3 - https://github.com/RPCS3/rpcs3 - PlayStation 3 emulator and debugger - https://github.com/RPCS3/rpcs3 - C++ - #f34b7d - 15,612 - 1,923 - 3 + FortAwesome/Font-Awesome + https://github.com/FortAwesome/Font-Awesome + The iconic SVG, font, and CSS toolkit + https://github.com/FortAwesome/Font-Awesome + JavaScript + #f1e05a + 74,110 + 12,202 + 115 - https://avatars.githubusercontent.com/u/23019877?s=40&v=4 - Megamouse - https://github.com/Megamouse + https://avatars.githubusercontent.com/u/132895?s=40&v=4 + robmadole + https://github.com/robmadole - https://avatars.githubusercontent.com/u/6028184?s=40&v=4 - Nekotekina - https://github.com/Nekotekina + https://avatars.githubusercontent.com/u/556268?s=40&v=4 + tagliala + https://github.com/tagliala - https://avatars.githubusercontent.com/u/15904127?s=40&v=4 - kd-11 - https://github.com/kd-11 + https://avatars.githubusercontent.com/u/19155546?s=40&v=4 + deathnfudge + https://github.com/deathnfudge - https://avatars.githubusercontent.com/u/18193363?s=40&v=4 - elad335 - https://github.com/elad335 + https://avatars.githubusercontent.com/u/529604?s=40&v=4 + sensibleworld + https://github.com/sensibleworld - https://avatars.githubusercontent.com/u/6413475?s=40&v=4 - vlj - https://github.com/vlj + https://avatars.githubusercontent.com/u/78311368?s=40&v=4 + Aryan2727-debug + https://github.com/Aryan2727-debug - justcallmekoko/ESP32Marauder - https://github.com/justcallmekoko/ESP32Marauder - A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32 - https://github.com/justcallmekoko/ESP32Marauder + shader-slang/slang + https://github.com/shader-slang/slang + Making it easier to work with shaders + https://github.com/shader-slang/slang C++ #f34b7d - 5,983 - 640 - 8 + 2,857 + 209 + 159 - https://avatars.githubusercontent.com/u/25190487?s=40&v=4 - justcallmekoko - https://github.com/justcallmekoko + https://avatars.githubusercontent.com/u/2652293?s=40&v=4 + csyonghe + https://github.com/csyonghe - https://avatars.githubusercontent.com/u/53179565?s=40&v=4 - trisp3ar - https://github.com/trisp3ar + https://avatars.githubusercontent.com/u/14912361?s=40&v=4 + jsmall-zzz + https://github.com/jsmall-zzz - https://avatars.githubusercontent.com/u/6174563?s=40&v=4 - tracedgod - https://github.com/tracedgod + https://avatars.githubusercontent.com/u/10618364?s=40&v=4 + tangent-vector + https://github.com/tangent-vector - https://avatars.githubusercontent.com/u/49810075?s=40&v=4 - Willy-JL - https://github.com/Willy-JL + https://avatars.githubusercontent.com/u/857308?s=40&v=4 + expipiplus1 + https://github.com/expipiplus1 - https://avatars.githubusercontent.com/u/612794?s=40&v=4 - wallali - https://github.com/wallali + https://avatars.githubusercontent.com/u/31557731?s=40&v=4 + saipraveenb25 + https://github.com/saipraveenb25 diff --git a/data/daily/alloy.json b/data/daily/alloy.json index 32ff74d85a33..98ba7f056f76 100644 --- a/data/daily/alloy.json +++ b/data/daily/alloy.json @@ -2,6 +2,6 @@ "title": "GitHub Alloy Languages Daily Trending", "description": "Daily Trending of Alloy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/alloy.xml b/data/daily/alloy.xml index 9a4fd58b9028..842e575416e5 100644 --- a/data/daily/alloy.xml +++ b/data/daily/alloy.xml @@ -3,6 +3,6 @@ GitHub Alloy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Alloy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/alpine-abuild.json b/data/daily/alpine-abuild.json index e884efb69828..582a71c623e9 100644 --- a/data/daily/alpine-abuild.json +++ b/data/daily/alpine-abuild.json @@ -2,6 +2,6 @@ "title": "GitHub Alpine-abuild Languages Daily Trending", "description": "Daily Trending of Alpine-abuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/alpine-abuild.xml b/data/daily/alpine-abuild.xml index b441f4d2671d..d2b0ff9706b6 100644 --- a/data/daily/alpine-abuild.xml +++ b/data/daily/alpine-abuild.xml @@ -3,6 +3,6 @@ GitHub Alpine-abuild Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Alpine-abuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/altium-designer.json b/data/daily/altium-designer.json index 01a0a36bcb0e..cb71206e7ff1 100644 --- a/data/daily/altium-designer.json +++ b/data/daily/altium-designer.json @@ -2,6 +2,6 @@ "title": "GitHub Altium-designer Languages Daily Trending", "description": "Daily Trending of Altium-designer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/altium-designer.xml b/data/daily/altium-designer.xml index 10d61791157a..67d73b85a2ae 100644 --- a/data/daily/altium-designer.xml +++ b/data/daily/altium-designer.xml @@ -3,6 +3,6 @@ GitHub Altium-designer Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Altium-designer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ampl.json b/data/daily/ampl.json index 50762ae6eb4c..413d451e0399 100644 --- a/data/daily/ampl.json +++ b/data/daily/ampl.json @@ -2,6 +2,6 @@ "title": "GitHub Ampl Languages Daily Trending", "description": "Daily Trending of Ampl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ampl.xml b/data/daily/ampl.xml index 93e6536c76af..a340aa520915 100644 --- a/data/daily/ampl.xml +++ b/data/daily/ampl.xml @@ -3,6 +3,6 @@ GitHub Ampl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ampl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/angelscript.json b/data/daily/angelscript.json index a6ae05f0dced..23360d0e34d7 100644 --- a/data/daily/angelscript.json +++ b/data/daily/angelscript.json @@ -2,7 +2,7 @@ "title": "GitHub Angelscript Languages Daily Trending", "description": "Daily Trending of Angelscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "chen310/BilibiliPotPlayer", @@ -10,7 +10,7 @@ "description": "PotPlayer 哔哩哔哩插件:可以直接在 PotPlayer 中播放哔哩哔哩中的视频、直播和音乐", "language": "AngelScript", "languageColor": "#C7D7DC", - "stars": "1,444", + "stars": "1,449", "forks": "63", "addStars": "13", "contributors": [ diff --git a/data/daily/angelscript.xml b/data/daily/angelscript.xml index ddd6c7ab020c..b97aeae031b8 100644 --- a/data/daily/angelscript.xml +++ b/data/daily/angelscript.xml @@ -3,7 +3,7 @@ GitHub Angelscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Angelscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT chen310/BilibiliPotPlayer https://github.com/chen310/BilibiliPotPlayer @@ -11,7 +11,7 @@ https://github.com/chen310/BilibiliPotPlayer AngelScript #C7D7DC - 1,444 + 1,449 63 13 diff --git a/data/daily/ant-build-system.json b/data/daily/ant-build-system.json index e53b0ef52400..b95da2569d9c 100644 --- a/data/daily/ant-build-system.json +++ b/data/daily/ant-build-system.json @@ -2,6 +2,6 @@ "title": "GitHub Ant-build-system Languages Daily Trending", "description": "Daily Trending of Ant-build-system Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ant-build-system.xml b/data/daily/ant-build-system.xml index 8ef777ad1915..56d70fde7242 100644 --- a/data/daily/ant-build-system.xml +++ b/data/daily/ant-build-system.xml @@ -3,6 +3,6 @@ GitHub Ant-build-system Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ant-build-system Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/antlers.json b/data/daily/antlers.json index 20fd83cf8612..ae04ca1a84a4 100644 --- a/data/daily/antlers.json +++ b/data/daily/antlers.json @@ -2,6 +2,6 @@ "title": "GitHub Antlers Languages Daily Trending", "description": "Daily Trending of Antlers Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/antlers.xml b/data/daily/antlers.xml index 5d64d94fcf71..2eaf286f3c50 100644 --- a/data/daily/antlers.xml +++ b/data/daily/antlers.xml @@ -3,6 +3,6 @@ GitHub Antlers Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Antlers Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/antlr.json b/data/daily/antlr.json index e795ba92b98f..533e1355a9c5 100644 --- a/data/daily/antlr.json +++ b/data/daily/antlr.json @@ -2,15 +2,37 @@ "title": "GitHub Antlr Languages Daily Trending", "description": "Daily Trending of Antlr Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "melin/superior-sql-parser", + "url": "https://github.com/melin/superior-sql-parser", + "description": "基于 antlr4 的多种数据库SQL解析器,获取SQL中元数据,可用于数据平台产品中的多个场景:ddl语句提取元数据、sql 权限校验、表级血缘、sql语法校验等场景。支持spark、flink、gauss、starrocks、Oracle、MYSQL、Postgresql,sqlserver,、db2等", + "language": "ANTLR", + "languageColor": "#9DC3FF", + "stars": "301", + "forks": "103", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1145830?s=40&v=4", + "name": "melin", + "url": "https://github.com/melin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8024127?s=40&v=4", + "name": "leocook", + "url": "https://github.com/leocook" + } + ] + }, { "title": "antlr/grammars-v4", "url": "https://github.com/antlr/grammars-v4", "description": "Grammars written for ANTLR v4; expectation that the grammars are free of actions.", "language": "ANTLR", "languageColor": "#9DC3FF", - "stars": "10,241", + "stars": "10,243", "forks": "3,714", "addStars": "1", "contributors": [ diff --git a/data/daily/antlr.xml b/data/daily/antlr.xml index e6f45b53cf9c..25c7c873fe74 100644 --- a/data/daily/antlr.xml +++ b/data/daily/antlr.xml @@ -3,7 +3,30 @@ GitHub Antlr Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Antlr Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + melin/superior-sql-parser + https://github.com/melin/superior-sql-parser + 基于 antlr4 的多种数据库SQL解析器,获取SQL中元数据,可用于数据平台产品中的多个场景:ddl语句提取元数据、sql 权限校验、表级血缘、sql语法校验等场景。支持spark、flink、gauss、starrocks、Oracle、MYSQL、Postgresql,sqlserver,、db2等 + https://github.com/melin/superior-sql-parser + ANTLR + #9DC3FF + 301 + 103 + 1 + + + https://avatars.githubusercontent.com/u/1145830?s=40&v=4 + melin + https://github.com/melin + + + https://avatars.githubusercontent.com/u/8024127?s=40&v=4 + leocook + https://github.com/leocook + + + antlr/grammars-v4 https://github.com/antlr/grammars-v4 @@ -11,7 +34,7 @@ https://github.com/antlr/grammars-v4 ANTLR #9DC3FF - 10,241 + 10,243 3,714 1 diff --git a/data/daily/apacheconf.json b/data/daily/apacheconf.json index 8aacbdd2bd2e..c1ad0205b1f0 100644 --- a/data/daily/apacheconf.json +++ b/data/daily/apacheconf.json @@ -2,7 +2,7 @@ "title": "GitHub Apacheconf Languages Daily Trending", "description": "Daily Trending of Apacheconf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "scm-ninja/starter-web", diff --git a/data/daily/apacheconf.xml b/data/daily/apacheconf.xml index 4b029e32fa43..2893f0887d18 100644 --- a/data/daily/apacheconf.xml +++ b/data/daily/apacheconf.xml @@ -3,7 +3,7 @@ GitHub Apacheconf Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Apacheconf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT scm-ninja/starter-web https://github.com/scm-ninja/starter-web diff --git a/data/daily/apex.json b/data/daily/apex.json index 6913e18fd9d9..a697772113f2 100644 --- a/data/daily/apex.json +++ b/data/daily/apex.json @@ -2,7 +2,7 @@ "title": "GitHub Apex Languages Daily Trending", "description": "Daily Trending of Apex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "apex-enterprise-patterns/fflib-apex-mocks", @@ -11,7 +11,7 @@ "language": "Apex", "languageColor": "#1797c0", "stars": "423", - "forks": "214", + "forks": "215", "addStars": "0", "contributors": [ { diff --git a/data/daily/apex.xml b/data/daily/apex.xml index 80e4a952f513..16d27f6c7812 100644 --- a/data/daily/apex.xml +++ b/data/daily/apex.xml @@ -3,7 +3,7 @@ GitHub Apex Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Apex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT apex-enterprise-patterns/fflib-apex-mocks https://github.com/apex-enterprise-patterns/fflib-apex-mocks @@ -12,7 +12,7 @@ Apex #1797c0 423 - 214 + 215 0 diff --git a/data/daily/api-blueprint.json b/data/daily/api-blueprint.json index 7498e8400e17..f69779862171 100644 --- a/data/daily/api-blueprint.json +++ b/data/daily/api-blueprint.json @@ -2,6 +2,6 @@ "title": "GitHub Api-blueprint Languages Daily Trending", "description": "Daily Trending of Api-blueprint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/api-blueprint.xml b/data/daily/api-blueprint.xml index 8973b4666cad..f767a799d644 100644 --- a/data/daily/api-blueprint.xml +++ b/data/daily/api-blueprint.xml @@ -3,6 +3,6 @@ GitHub Api-blueprint Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Api-blueprint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/apl.json b/data/daily/apl.json index 6cdbae70efd0..8fc063791e37 100644 --- a/data/daily/apl.json +++ b/data/daily/apl.json @@ -2,6 +2,6 @@ "title": "GitHub Apl Languages Daily Trending", "description": "Daily Trending of Apl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/apl.xml b/data/daily/apl.xml index c454e534dec7..237964fdb126 100644 --- a/data/daily/apl.xml +++ b/data/daily/apl.xml @@ -3,6 +3,6 @@ GitHub Apl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Apl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/apollo-guidance-computer.json b/data/daily/apollo-guidance-computer.json index e2c154c004dd..b85f2c90a05a 100644 --- a/data/daily/apollo-guidance-computer.json +++ b/data/daily/apollo-guidance-computer.json @@ -2,6 +2,6 @@ "title": "GitHub Apollo-guidance-computer Languages Daily Trending", "description": "Daily Trending of Apollo-guidance-computer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/apollo-guidance-computer.xml b/data/daily/apollo-guidance-computer.xml index 3ee9dc520675..5edc852c5c81 100644 --- a/data/daily/apollo-guidance-computer.xml +++ b/data/daily/apollo-guidance-computer.xml @@ -3,6 +3,6 @@ GitHub Apollo-guidance-computer Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Apollo-guidance-computer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/applescript.json b/data/daily/applescript.json index 27b7f8f1e35d..715e8e81f854 100644 --- a/data/daily/applescript.json +++ b/data/daily/applescript.json @@ -2,6 +2,6 @@ "title": "GitHub Applescript Languages Daily Trending", "description": "Daily Trending of Applescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/applescript.xml b/data/daily/applescript.xml index 0820c01f0e92..6aa28d27c0bc 100644 --- a/data/daily/applescript.xml +++ b/data/daily/applescript.xml @@ -3,6 +3,6 @@ GitHub Applescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Applescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/arc.json b/data/daily/arc.json index 45180a0afeb0..ba3f333b3297 100644 --- a/data/daily/arc.json +++ b/data/daily/arc.json @@ -2,6 +2,6 @@ "title": "GitHub Arc Languages Daily Trending", "description": "Daily Trending of Arc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/arc.xml b/data/daily/arc.xml index 2023afe3d0c1..1090724e9ac2 100644 --- a/data/daily/arc.xml +++ b/data/daily/arc.xml @@ -3,6 +3,6 @@ GitHub Arc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Arc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/asciidoc.json b/data/daily/asciidoc.json index 4fbce760d287..bc0e233beb11 100644 --- a/data/daily/asciidoc.json +++ b/data/daily/asciidoc.json @@ -2,6 +2,6 @@ "title": "GitHub Asciidoc Languages Daily Trending", "description": "Daily Trending of Asciidoc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/asciidoc.xml b/data/daily/asciidoc.xml index 30e016570a3d..8b943f69c442 100644 --- a/data/daily/asciidoc.xml +++ b/data/daily/asciidoc.xml @@ -3,6 +3,6 @@ GitHub Asciidoc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Asciidoc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/asl.json b/data/daily/asl.json index f7799233bf81..86c2f254a4b6 100644 --- a/data/daily/asl.json +++ b/data/daily/asl.json @@ -2,7 +2,7 @@ "title": "GitHub Asl Languages Daily Trending", "description": "Daily Trending of Asl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "dortania/Getting-Started-With-ACPI", @@ -10,7 +10,7 @@ "description": "Repo for Getting Started With ACPI", "language": "ASL", "languageColor": "#ccc", - "stars": "608", + "stars": "609", "forks": "480", "addStars": "0", "contributors": [ @@ -41,43 +41,6 @@ } ] }, - { - "title": "edk2-porting/edk2-msm", - "url": "https://github.com/edk2-porting/edk2-msm", - "description": "Broken edk2 port for Qualcomm platforms xD", - "language": "ASL", - "languageColor": "#ccc", - "stars": "2,333", - "forks": "436", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17036722?s=40&v=4", - "name": "strongtz", - "url": "https://github.com/strongtz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34458473?s=40&v=4", - "name": "BigfootACA", - "url": "https://github.com/BigfootACA" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66907230?s=40&v=4", - "name": "qaz6750", - "url": "https://github.com/qaz6750" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20746884?s=40&v=4", - "name": "MollySophia", - "url": "https://github.com/MollySophia" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34100820?s=40&v=4", - "name": "TAOcroatia", - "url": "https://github.com/TAOcroatia" - } - ] - }, { "title": "acpica/acpica", "url": "https://github.com/acpica/acpica", @@ -114,6 +77,43 @@ "url": "https://github.com/ColinIanKing" } ] + }, + { + "title": "edk2-porting/edk2-msm", + "url": "https://github.com/edk2-porting/edk2-msm", + "description": "Broken edk2 port for Qualcomm platforms xD", + "language": "ASL", + "languageColor": "#ccc", + "stars": "2,333", + "forks": "436", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/17036722?s=40&v=4", + "name": "strongtz", + "url": "https://github.com/strongtz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34458473?s=40&v=4", + "name": "BigfootACA", + "url": "https://github.com/BigfootACA" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66907230?s=40&v=4", + "name": "qaz6750", + "url": "https://github.com/qaz6750" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20746884?s=40&v=4", + "name": "MollySophia", + "url": "https://github.com/MollySophia" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34100820?s=40&v=4", + "name": "TAOcroatia", + "url": "https://github.com/TAOcroatia" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/asl.xml b/data/daily/asl.xml index 9aac76f1cbc5..91e0c743733c 100644 --- a/data/daily/asl.xml +++ b/data/daily/asl.xml @@ -3,7 +3,7 @@ GitHub Asl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Asl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT dortania/Getting-Started-With-ACPI https://github.com/dortania/Getting-Started-With-ACPI @@ -11,7 +11,7 @@ https://github.com/dortania/Getting-Started-With-ACPI ASL #ccc - 608 + 609 480 0 @@ -42,44 +42,6 @@ - - edk2-porting/edk2-msm - https://github.com/edk2-porting/edk2-msm - Broken edk2 port for Qualcomm platforms xD - https://github.com/edk2-porting/edk2-msm - ASL - #ccc - 2,333 - 436 - 0 - - - https://avatars.githubusercontent.com/u/17036722?s=40&v=4 - strongtz - https://github.com/strongtz - - - https://avatars.githubusercontent.com/u/34458473?s=40&v=4 - BigfootACA - https://github.com/BigfootACA - - - https://avatars.githubusercontent.com/u/66907230?s=40&v=4 - qaz6750 - https://github.com/qaz6750 - - - https://avatars.githubusercontent.com/u/20746884?s=40&v=4 - MollySophia - https://github.com/MollySophia - - - https://avatars.githubusercontent.com/u/34100820?s=40&v=4 - TAOcroatia - https://github.com/TAOcroatia - - - acpica/acpica https://github.com/acpica/acpica @@ -118,5 +80,43 @@ + + edk2-porting/edk2-msm + https://github.com/edk2-porting/edk2-msm + Broken edk2 port for Qualcomm platforms xD + https://github.com/edk2-porting/edk2-msm + ASL + #ccc + 2,333 + 436 + 0 + + + https://avatars.githubusercontent.com/u/17036722?s=40&v=4 + strongtz + https://github.com/strongtz + + + https://avatars.githubusercontent.com/u/34458473?s=40&v=4 + BigfootACA + https://github.com/BigfootACA + + + https://avatars.githubusercontent.com/u/66907230?s=40&v=4 + qaz6750 + https://github.com/qaz6750 + + + https://avatars.githubusercontent.com/u/20746884?s=40&v=4 + MollySophia + https://github.com/MollySophia + + + https://avatars.githubusercontent.com/u/34100820?s=40&v=4 + TAOcroatia + https://github.com/TAOcroatia + + + \ No newline at end of file diff --git a/data/daily/asn.1.json b/data/daily/asn.1.json index 29c4dee8d85c..8e44bf38b82c 100644 --- a/data/daily/asn.1.json +++ b/data/daily/asn.1.json @@ -2,6 +2,6 @@ "title": "GitHub Asn.1 Languages Daily Trending", "description": "Daily Trending of Asn.1 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/asn.1.xml b/data/daily/asn.1.xml index 6d1e4cd78e75..50076defd81a 100644 --- a/data/daily/asn.1.xml +++ b/data/daily/asn.1.xml @@ -3,6 +3,6 @@ GitHub Asn.1 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Asn.1 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/asp.net.json b/data/daily/asp.net.json index 7b03563a3346..53a103e396bc 100644 --- a/data/daily/asp.net.json +++ b/data/daily/asp.net.json @@ -2,6 +2,6 @@ "title": "GitHub Asp.net Languages Daily Trending", "description": "Daily Trending of Asp.net Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/asp.net.xml b/data/daily/asp.net.xml index ceae5f364385..172c6fc3b462 100644 --- a/data/daily/asp.net.xml +++ b/data/daily/asp.net.xml @@ -3,6 +3,6 @@ GitHub Asp.net Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Asp.net Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/aspectj.json b/data/daily/aspectj.json index 954fc08454b2..23764372e5de 100644 --- a/data/daily/aspectj.json +++ b/data/daily/aspectj.json @@ -2,6 +2,6 @@ "title": "GitHub Aspectj Languages Daily Trending", "description": "Daily Trending of Aspectj Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/aspectj.xml b/data/daily/aspectj.xml index e81eb4d3bba1..b1563f06b4dd 100644 --- a/data/daily/aspectj.xml +++ b/data/daily/aspectj.xml @@ -3,6 +3,6 @@ GitHub Aspectj Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Aspectj Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/assembly.json b/data/daily/assembly.json index fcffb5a64058..216cb6929fbc 100644 --- a/data/daily/assembly.json +++ b/data/daily/assembly.json @@ -2,7 +2,7 @@ "title": "GitHub Assembly Languages Daily Trending", "description": "Daily Trending of Assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "chrislgarry/Apollo-11", @@ -10,7 +10,7 @@ "description": "Original Apollo 11 Guidance Computer (AGC) source code for the command and lunar modules.", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "59,608", + "stars": "59,612", "forks": "7,041", "addStars": "43", "contributors": [ @@ -42,135 +42,150 @@ ] }, { - "title": "pret/pokecrystal", - "url": "https://github.com/pret/pokecrystal", - "description": "Disassembly of Pokémon Crystal", + "title": "kanaka/mal", + "url": "https://github.com/kanaka/mal", + "description": "mal - Make a Lisp", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "2,107", - "forks": "810", - "addStars": "0", + "stars": "10,096", + "forks": "2,566", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", - "name": "yenatch", - "url": "https://github.com/yenatch" + "avatar": "https://avatars.githubusercontent.com/u/70127?s=40&v=4", + "name": "kanaka", + "url": "https://github.com/kanaka" }, { - "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", - "name": "Rangi42", - "url": "https://github.com/Rangi42" + "avatar": "https://avatars.githubusercontent.com/u/631010?s=40&v=4", + "name": "bjh21", + "url": "https://github.com/bjh21" }, { - "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", - "name": "kanzure", - "url": "https://github.com/kanzure" + "avatar": "https://avatars.githubusercontent.com/u/14112787?s=40&v=4", + "name": "asarhaddon", + "url": "https://github.com/asarhaddon" }, { - "avatar": "https://avatars.githubusercontent.com/u/1761576?s=40&v=4", - "name": "roukaour", - "url": "https://github.com/roukaour" + "avatar": "https://avatars.githubusercontent.com/u/9211?s=40&v=4", + "name": "dubek", + "url": "https://github.com/dubek" }, { - "avatar": "https://avatars.githubusercontent.com/u/1895773?s=40&v=4", - "name": "PikalaxALT", - "url": "https://github.com/PikalaxALT" + "avatar": "https://avatars.githubusercontent.com/u/3588994?s=40&v=4", + "name": "wasamasa", + "url": "https://github.com/wasamasa" } ] }, { - "title": "cemu-project/cemu_graphic_packs", - "url": "https://github.com/cemu-project/cemu_graphic_packs", - "description": "Community Graphic Packs for Cemu", + "title": "intel/isa-l_crypto", + "url": "https://github.com/intel/isa-l_crypto", + "description": "", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "1,321", - "forks": "586", + "stars": "275", + "forks": "80", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15317421?s=40&v=4", - "name": "ActualMandM", - "url": "https://github.com/ActualMandM" + "avatar": "https://avatars.githubusercontent.com/u/22950461?s=40&v=4", + "name": "pablodelara", + "url": "https://github.com/pablodelara" }, { - "avatar": "https://avatars.githubusercontent.com/u/25286924?s=40&v=4", - "name": "getdls", - "url": "https://github.com/getdls" + "avatar": "https://avatars.githubusercontent.com/u/2711421?s=40&v=4", + "name": "gbtucker", + "url": "https://github.com/gbtucker" }, { - "avatar": "https://avatars.githubusercontent.com/u/26669564?s=40&v=4", - "name": "Crementif", - "url": "https://github.com/Crementif" + "avatar": "https://avatars.githubusercontent.com/u/14837552?s=40&v=4", + "name": "mdcornu", + "url": "https://github.com/mdcornu" }, { - "avatar": "https://avatars.githubusercontent.com/u/1132278?s=40&v=4", - "name": "JamieeLee", - "url": "https://github.com/JamieeLee" + "avatar": "https://avatars.githubusercontent.com/u/13930406?s=40&v=4", + "name": "jessehui", + "url": "https://github.com/jessehui" }, { - "avatar": "https://avatars.githubusercontent.com/u/6061770?s=40&v=4", - "name": "alexkiri", - "url": "https://github.com/alexkiri" + "avatar": "https://avatars.githubusercontent.com/u/14796740?s=40&v=4", + "name": "dong-liuliu", + "url": "https://github.com/dong-liuliu" } ] }, { - "title": "corkami/pocs", - "url": "https://github.com/corkami/pocs", - "description": "Proof of Concepts (PE, PDF...)", + "title": "boostorg/context", + "url": "https://github.com/boostorg/context", + "description": "", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "1,477", - "forks": "198", + "stars": "305", + "forks": "150", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5624411?s=40&v=4", - "name": "angea", - "url": "https://github.com/angea" + "avatar": "https://avatars.githubusercontent.com/u/914496?s=40&v=4", + "name": "olk", + "url": "https://github.com/olk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3112415?s=40&v=4", + "name": "eldiener", + "url": "https://github.com/eldiener" }, { - "avatar": "https://avatars.githubusercontent.com/u/60773?s=40&v=4", - "name": "doegox", - "url": "https://github.com/doegox" + "avatar": "https://avatars.githubusercontent.com/u/2998253?s=40&v=4", + "name": "grafikrobot", + "url": "https://github.com/grafikrobot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2743474?s=40&v=4", + "name": "Kojoley", + "url": "https://github.com/Kojoley" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3116174?s=40&v=4", + "name": "pdimov", + "url": "https://github.com/pdimov" } ] }, { - "title": "intel/isa-l_crypto", - "url": "https://github.com/intel/isa-l_crypto", - "description": "", + "title": "hiteshchoudhary/open-source-contribution", + "url": "https://github.com/hiteshchoudhary/open-source-contribution", + "description": "A repo to contribute in open source via README only. A dream repo for open source beginner", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "275", - "forks": "80", + "stars": "368", + "forks": "694", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/22950461?s=40&v=4", - "name": "pablodelara", - "url": "https://github.com/pablodelara" + "avatar": "https://avatars.githubusercontent.com/u/11613311?s=40&v=4", + "name": "hiteshchoudhary", + "url": "https://github.com/hiteshchoudhary" }, { - "avatar": "https://avatars.githubusercontent.com/u/2711421?s=40&v=4", - "name": "gbtucker", - "url": "https://github.com/gbtucker" + "avatar": "https://avatars.githubusercontent.com/u/96916559?s=40&v=4", + "name": "devHksingh", + "url": "https://github.com/devHksingh" }, { - "avatar": "https://avatars.githubusercontent.com/u/14837552?s=40&v=4", - "name": "mdcornu", - "url": "https://github.com/mdcornu" + "avatar": "https://avatars.githubusercontent.com/u/110806514?s=40&v=4", + "name": "insshubh", + "url": "https://github.com/insshubh" }, { - "avatar": "https://avatars.githubusercontent.com/u/13930406?s=40&v=4", - "name": "jessehui", - "url": "https://github.com/jessehui" + "avatar": "https://avatars.githubusercontent.com/u/67459148?s=40&v=4", + "name": "lav1shkumar", + "url": "https://github.com/lav1shkumar" }, { - "avatar": "https://avatars.githubusercontent.com/u/14796740?s=40&v=4", - "name": "dong-liuliu", - "url": "https://github.com/dong-liuliu" + "avatar": "https://avatars.githubusercontent.com/u/84187671?s=40&v=4", + "name": "You218", + "url": "https://github.com/You218" } ] }, @@ -212,76 +227,76 @@ ] }, { - "title": "briansmith/ring", - "url": "https://github.com/briansmith/ring", - "description": "Safe, fast, small crypto using Rust", + "title": "Rangi42/polishedcrystal", + "url": "https://github.com/Rangi42/polishedcrystal", + "description": "An upgrade to Pokémon Crystal. Brings features and content up to date, and adds some original content.", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "3,766", - "forks": "708", - "addStars": "1", + "stars": "1,063", + "forks": "206", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/109690?s=40&v=4", - "name": "davidben", - "url": "https://github.com/davidben" + "avatar": "https://avatars.githubusercontent.com/u/1761576?s=40&v=4", + "name": "roukaour", + "url": "https://github.com/roukaour" }, { - "avatar": "https://avatars.githubusercontent.com/u/16816?s=40&v=4", - "name": "briansmith", - "url": "https://github.com/briansmith" + "avatar": "https://avatars.githubusercontent.com/u/5430027?s=40&v=4", + "name": "FredrIQ", + "url": "https://github.com/FredrIQ" }, { - "avatar": "https://avatars.githubusercontent.com/u/21203?s=40&v=4", - "name": "agl", - "url": "https://github.com/agl" + "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", + "name": "yenatch", + "url": "https://github.com/yenatch" }, { - "avatar": "https://avatars.githubusercontent.com/u/545707?s=40&v=4", - "name": "dvorak42", - "url": "https://github.com/dvorak42" + "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", + "name": "Rangi42", + "url": "https://github.com/Rangi42" }, { - "avatar": "https://avatars.githubusercontent.com/u/653608?s=40&v=4", - "name": "nharper", - "url": "https://github.com/nharper" + "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", + "name": "kanzure", + "url": "https://github.com/kanzure" } ] }, { - "title": "boostorg/context", - "url": "https://github.com/boostorg/context", - "description": "", + "title": "below/HelloSilicon", + "url": "https://github.com/below/HelloSilicon", + "description": "An introduction to ARM64 assembly on Apple Silicon Macs", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "305", - "forks": "150", - "addStars": "0", + "stars": "4,405", + "forks": "292", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/914496?s=40&v=4", - "name": "olk", - "url": "https://github.com/olk" + "avatar": "https://avatars.githubusercontent.com/u/26421?s=40&v=4", + "name": "below", + "url": "https://github.com/below" }, { - "avatar": "https://avatars.githubusercontent.com/u/3112415?s=40&v=4", - "name": "eldiener", - "url": "https://github.com/eldiener" + "avatar": "https://avatars.githubusercontent.com/u/608139?s=40&v=4", + "name": "gredman", + "url": "https://github.com/gredman" }, { - "avatar": "https://avatars.githubusercontent.com/u/2998253?s=40&v=4", - "name": "grafikrobot", - "url": "https://github.com/grafikrobot" + "avatar": "https://avatars.githubusercontent.com/u/77195477?s=40&v=4", + "name": "rosshjb", + "url": "https://github.com/rosshjb" }, { - "avatar": "https://avatars.githubusercontent.com/u/2743474?s=40&v=4", - "name": "Kojoley", - "url": "https://github.com/Kojoley" + "avatar": "https://avatars.githubusercontent.com/u/1093186?s=40&v=4", + "name": "m-schmidt", + "url": "https://github.com/m-schmidt" }, { - "avatar": "https://avatars.githubusercontent.com/u/3116174?s=40&v=4", - "name": "pdimov", - "url": "https://github.com/pdimov" + "avatar": "https://avatars.githubusercontent.com/u/69727?s=40&v=4", + "name": "mstorsjo", + "url": "https://github.com/mstorsjo" } ] }, @@ -323,76 +338,76 @@ ] }, { - "title": "Rangi42/polishedcrystal", - "url": "https://github.com/Rangi42/polishedcrystal", - "description": "An upgrade to Pokémon Crystal. Brings features and content up to date, and adds some original content.", + "title": "bitdump/BLHeli", + "url": "https://github.com/bitdump/BLHeli", + "description": "BLHeli for brushless ESC firmware", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "1,063", - "forks": "206", + "stars": "1,975", + "forks": "1,093", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1761576?s=40&v=4", - "name": "roukaour", - "url": "https://github.com/roukaour" + "avatar": "https://avatars.githubusercontent.com/u/1584497?s=40&v=4", + "name": "sskaug", + "url": "https://github.com/sskaug" }, { - "avatar": "https://avatars.githubusercontent.com/u/5430027?s=40&v=4", - "name": "FredrIQ", - "url": "https://github.com/FredrIQ" + "avatar": "https://avatars.githubusercontent.com/u/1628451?s=40&v=4", + "name": "4712", + "url": "https://github.com/4712" }, { - "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", - "name": "yenatch", - "url": "https://github.com/yenatch" + "avatar": "https://avatars.githubusercontent.com/u/4254701?s=40&v=4", + "name": "Nick-HZ", + "url": "https://github.com/Nick-HZ" }, { - "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", - "name": "Rangi42", - "url": "https://github.com/Rangi42" + "avatar": "https://avatars.githubusercontent.com/u/9960403?s=40&v=4", + "name": "JazzMaverick", + "url": "https://github.com/JazzMaverick" }, { - "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", - "name": "kanzure", - "url": "https://github.com/kanzure" + "avatar": "https://avatars.githubusercontent.com/u/55472?s=40&v=4", + "name": "adamhope", + "url": "https://github.com/adamhope" } ] }, { - "title": "netwide-assembler/nasm", - "url": "https://github.com/netwide-assembler/nasm", - "description": "A cross-platform x86 assembler with an Intel-like syntax", + "title": "giaf/blasfeo", + "url": "https://github.com/giaf/blasfeo", + "description": "Basic linear algebra subroutines for embedded optimization", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "2,467", - "forks": "301", - "addStars": "3", + "stars": "324", + "forks": "89", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/182036?s=40&v=4", - "name": "cyrillos", - "url": "https://github.com/cyrillos" + "avatar": "https://avatars.githubusercontent.com/u/1334258?s=40&v=4", + "name": "giaf", + "url": "https://github.com/giaf" }, { - "avatar": "https://avatars.githubusercontent.com/u/551802?s=40&v=4", - "name": "victorvde", - "url": "https://github.com/victorvde" + "avatar": "https://avatars.githubusercontent.com/u/746627?s=40&v=4", + "name": "tmmsartor", + "url": "https://github.com/tmmsartor" }, { - "avatar": "https://avatars.githubusercontent.com/u/6180418?s=40&v=4", - "name": "jtkukunas", - "url": "https://github.com/jtkukunas" + "avatar": "https://avatars.githubusercontent.com/u/1436556?s=40&v=4", + "name": "roversch", + "url": "https://github.com/roversch" }, { - "avatar": "https://avatars.githubusercontent.com/u/181531?s=40&v=4", - "name": "martinlindhe", - "url": "https://github.com/martinlindhe" + "avatar": "https://avatars.githubusercontent.com/u/16819325?s=40&v=4", + "name": "zanellia", + "url": "https://github.com/zanellia" }, { - "avatar": "https://avatars.githubusercontent.com/u/2977334?s=40&v=4", - "name": "changsbae", - "url": "https://github.com/changsbae" + "avatar": "https://avatars.githubusercontent.com/u/2262453?s=40&v=4", + "name": "imciner2", + "url": "https://github.com/imciner2" } ] }, @@ -433,50 +448,13 @@ } ] }, - { - "title": "0xAX/asm", - "url": "https://github.com/0xAX/asm", - "description": "Learning assembly for Linux x86_64", - "language": "Assembly", - "languageColor": "#6E4C13", - "stars": "2,308", - "forks": "305", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2699235?s=40&v=4", - "name": "0xAX", - "url": "https://github.com/0xAX" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35192450?s=40&v=4", - "name": "klaudiagrz", - "url": "https://github.com/klaudiagrz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2193051?s=40&v=4", - "name": "lushl9301", - "url": "https://github.com/lushl9301" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2778116?s=40&v=4", - "name": "e12e", - "url": "https://github.com/e12e" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/103731019?s=40&v=4", - "name": "maldron0309", - "url": "https://github.com/maldron0309" - } - ] - }, { "title": "BLAKE3-team/BLAKE3", "url": "https://github.com/BLAKE3-team/BLAKE3", "description": "the official Rust and C implementations of the BLAKE3 cryptographic hash function", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "5,223", + "stars": "5,224", "forks": "353", "addStars": "4", "contributors": [ @@ -508,113 +486,113 @@ ] }, { - "title": "bitdump/BLHeli", - "url": "https://github.com/bitdump/BLHeli", - "description": "BLHeli for brushless ESC firmware", + "title": "pret/pokered", + "url": "https://github.com/pret/pokered", + "description": "Disassembly of Pokémon Red/Blue", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "1,975", - "forks": "1,093", + "stars": "4,021", + "forks": "991", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1584497?s=40&v=4", - "name": "sskaug", - "url": "https://github.com/sskaug" + "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", + "name": "kanzure", + "url": "https://github.com/kanzure" }, { - "avatar": "https://avatars.githubusercontent.com/u/1628451?s=40&v=4", - "name": "4712", - "url": "https://github.com/4712" + "avatar": "https://avatars.githubusercontent.com/u/5231310?s=40&v=4", + "name": "dannye", + "url": "https://github.com/dannye" }, { - "avatar": "https://avatars.githubusercontent.com/u/4254701?s=40&v=4", - "name": "Nick-HZ", - "url": "https://github.com/Nick-HZ" + "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", + "name": "Rangi42", + "url": "https://github.com/Rangi42" }, { - "avatar": "https://avatars.githubusercontent.com/u/9960403?s=40&v=4", - "name": "JazzMaverick", - "url": "https://github.com/JazzMaverick" + "avatar": "https://avatars.githubusercontent.com/u/1767429?s=40&v=4", + "name": "iimarckus", + "url": "https://github.com/iimarckus" }, { - "avatar": "https://avatars.githubusercontent.com/u/55472?s=40&v=4", - "name": "adamhope", - "url": "https://github.com/adamhope" + "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", + "name": "yenatch", + "url": "https://github.com/yenatch" } ] }, { - "title": "bird-sanctuary/bluejay", - "url": "https://github.com/bird-sanctuary/bluejay", - "description": "🐦 Digital ESC firmware for controlling brushless motors in multirotors", + "title": "pret/pokecrystal", + "url": "https://github.com/pret/pokecrystal", + "description": "Disassembly of Pokémon Crystal", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "342", - "forks": "36", + "stars": "2,107", + "forks": "810", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8664776?s=40&v=4", - "name": "mathiasvr", - "url": "https://github.com/mathiasvr" + "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", + "name": "yenatch", + "url": "https://github.com/yenatch" }, { - "avatar": "https://avatars.githubusercontent.com/u/978192?s=40&v=4", - "name": "stylesuxx", - "url": "https://github.com/stylesuxx" + "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", + "name": "Rangi42", + "url": "https://github.com/Rangi42" }, { - "avatar": "https://avatars.githubusercontent.com/u/2889851?s=40&v=4", - "name": "damosvil", - "url": "https://github.com/damosvil" + "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", + "name": "kanzure", + "url": "https://github.com/kanzure" }, { - "avatar": "https://avatars.githubusercontent.com/u/177669?s=40&v=4", - "name": "hyp0dermik-code", - "url": "https://github.com/hyp0dermik-code" + "avatar": "https://avatars.githubusercontent.com/u/1761576?s=40&v=4", + "name": "roukaour", + "url": "https://github.com/roukaour" }, { - "avatar": "https://avatars.githubusercontent.com/u/265528?s=40&v=4", - "name": "saidinesh5", - "url": "https://github.com/saidinesh5" + "avatar": "https://avatars.githubusercontent.com/u/1895773?s=40&v=4", + "name": "PikalaxALT", + "url": "https://github.com/PikalaxALT" } ] }, { - "title": "pret/pokered", - "url": "https://github.com/pret/pokered", - "description": "Disassembly of Pokémon Red/Blue", + "title": "0xAX/asm", + "url": "https://github.com/0xAX/asm", + "description": "Learning assembly for Linux x86_64", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "4,021", - "forks": "991", - "addStars": "0", + "stars": "2,308", + "forks": "305", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", - "name": "kanzure", - "url": "https://github.com/kanzure" + "avatar": "https://avatars.githubusercontent.com/u/2699235?s=40&v=4", + "name": "0xAX", + "url": "https://github.com/0xAX" }, { - "avatar": "https://avatars.githubusercontent.com/u/5231310?s=40&v=4", - "name": "dannye", - "url": "https://github.com/dannye" + "avatar": "https://avatars.githubusercontent.com/u/35192450?s=40&v=4", + "name": "klaudiagrz", + "url": "https://github.com/klaudiagrz" }, { - "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", - "name": "Rangi42", - "url": "https://github.com/Rangi42" + "avatar": "https://avatars.githubusercontent.com/u/2193051?s=40&v=4", + "name": "lushl9301", + "url": "https://github.com/lushl9301" }, { - "avatar": "https://avatars.githubusercontent.com/u/1767429?s=40&v=4", - "name": "iimarckus", - "url": "https://github.com/iimarckus" + "avatar": "https://avatars.githubusercontent.com/u/2778116?s=40&v=4", + "name": "e12e", + "url": "https://github.com/e12e" }, { - "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", - "name": "yenatch", - "url": "https://github.com/yenatch" + "avatar": "https://avatars.githubusercontent.com/u/103731019?s=40&v=4", + "name": "maldron0309", + "url": "https://github.com/maldron0309" } ] } diff --git a/data/daily/assembly.xml b/data/daily/assembly.xml index cab2c2655549..65b60fa617d2 100644 --- a/data/daily/assembly.xml +++ b/data/daily/assembly.xml @@ -3,7 +3,7 @@ GitHub Assembly Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT chrislgarry/Apollo-11 https://github.com/chrislgarry/Apollo-11 @@ -11,7 +11,7 @@ https://github.com/chrislgarry/Apollo-11 Assembly #6E4C13 - 59,608 + 59,612 7,041 43 @@ -43,139 +43,154 @@ - pret/pokecrystal - https://github.com/pret/pokecrystal - Disassembly of Pokémon Crystal - https://github.com/pret/pokecrystal + kanaka/mal + https://github.com/kanaka/mal + mal - Make a Lisp + https://github.com/kanaka/mal Assembly #6E4C13 - 2,107 - 810 - 0 + 10,096 + 2,566 + 2 - https://avatars.githubusercontent.com/u/1654211?s=40&v=4 - yenatch - https://github.com/yenatch + https://avatars.githubusercontent.com/u/70127?s=40&v=4 + kanaka + https://github.com/kanaka - https://avatars.githubusercontent.com/u/35663410?s=40&v=4 - Rangi42 - https://github.com/Rangi42 + https://avatars.githubusercontent.com/u/631010?s=40&v=4 + bjh21 + https://github.com/bjh21 - https://avatars.githubusercontent.com/u/101238?s=40&v=4 - kanzure - https://github.com/kanzure + https://avatars.githubusercontent.com/u/14112787?s=40&v=4 + asarhaddon + https://github.com/asarhaddon - https://avatars.githubusercontent.com/u/1761576?s=40&v=4 - roukaour - https://github.com/roukaour + https://avatars.githubusercontent.com/u/9211?s=40&v=4 + dubek + https://github.com/dubek - https://avatars.githubusercontent.com/u/1895773?s=40&v=4 - PikalaxALT - https://github.com/PikalaxALT + https://avatars.githubusercontent.com/u/3588994?s=40&v=4 + wasamasa + https://github.com/wasamasa - cemu-project/cemu_graphic_packs - https://github.com/cemu-project/cemu_graphic_packs - Community Graphic Packs for Cemu - https://github.com/cemu-project/cemu_graphic_packs + intel/isa-l_crypto + https://github.com/intel/isa-l_crypto + + https://github.com/intel/isa-l_crypto Assembly #6E4C13 - 1,321 - 586 + 275 + 80 0 - https://avatars.githubusercontent.com/u/15317421?s=40&v=4 - ActualMandM - https://github.com/ActualMandM + https://avatars.githubusercontent.com/u/22950461?s=40&v=4 + pablodelara + https://github.com/pablodelara - https://avatars.githubusercontent.com/u/25286924?s=40&v=4 - getdls - https://github.com/getdls + https://avatars.githubusercontent.com/u/2711421?s=40&v=4 + gbtucker + https://github.com/gbtucker - https://avatars.githubusercontent.com/u/26669564?s=40&v=4 - Crementif - https://github.com/Crementif + https://avatars.githubusercontent.com/u/14837552?s=40&v=4 + mdcornu + https://github.com/mdcornu - https://avatars.githubusercontent.com/u/1132278?s=40&v=4 - JamieeLee - https://github.com/JamieeLee + https://avatars.githubusercontent.com/u/13930406?s=40&v=4 + jessehui + https://github.com/jessehui - https://avatars.githubusercontent.com/u/6061770?s=40&v=4 - alexkiri - https://github.com/alexkiri + https://avatars.githubusercontent.com/u/14796740?s=40&v=4 + dong-liuliu + https://github.com/dong-liuliu - corkami/pocs - https://github.com/corkami/pocs - Proof of Concepts (PE, PDF...) - https://github.com/corkami/pocs + boostorg/context + https://github.com/boostorg/context + + https://github.com/boostorg/context Assembly #6E4C13 - 1,477 - 198 + 305 + 150 0 - https://avatars.githubusercontent.com/u/5624411?s=40&v=4 - angea - https://github.com/angea + https://avatars.githubusercontent.com/u/914496?s=40&v=4 + olk + https://github.com/olk + + + https://avatars.githubusercontent.com/u/3112415?s=40&v=4 + eldiener + https://github.com/eldiener - https://avatars.githubusercontent.com/u/60773?s=40&v=4 - doegox - https://github.com/doegox + https://avatars.githubusercontent.com/u/2998253?s=40&v=4 + grafikrobot + https://github.com/grafikrobot + + + https://avatars.githubusercontent.com/u/2743474?s=40&v=4 + Kojoley + https://github.com/Kojoley + + + https://avatars.githubusercontent.com/u/3116174?s=40&v=4 + pdimov + https://github.com/pdimov - intel/isa-l_crypto - https://github.com/intel/isa-l_crypto - - https://github.com/intel/isa-l_crypto + hiteshchoudhary/open-source-contribution + https://github.com/hiteshchoudhary/open-source-contribution + A repo to contribute in open source via README only. A dream repo for open source beginner + https://github.com/hiteshchoudhary/open-source-contribution Assembly #6E4C13 - 275 - 80 + 368 + 694 0 - https://avatars.githubusercontent.com/u/22950461?s=40&v=4 - pablodelara - https://github.com/pablodelara + https://avatars.githubusercontent.com/u/11613311?s=40&v=4 + hiteshchoudhary + https://github.com/hiteshchoudhary - https://avatars.githubusercontent.com/u/2711421?s=40&v=4 - gbtucker - https://github.com/gbtucker + https://avatars.githubusercontent.com/u/96916559?s=40&v=4 + devHksingh + https://github.com/devHksingh - https://avatars.githubusercontent.com/u/14837552?s=40&v=4 - mdcornu - https://github.com/mdcornu + https://avatars.githubusercontent.com/u/110806514?s=40&v=4 + insshubh + https://github.com/insshubh - https://avatars.githubusercontent.com/u/13930406?s=40&v=4 - jessehui - https://github.com/jessehui + https://avatars.githubusercontent.com/u/67459148?s=40&v=4 + lav1shkumar + https://github.com/lav1shkumar - https://avatars.githubusercontent.com/u/14796740?s=40&v=4 - dong-liuliu - https://github.com/dong-liuliu + https://avatars.githubusercontent.com/u/84187671?s=40&v=4 + You218 + https://github.com/You218 @@ -218,78 +233,78 @@ - briansmith/ring - https://github.com/briansmith/ring - Safe, fast, small crypto using Rust - https://github.com/briansmith/ring + Rangi42/polishedcrystal + https://github.com/Rangi42/polishedcrystal + An upgrade to Pokémon Crystal. Brings features and content up to date, and adds some original content. + https://github.com/Rangi42/polishedcrystal Assembly #6E4C13 - 3,766 - 708 - 1 + 1,063 + 206 + 0 - https://avatars.githubusercontent.com/u/109690?s=40&v=4 - davidben - https://github.com/davidben + https://avatars.githubusercontent.com/u/1761576?s=40&v=4 + roukaour + https://github.com/roukaour - https://avatars.githubusercontent.com/u/16816?s=40&v=4 - briansmith - https://github.com/briansmith + https://avatars.githubusercontent.com/u/5430027?s=40&v=4 + FredrIQ + https://github.com/FredrIQ - https://avatars.githubusercontent.com/u/21203?s=40&v=4 - agl - https://github.com/agl + https://avatars.githubusercontent.com/u/1654211?s=40&v=4 + yenatch + https://github.com/yenatch - https://avatars.githubusercontent.com/u/545707?s=40&v=4 - dvorak42 - https://github.com/dvorak42 + https://avatars.githubusercontent.com/u/35663410?s=40&v=4 + Rangi42 + https://github.com/Rangi42 - https://avatars.githubusercontent.com/u/653608?s=40&v=4 - nharper - https://github.com/nharper + https://avatars.githubusercontent.com/u/101238?s=40&v=4 + kanzure + https://github.com/kanzure - boostorg/context - https://github.com/boostorg/context - - https://github.com/boostorg/context + below/HelloSilicon + https://github.com/below/HelloSilicon + An introduction to ARM64 assembly on Apple Silicon Macs + https://github.com/below/HelloSilicon Assembly #6E4C13 - 305 - 150 - 0 + 4,405 + 292 + 1 - https://avatars.githubusercontent.com/u/914496?s=40&v=4 - olk - https://github.com/olk + https://avatars.githubusercontent.com/u/26421?s=40&v=4 + below + https://github.com/below - https://avatars.githubusercontent.com/u/3112415?s=40&v=4 - eldiener - https://github.com/eldiener + https://avatars.githubusercontent.com/u/608139?s=40&v=4 + gredman + https://github.com/gredman - https://avatars.githubusercontent.com/u/2998253?s=40&v=4 - grafikrobot - https://github.com/grafikrobot + https://avatars.githubusercontent.com/u/77195477?s=40&v=4 + rosshjb + https://github.com/rosshjb - https://avatars.githubusercontent.com/u/2743474?s=40&v=4 - Kojoley - https://github.com/Kojoley + https://avatars.githubusercontent.com/u/1093186?s=40&v=4 + m-schmidt + https://github.com/m-schmidt - https://avatars.githubusercontent.com/u/3116174?s=40&v=4 - pdimov - https://github.com/pdimov + https://avatars.githubusercontent.com/u/69727?s=40&v=4 + mstorsjo + https://github.com/mstorsjo @@ -332,78 +347,78 @@ - Rangi42/polishedcrystal - https://github.com/Rangi42/polishedcrystal - An upgrade to Pokémon Crystal. Brings features and content up to date, and adds some original content. - https://github.com/Rangi42/polishedcrystal + bitdump/BLHeli + https://github.com/bitdump/BLHeli + BLHeli for brushless ESC firmware + https://github.com/bitdump/BLHeli Assembly #6E4C13 - 1,063 - 206 + 1,975 + 1,093 0 - https://avatars.githubusercontent.com/u/1761576?s=40&v=4 - roukaour - https://github.com/roukaour + https://avatars.githubusercontent.com/u/1584497?s=40&v=4 + sskaug + https://github.com/sskaug - https://avatars.githubusercontent.com/u/5430027?s=40&v=4 - FredrIQ - https://github.com/FredrIQ + https://avatars.githubusercontent.com/u/1628451?s=40&v=4 + 4712 + https://github.com/4712 - https://avatars.githubusercontent.com/u/1654211?s=40&v=4 - yenatch - https://github.com/yenatch + https://avatars.githubusercontent.com/u/4254701?s=40&v=4 + Nick-HZ + https://github.com/Nick-HZ - https://avatars.githubusercontent.com/u/35663410?s=40&v=4 - Rangi42 - https://github.com/Rangi42 + https://avatars.githubusercontent.com/u/9960403?s=40&v=4 + JazzMaverick + https://github.com/JazzMaverick - https://avatars.githubusercontent.com/u/101238?s=40&v=4 - kanzure - https://github.com/kanzure + https://avatars.githubusercontent.com/u/55472?s=40&v=4 + adamhope + https://github.com/adamhope - netwide-assembler/nasm - https://github.com/netwide-assembler/nasm - A cross-platform x86 assembler with an Intel-like syntax - https://github.com/netwide-assembler/nasm + giaf/blasfeo + https://github.com/giaf/blasfeo + Basic linear algebra subroutines for embedded optimization + https://github.com/giaf/blasfeo Assembly #6E4C13 - 2,467 - 301 - 3 + 324 + 89 + 0 - https://avatars.githubusercontent.com/u/182036?s=40&v=4 - cyrillos - https://github.com/cyrillos + https://avatars.githubusercontent.com/u/1334258?s=40&v=4 + giaf + https://github.com/giaf - https://avatars.githubusercontent.com/u/551802?s=40&v=4 - victorvde - https://github.com/victorvde + https://avatars.githubusercontent.com/u/746627?s=40&v=4 + tmmsartor + https://github.com/tmmsartor - https://avatars.githubusercontent.com/u/6180418?s=40&v=4 - jtkukunas - https://github.com/jtkukunas + https://avatars.githubusercontent.com/u/1436556?s=40&v=4 + roversch + https://github.com/roversch - https://avatars.githubusercontent.com/u/181531?s=40&v=4 - martinlindhe - https://github.com/martinlindhe + https://avatars.githubusercontent.com/u/16819325?s=40&v=4 + zanellia + https://github.com/zanellia - https://avatars.githubusercontent.com/u/2977334?s=40&v=4 - changsbae - https://github.com/changsbae + https://avatars.githubusercontent.com/u/2262453?s=40&v=4 + imciner2 + https://github.com/imciner2 @@ -445,44 +460,6 @@ - - 0xAX/asm - https://github.com/0xAX/asm - Learning assembly for Linux x86_64 - https://github.com/0xAX/asm - Assembly - #6E4C13 - 2,308 - 305 - 2 - - - https://avatars.githubusercontent.com/u/2699235?s=40&v=4 - 0xAX - https://github.com/0xAX - - - https://avatars.githubusercontent.com/u/35192450?s=40&v=4 - klaudiagrz - https://github.com/klaudiagrz - - - https://avatars.githubusercontent.com/u/2193051?s=40&v=4 - lushl9301 - https://github.com/lushl9301 - - - https://avatars.githubusercontent.com/u/2778116?s=40&v=4 - e12e - https://github.com/e12e - - - https://avatars.githubusercontent.com/u/103731019?s=40&v=4 - maldron0309 - https://github.com/maldron0309 - - - BLAKE3-team/BLAKE3 https://github.com/BLAKE3-team/BLAKE3 @@ -490,7 +467,7 @@ https://github.com/BLAKE3-team/BLAKE3 Assembly #6E4C13 - 5,223 + 5,224 353 4 @@ -522,116 +499,116 @@ - bitdump/BLHeli - https://github.com/bitdump/BLHeli - BLHeli for brushless ESC firmware - https://github.com/bitdump/BLHeli + pret/pokered + https://github.com/pret/pokered + Disassembly of Pokémon Red/Blue + https://github.com/pret/pokered Assembly #6E4C13 - 1,975 - 1,093 + 4,021 + 991 0 - https://avatars.githubusercontent.com/u/1584497?s=40&v=4 - sskaug - https://github.com/sskaug + https://avatars.githubusercontent.com/u/101238?s=40&v=4 + kanzure + https://github.com/kanzure - https://avatars.githubusercontent.com/u/1628451?s=40&v=4 - 4712 - https://github.com/4712 + https://avatars.githubusercontent.com/u/5231310?s=40&v=4 + dannye + https://github.com/dannye - https://avatars.githubusercontent.com/u/4254701?s=40&v=4 - Nick-HZ - https://github.com/Nick-HZ + https://avatars.githubusercontent.com/u/35663410?s=40&v=4 + Rangi42 + https://github.com/Rangi42 - https://avatars.githubusercontent.com/u/9960403?s=40&v=4 - JazzMaverick - https://github.com/JazzMaverick + https://avatars.githubusercontent.com/u/1767429?s=40&v=4 + iimarckus + https://github.com/iimarckus - https://avatars.githubusercontent.com/u/55472?s=40&v=4 - adamhope - https://github.com/adamhope + https://avatars.githubusercontent.com/u/1654211?s=40&v=4 + yenatch + https://github.com/yenatch - bird-sanctuary/bluejay - https://github.com/bird-sanctuary/bluejay - 🐦 Digital ESC firmware for controlling brushless motors in multirotors - https://github.com/bird-sanctuary/bluejay + pret/pokecrystal + https://github.com/pret/pokecrystal + Disassembly of Pokémon Crystal + https://github.com/pret/pokecrystal Assembly #6E4C13 - 342 - 36 + 2,107 + 810 0 - https://avatars.githubusercontent.com/u/8664776?s=40&v=4 - mathiasvr - https://github.com/mathiasvr + https://avatars.githubusercontent.com/u/1654211?s=40&v=4 + yenatch + https://github.com/yenatch - https://avatars.githubusercontent.com/u/978192?s=40&v=4 - stylesuxx - https://github.com/stylesuxx + https://avatars.githubusercontent.com/u/35663410?s=40&v=4 + Rangi42 + https://github.com/Rangi42 - https://avatars.githubusercontent.com/u/2889851?s=40&v=4 - damosvil - https://github.com/damosvil + https://avatars.githubusercontent.com/u/101238?s=40&v=4 + kanzure + https://github.com/kanzure - https://avatars.githubusercontent.com/u/177669?s=40&v=4 - hyp0dermik-code - https://github.com/hyp0dermik-code + https://avatars.githubusercontent.com/u/1761576?s=40&v=4 + roukaour + https://github.com/roukaour - https://avatars.githubusercontent.com/u/265528?s=40&v=4 - saidinesh5 - https://github.com/saidinesh5 + https://avatars.githubusercontent.com/u/1895773?s=40&v=4 + PikalaxALT + https://github.com/PikalaxALT - pret/pokered - https://github.com/pret/pokered - Disassembly of Pokémon Red/Blue - https://github.com/pret/pokered + 0xAX/asm + https://github.com/0xAX/asm + Learning assembly for Linux x86_64 + https://github.com/0xAX/asm Assembly #6E4C13 - 4,021 - 991 - 0 + 2,308 + 305 + 2 - https://avatars.githubusercontent.com/u/101238?s=40&v=4 - kanzure - https://github.com/kanzure + https://avatars.githubusercontent.com/u/2699235?s=40&v=4 + 0xAX + https://github.com/0xAX - https://avatars.githubusercontent.com/u/5231310?s=40&v=4 - dannye - https://github.com/dannye + https://avatars.githubusercontent.com/u/35192450?s=40&v=4 + klaudiagrz + https://github.com/klaudiagrz - https://avatars.githubusercontent.com/u/35663410?s=40&v=4 - Rangi42 - https://github.com/Rangi42 + https://avatars.githubusercontent.com/u/2193051?s=40&v=4 + lushl9301 + https://github.com/lushl9301 - https://avatars.githubusercontent.com/u/1767429?s=40&v=4 - iimarckus - https://github.com/iimarckus + https://avatars.githubusercontent.com/u/2778116?s=40&v=4 + e12e + https://github.com/e12e - https://avatars.githubusercontent.com/u/1654211?s=40&v=4 - yenatch - https://github.com/yenatch + https://avatars.githubusercontent.com/u/103731019?s=40&v=4 + maldron0309 + https://github.com/maldron0309 diff --git a/data/daily/astro.json b/data/daily/astro.json index 7b8f48a957c4..03b1216405a2 100644 --- a/data/daily/astro.json +++ b/data/daily/astro.json @@ -2,16 +2,80 @@ "title": "GitHub Astro Languages Daily Trending", "description": "Daily Trending of Astro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "satnaing/astro-paper", + "url": "https://github.com/satnaing/astro-paper", + "description": "A minimal, accessible and SEO-friendly Astro blog theme", + "language": "Astro", + "languageColor": "#ff5a03", + "stars": "2,567", + "forks": "533", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/53733092?s=40&v=4", + "name": "satnaing", + "url": "https://github.com/satnaing" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40914272?s=40&v=4", + "name": "tanishqmanuja", + "url": "https://github.com/tanishqmanuja" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26923823?s=40&v=4", + "name": "87xie", + "url": "https://github.com/87xie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1110600?s=40&v=4", + "name": "davlgd", + "url": "https://github.com/davlgd" + } + ] + }, + { + "title": "ColorlibHQ/AdminLTE", + "url": "https://github.com/ColorlibHQ/AdminLTE", + "description": "AdminLTE - Free admin dashboard template based on Bootstrap 5", + "language": "Astro", + "languageColor": "#ff5a03", + "stars": "44,097", + "forks": "18,168", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1554582?s=40&v=4", + "name": "REJack", + "url": "https://github.com/REJack" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1512664?s=40&v=4", + "name": "almasaeed2010", + "url": "https://github.com/almasaeed2010" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50356015?s=40&v=4", + "name": "danny007in", + "url": "https://github.com/danny007in" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/349621?s=40&v=4", + "name": "XhmikosR", + "url": "https://github.com/XhmikosR" + } + ] + }, { "title": "onwidget/astrowind", "url": "https://github.com/onwidget/astrowind", "description": "⭕️ AstroWind: A free template using Astro 4.0 and Tailwind CSS. Astro starter theme.", "language": "Astro", "languageColor": "#ff5a03", - "stars": "3,518", - "forks": "1,071", + "stars": "3,520", + "forks": "1,073", "addStars": "5", "contributors": [ { @@ -41,51 +105,14 @@ } ] }, - { - "title": "Lissy93/awesome-privacy", - "url": "https://github.com/Lissy93/awesome-privacy", - "description": "🦄 A curated list of privacy & security-focused software and services", - "language": "Astro", - "languageColor": "#ff5a03", - "stars": "6,972", - "forks": "316", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1862727?s=40&v=4", - "name": "Lissy93", - "url": "https://github.com/Lissy93" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87835202?s=40&v=4", - "name": "liss-bot", - "url": "https://github.com/liss-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32241933?s=40&v=4", - "name": "Ki-er", - "url": "https://github.com/Ki-er" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/74383721?s=40&v=4", - "name": "SadMadLad", - "url": "https://github.com/SadMadLad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4777345?s=40&v=4", - "name": "ltguillaume", - "url": "https://github.com/ltguillaume" - } - ] - }, { "title": "saicaca/fuwari", "url": "https://github.com/saicaca/fuwari", "description": "✨A static blog template built with Astro.", "language": "Astro", "languageColor": "#ff5a03", - "stars": "1,423", - "forks": "327", + "stars": "1,424", + "forks": "328", "addStars": "4", "contributors": [ { @@ -116,46 +143,113 @@ ] }, { - "title": "JustGoodUI/dante-astro-theme", - "url": "https://github.com/JustGoodUI/dante-astro-theme", - "description": "Dante, a single-author blog and portfolio theme for Astro.js.", + "title": "themefisher/bookworm-light-astro", + "url": "https://github.com/themefisher/bookworm-light-astro", + "description": "Bookworm Light is a feature-rich, minimal, highly customizable, easy-to-use Astro blog theme.", "language": "Astro", "languageColor": "#ff5a03", - "stars": "282", - "forks": "125", - "addStars": "1", + "stars": "258", + "forks": "71", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/58769763?s=40&v=4", + "name": "tfsomrat", + "url": "https://github.com/tfsomrat" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/87603786?s=40&v=4", + "name": "tfsojon", + "url": "https://github.com/tfsojon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/145179606?s=40&v=4", + "name": "tfmurad", + "url": "https://github.com/tfmurad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94342419?s=40&v=4", + "name": "tffarhad", + "url": "https://github.com/tffarhad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17078475?s=40&v=4", + "name": "doforce", + "url": "https://github.com/doforce" + } + ] + }, + { + "title": "Lissy93/awesome-privacy", + "url": "https://github.com/Lissy93/awesome-privacy", + "description": "🦄 A curated list of privacy & security-focused software and services", + "language": "Astro", + "languageColor": "#ff5a03", + "stars": "6,971", + "forks": "316", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6841933?s=40&v=4", - "name": "astab", - "url": "https://github.com/astab" + "avatar": "https://avatars.githubusercontent.com/u/1862727?s=40&v=4", + "name": "Lissy93", + "url": "https://github.com/Lissy93" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/87835202?s=40&v=4", + "name": "liss-bot", + "url": "https://github.com/liss-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32241933?s=40&v=4", + "name": "Ki-er", + "url": "https://github.com/Ki-er" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74383721?s=40&v=4", + "name": "SadMadLad", + "url": "https://github.com/SadMadLad" }, { - "avatar": "https://avatars.githubusercontent.com/u/61506420?s=40&v=4", - "name": "LuckeeDev", - "url": "https://github.com/LuckeeDev" + "avatar": "https://avatars.githubusercontent.com/u/4777345?s=40&v=4", + "name": "ltguillaume", + "url": "https://github.com/ltguillaume" } ] }, { - "title": "mhyfritz/astro-landing-page", - "url": "https://github.com/mhyfritz/astro-landing-page", - "description": "An Astro + Tailwind CSS Example/Template for Landing Pages", + "title": "hilmanski/freeStuffDev", + "url": "https://github.com/hilmanski/freeStuffDev", + "description": "list of free stuff for developer", "language": "Astro", "languageColor": "#ff5a03", - "stars": "554", - "forks": "203", + "stars": "1,690", + "forks": "790", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/718983?s=40&v=4", - "name": "mhyfritz", - "url": "https://github.com/mhyfritz" + "avatar": "https://avatars.githubusercontent.com/u/4522467?s=40&v=4", + "name": "hilmanski", + "url": "https://github.com/hilmanski" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53666901?s=40&v=4", + "name": "rochmadnf", + "url": "https://github.com/rochmadnf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/69706046?s=40&v=4", + "name": "hadyd", + "url": "https://github.com/hadyd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/368859?s=40&v=4", + "name": "djego", + "url": "https://github.com/djego" }, { - "avatar": "https://avatars.githubusercontent.com/u/29425150?s=40&v=4", - "name": "peterhijma", - "url": "https://github.com/peterhijma" + "avatar": "https://avatars.githubusercontent.com/u/63054324?s=40&v=4", + "name": "aliifam", + "url": "https://github.com/aliifam" } ] }, @@ -203,7 +297,7 @@ "language": "Astro", "languageColor": "#ff5a03", "stars": "2,948", - "forks": "200", + "forks": "201", "addStars": "1", "contributors": [ { @@ -227,38 +321,6 @@ "url": "https://github.com/rafaeldelboni" } ] - }, - { - "title": "ColorlibHQ/AdminLTE", - "url": "https://github.com/ColorlibHQ/AdminLTE", - "description": "AdminLTE - Free admin dashboard template based on Bootstrap 5", - "language": "Astro", - "languageColor": "#ff5a03", - "stars": "44,094", - "forks": "18,167", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1554582?s=40&v=4", - "name": "REJack", - "url": "https://github.com/REJack" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1512664?s=40&v=4", - "name": "almasaeed2010", - "url": "https://github.com/almasaeed2010" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50356015?s=40&v=4", - "name": "danny007in", - "url": "https://github.com/danny007in" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/349621?s=40&v=4", - "name": "XhmikosR", - "url": "https://github.com/XhmikosR" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/astro.xml b/data/daily/astro.xml index b64604c33159..8b4bce536520 100644 --- a/data/daily/astro.xml +++ b/data/daily/astro.xml @@ -3,7 +3,73 @@ GitHub Astro Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Astro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + satnaing/astro-paper + https://github.com/satnaing/astro-paper + A minimal, accessible and SEO-friendly Astro blog theme + https://github.com/satnaing/astro-paper + Astro + #ff5a03 + 2,567 + 533 + 9 + + + https://avatars.githubusercontent.com/u/53733092?s=40&v=4 + satnaing + https://github.com/satnaing + + + https://avatars.githubusercontent.com/u/40914272?s=40&v=4 + tanishqmanuja + https://github.com/tanishqmanuja + + + https://avatars.githubusercontent.com/u/26923823?s=40&v=4 + 87xie + https://github.com/87xie + + + https://avatars.githubusercontent.com/u/1110600?s=40&v=4 + davlgd + https://github.com/davlgd + + + + + ColorlibHQ/AdminLTE + https://github.com/ColorlibHQ/AdminLTE + AdminLTE - Free admin dashboard template based on Bootstrap 5 + https://github.com/ColorlibHQ/AdminLTE + Astro + #ff5a03 + 44,097 + 18,168 + 5 + + + https://avatars.githubusercontent.com/u/1554582?s=40&v=4 + REJack + https://github.com/REJack + + + https://avatars.githubusercontent.com/u/1512664?s=40&v=4 + almasaeed2010 + https://github.com/almasaeed2010 + + + https://avatars.githubusercontent.com/u/50356015?s=40&v=4 + danny007in + https://github.com/danny007in + + + https://avatars.githubusercontent.com/u/349621?s=40&v=4 + XhmikosR + https://github.com/XhmikosR + + + onwidget/astrowind https://github.com/onwidget/astrowind @@ -11,8 +77,8 @@ https://github.com/onwidget/astrowind Astro #ff5a03 - 3,518 - 1,071 + 3,520 + 1,073 5 @@ -42,44 +108,6 @@ - - Lissy93/awesome-privacy - https://github.com/Lissy93/awesome-privacy - 🦄 A curated list of privacy & security-focused software and services - https://github.com/Lissy93/awesome-privacy - Astro - #ff5a03 - 6,972 - 316 - 5 - - - https://avatars.githubusercontent.com/u/1862727?s=40&v=4 - Lissy93 - https://github.com/Lissy93 - - - https://avatars.githubusercontent.com/u/87835202?s=40&v=4 - liss-bot - https://github.com/liss-bot - - - https://avatars.githubusercontent.com/u/32241933?s=40&v=4 - Ki-er - https://github.com/Ki-er - - - https://avatars.githubusercontent.com/u/74383721?s=40&v=4 - SadMadLad - https://github.com/SadMadLad - - - https://avatars.githubusercontent.com/u/4777345?s=40&v=4 - ltguillaume - https://github.com/ltguillaume - - - saicaca/fuwari https://github.com/saicaca/fuwari @@ -87,8 +115,8 @@ https://github.com/saicaca/fuwari Astro #ff5a03 - 1,423 - 327 + 1,424 + 328 4 @@ -119,48 +147,116 @@ - JustGoodUI/dante-astro-theme - https://github.com/JustGoodUI/dante-astro-theme - Dante, a single-author blog and portfolio theme for Astro.js. - https://github.com/JustGoodUI/dante-astro-theme + themefisher/bookworm-light-astro + https://github.com/themefisher/bookworm-light-astro + Bookworm Light is a feature-rich, minimal, highly customizable, easy-to-use Astro blog theme. + https://github.com/themefisher/bookworm-light-astro Astro #ff5a03 - 282 - 125 - 1 + 258 + 71 + 0 - https://avatars.githubusercontent.com/u/6841933?s=40&v=4 - astab - https://github.com/astab + https://avatars.githubusercontent.com/u/58769763?s=40&v=4 + tfsomrat + https://github.com/tfsomrat + + + https://avatars.githubusercontent.com/u/87603786?s=40&v=4 + tfsojon + https://github.com/tfsojon + + + https://avatars.githubusercontent.com/u/145179606?s=40&v=4 + tfmurad + https://github.com/tfmurad + + + https://avatars.githubusercontent.com/u/94342419?s=40&v=4 + tffarhad + https://github.com/tffarhad + + + https://avatars.githubusercontent.com/u/17078475?s=40&v=4 + doforce + https://github.com/doforce + + + + + Lissy93/awesome-privacy + https://github.com/Lissy93/awesome-privacy + 🦄 A curated list of privacy & security-focused software and services + https://github.com/Lissy93/awesome-privacy + Astro + #ff5a03 + 6,971 + 316 + 5 + + + https://avatars.githubusercontent.com/u/1862727?s=40&v=4 + Lissy93 + https://github.com/Lissy93 + + + https://avatars.githubusercontent.com/u/87835202?s=40&v=4 + liss-bot + https://github.com/liss-bot + + + https://avatars.githubusercontent.com/u/32241933?s=40&v=4 + Ki-er + https://github.com/Ki-er + + + https://avatars.githubusercontent.com/u/74383721?s=40&v=4 + SadMadLad + https://github.com/SadMadLad - https://avatars.githubusercontent.com/u/61506420?s=40&v=4 - LuckeeDev - https://github.com/LuckeeDev + https://avatars.githubusercontent.com/u/4777345?s=40&v=4 + ltguillaume + https://github.com/ltguillaume - mhyfritz/astro-landing-page - https://github.com/mhyfritz/astro-landing-page - An Astro + Tailwind CSS Example/Template for Landing Pages - https://github.com/mhyfritz/astro-landing-page + hilmanski/freeStuffDev + https://github.com/hilmanski/freeStuffDev + list of free stuff for developer + https://github.com/hilmanski/freeStuffDev Astro #ff5a03 - 554 - 203 + 1,690 + 790 0 - https://avatars.githubusercontent.com/u/718983?s=40&v=4 - mhyfritz - https://github.com/mhyfritz + https://avatars.githubusercontent.com/u/4522467?s=40&v=4 + hilmanski + https://github.com/hilmanski + + + https://avatars.githubusercontent.com/u/53666901?s=40&v=4 + rochmadnf + https://github.com/rochmadnf - https://avatars.githubusercontent.com/u/29425150?s=40&v=4 - peterhijma - https://github.com/peterhijma + https://avatars.githubusercontent.com/u/69706046?s=40&v=4 + hadyd + https://github.com/hadyd + + + https://avatars.githubusercontent.com/u/368859?s=40&v=4 + djego + https://github.com/djego + + + https://avatars.githubusercontent.com/u/63054324?s=40&v=4 + aliifam + https://github.com/aliifam @@ -210,7 +306,7 @@ Astro #ff5a03 2,948 - 200 + 201 1 @@ -235,38 +331,5 @@ - - ColorlibHQ/AdminLTE - https://github.com/ColorlibHQ/AdminLTE - AdminLTE - Free admin dashboard template based on Bootstrap 5 - https://github.com/ColorlibHQ/AdminLTE - Astro - #ff5a03 - 44,094 - 18,167 - 5 - - - https://avatars.githubusercontent.com/u/1554582?s=40&v=4 - REJack - https://github.com/REJack - - - https://avatars.githubusercontent.com/u/1512664?s=40&v=4 - almasaeed2010 - https://github.com/almasaeed2010 - - - https://avatars.githubusercontent.com/u/50356015?s=40&v=4 - danny007in - https://github.com/danny007in - - - https://avatars.githubusercontent.com/u/349621?s=40&v=4 - XhmikosR - https://github.com/XhmikosR - - - \ No newline at end of file diff --git a/data/daily/asymptote.json b/data/daily/asymptote.json index f2736160ea61..b13191d08774 100644 --- a/data/daily/asymptote.json +++ b/data/daily/asymptote.json @@ -2,6 +2,6 @@ "title": "GitHub Asymptote Languages Daily Trending", "description": "Daily Trending of Asymptote Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/asymptote.xml b/data/daily/asymptote.xml index 0a75e588acd8..6b4636b70669 100644 --- a/data/daily/asymptote.xml +++ b/data/daily/asymptote.xml @@ -3,6 +3,6 @@ GitHub Asymptote Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Asymptote Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ats.json b/data/daily/ats.json index 666770f062ab..b8bf8eaf9d0b 100644 --- a/data/daily/ats.json +++ b/data/daily/ats.json @@ -2,6 +2,6 @@ "title": "GitHub Ats Languages Daily Trending", "description": "Daily Trending of Ats Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ats.xml b/data/daily/ats.xml index 37441f1ae79f..1c5191ecd564 100644 --- a/data/daily/ats.xml +++ b/data/daily/ats.xml @@ -3,6 +3,6 @@ GitHub Ats Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ats Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/augeas.json b/data/daily/augeas.json index 04c1841b9e0a..b5eae0af454a 100644 --- a/data/daily/augeas.json +++ b/data/daily/augeas.json @@ -2,6 +2,6 @@ "title": "GitHub Augeas Languages Daily Trending", "description": "Daily Trending of Augeas Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/augeas.xml b/data/daily/augeas.xml index 15d6174365c1..0e9762b02b5d 100644 --- a/data/daily/augeas.xml +++ b/data/daily/augeas.xml @@ -3,6 +3,6 @@ GitHub Augeas Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Augeas Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/autohotkey.json b/data/daily/autohotkey.json index d0d8501137e7..e2122e332890 100644 --- a/data/daily/autohotkey.json +++ b/data/daily/autohotkey.json @@ -2,7 +2,7 @@ "title": "GitHub Autohotkey Languages Daily Trending", "description": "Daily Trending of Autohotkey Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "NatroTeam/NatroMacro", @@ -41,28 +41,6 @@ } ] }, - { - "title": "kyokakawaii/DoroHelper", - "url": "https://github.com/kyokakawaii/DoroHelper", - "description": "Nikke日常任务脚本。A Time-Saving Script for Goddess of Victory: Nikke.", - "language": "AutoHotkey", - "languageColor": "#6594b9", - "stars": "135", - "forks": "2", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/70372891?s=40&v=4", - "name": "kyokakawaii", - "url": "https://github.com/kyokakawaii" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33385641?s=40&v=4", - "name": "1204244136", - "url": "https://github.com/1204244136" - } - ] - }, { "title": "umageddon/namDHC", "url": "https://github.com/umageddon/namDHC", @@ -106,6 +84,43 @@ "url": "https://github.com/TWF1212" } ] + }, + { + "title": "Pulover/PuloversMacroCreator", + "url": "https://github.com/Pulover/PuloversMacroCreator", + "description": "Automation Utility - Recorder & Script Generator", + "language": "AutoHotkey", + "languageColor": "#6594b9", + "stars": "1,690", + "forks": "238", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4416483?s=40&v=4", + "name": "Pulover", + "url": "https://github.com/Pulover" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/612578?s=40&v=4", + "name": "thiagotalma", + "url": "https://github.com/thiagotalma" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9883873?s=40&v=4", + "name": "CrafterKolyan", + "url": "https://github.com/CrafterKolyan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/69076802?s=40&v=4", + "name": "chosen1ft", + "url": "https://github.com/chosen1ft" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/949245?s=40&v=4", + "name": "amnesiac10", + "url": "https://github.com/amnesiac10" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/autohotkey.xml b/data/daily/autohotkey.xml index 8c6dd79eaec2..ae1c0f96b8b9 100644 --- a/data/daily/autohotkey.xml +++ b/data/daily/autohotkey.xml @@ -3,7 +3,7 @@ GitHub Autohotkey Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Autohotkey Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT NatroTeam/NatroMacro https://github.com/NatroTeam/NatroMacro @@ -42,29 +42,6 @@ - - kyokakawaii/DoroHelper - https://github.com/kyokakawaii/DoroHelper - Nikke日常任务脚本。A Time-Saving Script for Goddess of Victory: Nikke. - https://github.com/kyokakawaii/DoroHelper - AutoHotkey - #6594b9 - 135 - 2 - 2 - - - https://avatars.githubusercontent.com/u/70372891?s=40&v=4 - kyokakawaii - https://github.com/kyokakawaii - - - https://avatars.githubusercontent.com/u/33385641?s=40&v=4 - 1204244136 - https://github.com/1204244136 - - - umageddon/namDHC https://github.com/umageddon/namDHC @@ -111,5 +88,43 @@ + + Pulover/PuloversMacroCreator + https://github.com/Pulover/PuloversMacroCreator + Automation Utility - Recorder & Script Generator + https://github.com/Pulover/PuloversMacroCreator + AutoHotkey + #6594b9 + 1,690 + 238 + 1 + + + https://avatars.githubusercontent.com/u/4416483?s=40&v=4 + Pulover + https://github.com/Pulover + + + https://avatars.githubusercontent.com/u/612578?s=40&v=4 + thiagotalma + https://github.com/thiagotalma + + + https://avatars.githubusercontent.com/u/9883873?s=40&v=4 + CrafterKolyan + https://github.com/CrafterKolyan + + + https://avatars.githubusercontent.com/u/69076802?s=40&v=4 + chosen1ft + https://github.com/chosen1ft + + + https://avatars.githubusercontent.com/u/949245?s=40&v=4 + amnesiac10 + https://github.com/amnesiac10 + + + \ No newline at end of file diff --git a/data/daily/autoit.json b/data/daily/autoit.json index 85e65450943f..ef366a707087 100644 --- a/data/daily/autoit.json +++ b/data/daily/autoit.json @@ -2,7 +2,7 @@ "title": "GitHub Autoit Languages Daily Trending", "description": "Daily Trending of Autoit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "rcmaehl/MSEdgeRedirect", @@ -36,38 +36,6 @@ } ] }, - { - "title": "rcmaehl/WhyNotWin11", - "url": "https://github.com/rcmaehl/WhyNotWin11", - "description": "Detection Script to help identify why your PC is not Windows 11 Release Ready. Now Supporting Update Checks!", - "language": "AutoIt", - "languageColor": "#1C3552", - "stars": "6,129", - "forks": "415", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/716581?s=40&v=4", - "name": "rcmaehl", - "url": "https://github.com/rcmaehl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45581170?s=40&v=4", - "name": "micwoj92", - "url": "https://github.com/micwoj92" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62497891?s=40&v=4", - "name": "Oleg-Chashko", - "url": "https://github.com/Oleg-Chashko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11089482?s=40&v=4", - "name": "mlipok", - "url": "https://github.com/mlipok" - } - ] - }, { "title": "KovaaK/InterAccel", "url": "https://github.com/KovaaK/InterAccel", @@ -123,39 +91,34 @@ ] }, { - "title": "Bioruebe/UniExtract2", - "url": "https://github.com/Bioruebe/UniExtract2", - "description": "Universal Extractor 2 is a tool to extract files from any type of archive or installer.", + "title": "rcmaehl/WhyNotWin11", + "url": "https://github.com/rcmaehl/WhyNotWin11", + "description": "Detection Script to help identify why your PC is not Windows 11 Release Ready. Now Supporting Update Checks!", "language": "AutoIt", "languageColor": "#1C3552", - "stars": "3,594", - "forks": "338", - "addStars": "3", + "stars": "6,129", + "forks": "415", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3521951?s=40&v=4", - "name": "Bioruebe", - "url": "https://github.com/Bioruebe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44799533?s=40&v=4", - "name": "hevesij", - "url": "https://github.com/hevesij" + "avatar": "https://avatars.githubusercontent.com/u/716581?s=40&v=4", + "name": "rcmaehl", + "url": "https://github.com/rcmaehl" }, { - "avatar": "https://avatars.githubusercontent.com/u/8470820?s=40&v=4", - "name": "SeaHOH", - "url": "https://github.com/SeaHOH" + "avatar": "https://avatars.githubusercontent.com/u/45581170?s=40&v=4", + "name": "micwoj92", + "url": "https://github.com/micwoj92" }, { - "avatar": "https://avatars.githubusercontent.com/u/41102508?s=40&v=4", - "name": "maboroshin", - "url": "https://github.com/maboroshin" + "avatar": "https://avatars.githubusercontent.com/u/62497891?s=40&v=4", + "name": "Oleg-Chashko", + "url": "https://github.com/Oleg-Chashko" }, { - "avatar": "https://avatars.githubusercontent.com/u/11704993?s=40&v=4", - "name": "YuriPet", - "url": "https://github.com/YuriPet" + "avatar": "https://avatars.githubusercontent.com/u/11089482?s=40&v=4", + "name": "mlipok", + "url": "https://github.com/mlipok" } ] } diff --git a/data/daily/autoit.xml b/data/daily/autoit.xml index 660be4b7972e..4bb6f7226092 100644 --- a/data/daily/autoit.xml +++ b/data/daily/autoit.xml @@ -3,7 +3,7 @@ GitHub Autoit Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Autoit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT rcmaehl/MSEdgeRedirect https://github.com/rcmaehl/MSEdgeRedirect @@ -37,39 +37,6 @@ - - rcmaehl/WhyNotWin11 - https://github.com/rcmaehl/WhyNotWin11 - Detection Script to help identify why your PC is not Windows 11 Release Ready. Now Supporting Update Checks! - https://github.com/rcmaehl/WhyNotWin11 - AutoIt - #1C3552 - 6,129 - 415 - 0 - - - https://avatars.githubusercontent.com/u/716581?s=40&v=4 - rcmaehl - https://github.com/rcmaehl - - - https://avatars.githubusercontent.com/u/45581170?s=40&v=4 - micwoj92 - https://github.com/micwoj92 - - - https://avatars.githubusercontent.com/u/62497891?s=40&v=4 - Oleg-Chashko - https://github.com/Oleg-Chashko - - - https://avatars.githubusercontent.com/u/11089482?s=40&v=4 - mlipok - https://github.com/mlipok - - - KovaaK/InterAccel https://github.com/KovaaK/InterAccel @@ -127,40 +94,35 @@ - Bioruebe/UniExtract2 - https://github.com/Bioruebe/UniExtract2 - Universal Extractor 2 is a tool to extract files from any type of archive or installer. - https://github.com/Bioruebe/UniExtract2 + rcmaehl/WhyNotWin11 + https://github.com/rcmaehl/WhyNotWin11 + Detection Script to help identify why your PC is not Windows 11 Release Ready. Now Supporting Update Checks! + https://github.com/rcmaehl/WhyNotWin11 AutoIt #1C3552 - 3,594 - 338 - 3 + 6,129 + 415 + 0 - https://avatars.githubusercontent.com/u/3521951?s=40&v=4 - Bioruebe - https://github.com/Bioruebe - - - https://avatars.githubusercontent.com/u/44799533?s=40&v=4 - hevesij - https://github.com/hevesij + https://avatars.githubusercontent.com/u/716581?s=40&v=4 + rcmaehl + https://github.com/rcmaehl - https://avatars.githubusercontent.com/u/8470820?s=40&v=4 - SeaHOH - https://github.com/SeaHOH + https://avatars.githubusercontent.com/u/45581170?s=40&v=4 + micwoj92 + https://github.com/micwoj92 - https://avatars.githubusercontent.com/u/41102508?s=40&v=4 - maboroshin - https://github.com/maboroshin + https://avatars.githubusercontent.com/u/62497891?s=40&v=4 + Oleg-Chashko + https://github.com/Oleg-Chashko - https://avatars.githubusercontent.com/u/11704993?s=40&v=4 - YuriPet - https://github.com/YuriPet + https://avatars.githubusercontent.com/u/11089482?s=40&v=4 + mlipok + https://github.com/mlipok diff --git a/data/daily/avro-idl.json b/data/daily/avro-idl.json index 83f01fc07bdf..c76e3cb1b592 100644 --- a/data/daily/avro-idl.json +++ b/data/daily/avro-idl.json @@ -2,6 +2,6 @@ "title": "GitHub Avro-idl Languages Daily Trending", "description": "Daily Trending of Avro-idl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/avro-idl.xml b/data/daily/avro-idl.xml index 0410c118d123..c4c57e274eac 100644 --- a/data/daily/avro-idl.xml +++ b/data/daily/avro-idl.xml @@ -3,6 +3,6 @@ GitHub Avro-idl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Avro-idl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/awk.json b/data/daily/awk.json index ebfec6860768..aec88dfac993 100644 --- a/data/daily/awk.json +++ b/data/daily/awk.json @@ -2,7 +2,7 @@ "title": "GitHub Awk Languages Daily Trending", "description": "Daily Trending of Awk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "x-cmd/x-cmd", @@ -10,7 +10,7 @@ "description": "X bootstrap 1000+ tools and scripts.", "language": "Awk", "languageColor": "#c30e9b", - "stars": "1,172", + "stars": "1,175", "forks": "28", "addStars": "11", "contributors": [ diff --git a/data/daily/awk.xml b/data/daily/awk.xml index 27886f5f47d9..2690a20dfec9 100644 --- a/data/daily/awk.xml +++ b/data/daily/awk.xml @@ -3,7 +3,7 @@ GitHub Awk Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Awk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT x-cmd/x-cmd https://github.com/x-cmd/x-cmd @@ -11,7 +11,7 @@ https://github.com/x-cmd/x-cmd Awk #c30e9b - 1,172 + 1,175 28 11 diff --git a/data/daily/ballerina.json b/data/daily/ballerina.json index aa96f6e8b8f3..e55575405720 100644 --- a/data/daily/ballerina.json +++ b/data/daily/ballerina.json @@ -2,6 +2,6 @@ "title": "GitHub Ballerina Languages Daily Trending", "description": "Daily Trending of Ballerina Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ballerina.xml b/data/daily/ballerina.xml index 2a380822f96e..17e73508d9b5 100644 --- a/data/daily/ballerina.xml +++ b/data/daily/ballerina.xml @@ -3,6 +3,6 @@ GitHub Ballerina Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ballerina Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/basic.json b/data/daily/basic.json index 3f1e060a8d12..58d591e3e0b3 100644 --- a/data/daily/basic.json +++ b/data/daily/basic.json @@ -2,6 +2,6 @@ "title": "GitHub Basic Languages Daily Trending", "description": "Daily Trending of Basic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/basic.xml b/data/daily/basic.xml index 0f3628f56065..11b5fd614316 100644 --- a/data/daily/basic.xml +++ b/data/daily/basic.xml @@ -3,6 +3,6 @@ GitHub Basic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Basic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/batchfile.json b/data/daily/batchfile.json index 26310b613669..071869cbe788 100644 --- a/data/daily/batchfile.json +++ b/data/daily/batchfile.json @@ -2,42 +2,42 @@ "title": "GitHub Batchfile Languages Daily Trending", "description": "Daily Trending of Batchfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "ionuttbara/windows-defender-remover", - "url": "https://github.com/ionuttbara/windows-defender-remover", - "description": "A tool which is uses to remove Windows Defender in Windows 8.x, Windows 10 (every version) and Windows 11.", + "title": "PaperMC/Folia", + "url": "https://github.com/PaperMC/Folia", + "description": "Fork of Paper which adds regionised multithreading to the dedicated server.", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "4,173", - "forks": "280", - "addStars": "9", + "stars": "3,577", + "forks": "464", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/76656855?s=40&v=4", - "name": "ionuttbara", - "url": "https://github.com/ionuttbara" + "avatar": "https://avatars.githubusercontent.com/u/58008?s=40&v=4", + "name": "aikar", + "url": "https://github.com/aikar" }, { - "avatar": "https://avatars.githubusercontent.com/u/1227781?s=40&v=4", - "name": "laramy2020", - "url": "https://github.com/laramy2020" + "avatar": "https://avatars.githubusercontent.com/u/15055071?s=40&v=4", + "name": "Machine-Maker", + "url": "https://github.com/Machine-Maker" }, { - "avatar": "https://avatars.githubusercontent.com/u/38593134?s=40&v=4", - "name": "drunkwinter", - "url": "https://github.com/drunkwinter" + "avatar": "https://avatars.githubusercontent.com/u/6100722?s=40&v=4", + "name": "Spottedleaf", + "url": "https://github.com/Spottedleaf" }, { - "avatar": "https://avatars.githubusercontent.com/u/952007?s=40&v=4", - "name": "szepeviktor", - "url": "https://github.com/szepeviktor" + "avatar": "https://avatars.githubusercontent.com/u/1332104?s=40&v=4", + "name": "DenWav", + "url": "https://github.com/DenWav" }, { - "avatar": "https://avatars.githubusercontent.com/u/9846948?s=40&v=4", - "name": "FadeMind", - "url": "https://github.com/FadeMind" + "avatar": "https://avatars.githubusercontent.com/u/11360596?s=40&v=4", + "name": "jpenilla", + "url": "https://github.com/jpenilla" } ] }, @@ -47,8 +47,8 @@ "description": "IDM Activation & Trail Reset Script", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "10,018", - "forks": "1,463", + "stars": "10,021", + "forks": "1,466", "addStars": "14", "contributors": [ { @@ -69,93 +69,71 @@ ] }, { - "title": "PaperMC/Folia", - "url": "https://github.com/PaperMC/Folia", - "description": "Fork of Paper which adds regionised multithreading to the dedicated server.", + "title": "awesome-windows11/windows11", + "url": "https://github.com/awesome-windows11/windows11", + "description": "🌎 Windows 11 Settings, Tweaks, Scripts", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "3,576", - "forks": "463", - "addStars": "2", + "stars": "2,206", + "forks": "121", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/58008?s=40&v=4", - "name": "aikar", - "url": "https://github.com/aikar" + "avatar": "https://avatars.githubusercontent.com/u/87380272?s=40&v=4", + "name": "jestxfot", + "url": "https://github.com/jestxfot" }, { - "avatar": "https://avatars.githubusercontent.com/u/15055071?s=40&v=4", - "name": "Machine-Maker", - "url": "https://github.com/Machine-Maker" + "avatar": "https://avatars.githubusercontent.com/u/10544660?s=40&v=4", + "name": "farag2", + "url": "https://github.com/farag2" }, { - "avatar": "https://avatars.githubusercontent.com/u/6100722?s=40&v=4", - "name": "Spottedleaf", - "url": "https://github.com/Spottedleaf" + "avatar": "https://avatars.githubusercontent.com/u/1519725?s=40&v=4", + "name": "ulquiomaru", + "url": "https://github.com/ulquiomaru" }, { - "avatar": "https://avatars.githubusercontent.com/u/1332104?s=40&v=4", - "name": "DenWav", - "url": "https://github.com/DenWav" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11360596?s=40&v=4", - "name": "jpenilla", - "url": "https://github.com/jpenilla" + "avatar": "https://avatars.githubusercontent.com/u/4609678?s=40&v=4", + "name": "HUMENTH", + "url": "https://github.com/HUMENTH" } ] }, { - "title": "Alex313031/Thorium-Win", - "url": "https://github.com/Alex313031/Thorium-Win", - "description": "Chromium fork for Windows named after radioactive element No. 90; Windows builds of https://github.com/Alex313031/Thorium", + "title": "mon5termatt/medicat_installer", + "url": "https://github.com/mon5termatt/medicat_installer", + "description": "Medicat Installer Repo", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "1,563", - "forks": "36", - "addStars": "2", + "stars": "1,619", + "forks": "123", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45863095?s=40&v=4", - "name": "Alex313031", - "url": "https://github.com/Alex313031" + "avatar": "https://avatars.githubusercontent.com/u/43628254?s=40&v=4", + "name": "mon5termatt", + "url": "https://github.com/mon5termatt" }, { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" - } - ] - }, - { - "title": "pal1000/Realtek-UAD-generic", - "url": "https://github.com/pal1000/Realtek-UAD-generic", - "description": "An unofficial package of generic Realtek Universal Audio Driver made from parts of various OEM specific Reatek Universal Audio drivers intended to work on legacy systems lacking OEM UAD support.", - "language": "Batchfile", - "languageColor": "#C1F12E", - "stars": "1,134", - "forks": "41", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1138235?s=40&v=4", - "name": "pal1000", - "url": "https://github.com/pal1000" + "avatar": "https://avatars.githubusercontent.com/u/37031361?s=40&v=4", + "name": "Teknoist", + "url": "https://github.com/Teknoist" }, { - "avatar": "https://avatars.githubusercontent.com/u/67589323?s=40&v=4", - "name": "nkh0472", - "url": "https://github.com/nkh0472" + "avatar": "https://avatars.githubusercontent.com/u/96273359?s=40&v=4", + "name": "SkeletonMan03", + "url": "https://github.com/SkeletonMan03" }, { - "avatar": "https://avatars.githubusercontent.com/u/1482349?s=40&v=4", - "name": "majiang", - "url": "https://github.com/majiang" + "avatar": "https://avatars.githubusercontent.com/u/42101257?s=40&v=4", + "name": "Rooyca", + "url": "https://github.com/Rooyca" }, { - "avatar": "https://avatars.githubusercontent.com/u/25066224?s=40&v=4", - "name": "Antorell", - "url": "https://github.com/Antorell" + "avatar": "https://avatars.githubusercontent.com/u/107446530?s=40&v=4", + "name": "randompersononinternet69", + "url": "https://github.com/randompersononinternet69" } ] }, @@ -185,6 +163,43 @@ "url": "https://github.com/BetaBrainz" } ] + }, + { + "title": "enhorse/java-interview", + "url": "https://github.com/enhorse/java-interview", + "description": "Вопросы и ответы к интервью Java разработчика", + "language": "Batchfile", + "languageColor": "#C1F12E", + "stars": "5,260", + "forks": "1,914", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/757085?s=40&v=4", + "name": "KhArtNJava", + "url": "https://github.com/KhArtNJava" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6253660?s=40&v=4", + "name": "enhorse", + "url": "https://github.com/enhorse" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54657539?s=40&v=4", + "name": "Cupcake-master", + "url": "https://github.com/Cupcake-master" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4526420?s=40&v=4", + "name": "lehaSVV2009", + "url": "https://github.com/lehaSVV2009" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5359109?s=40&v=4", + "name": "andrii-ashomok", + "url": "https://github.com/andrii-ashomok" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/batchfile.xml b/data/daily/batchfile.xml index 6196bfcc8418..3d40517b151e 100644 --- a/data/daily/batchfile.xml +++ b/data/daily/batchfile.xml @@ -3,42 +3,42 @@ GitHub Batchfile Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Batchfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - ionuttbara/windows-defender-remover - https://github.com/ionuttbara/windows-defender-remover - A tool which is uses to remove Windows Defender in Windows 8.x, Windows 10 (every version) and Windows 11. - https://github.com/ionuttbara/windows-defender-remover + PaperMC/Folia + https://github.com/PaperMC/Folia + Fork of Paper which adds regionised multithreading to the dedicated server. + https://github.com/PaperMC/Folia Batchfile #C1F12E - 4,173 - 280 - 9 + 3,577 + 464 + 2 - https://avatars.githubusercontent.com/u/76656855?s=40&v=4 - ionuttbara - https://github.com/ionuttbara + https://avatars.githubusercontent.com/u/58008?s=40&v=4 + aikar + https://github.com/aikar - https://avatars.githubusercontent.com/u/1227781?s=40&v=4 - laramy2020 - https://github.com/laramy2020 + https://avatars.githubusercontent.com/u/15055071?s=40&v=4 + Machine-Maker + https://github.com/Machine-Maker - https://avatars.githubusercontent.com/u/38593134?s=40&v=4 - drunkwinter - https://github.com/drunkwinter + https://avatars.githubusercontent.com/u/6100722?s=40&v=4 + Spottedleaf + https://github.com/Spottedleaf - https://avatars.githubusercontent.com/u/952007?s=40&v=4 - szepeviktor - https://github.com/szepeviktor + https://avatars.githubusercontent.com/u/1332104?s=40&v=4 + DenWav + https://github.com/DenWav - https://avatars.githubusercontent.com/u/9846948?s=40&v=4 - FadeMind - https://github.com/FadeMind + https://avatars.githubusercontent.com/u/11360596?s=40&v=4 + jpenilla + https://github.com/jpenilla @@ -49,8 +49,8 @@ https://github.com/lstprjct/IDM-Activation-Script Batchfile #C1F12E - 10,018 - 1,463 + 10,021 + 1,466 14 @@ -71,96 +71,73 @@ - PaperMC/Folia - https://github.com/PaperMC/Folia - Fork of Paper which adds regionised multithreading to the dedicated server. - https://github.com/PaperMC/Folia + awesome-windows11/windows11 + https://github.com/awesome-windows11/windows11 + 🌎 Windows 11 Settings, Tweaks, Scripts + https://github.com/awesome-windows11/windows11 Batchfile #C1F12E - 3,576 - 463 - 2 + 2,206 + 121 + 6 - https://avatars.githubusercontent.com/u/58008?s=40&v=4 - aikar - https://github.com/aikar + https://avatars.githubusercontent.com/u/87380272?s=40&v=4 + jestxfot + https://github.com/jestxfot - https://avatars.githubusercontent.com/u/15055071?s=40&v=4 - Machine-Maker - https://github.com/Machine-Maker + https://avatars.githubusercontent.com/u/10544660?s=40&v=4 + farag2 + https://github.com/farag2 - https://avatars.githubusercontent.com/u/6100722?s=40&v=4 - Spottedleaf - https://github.com/Spottedleaf + https://avatars.githubusercontent.com/u/1519725?s=40&v=4 + ulquiomaru + https://github.com/ulquiomaru - https://avatars.githubusercontent.com/u/1332104?s=40&v=4 - DenWav - https://github.com/DenWav - - - https://avatars.githubusercontent.com/u/11360596?s=40&v=4 - jpenilla - https://github.com/jpenilla + https://avatars.githubusercontent.com/u/4609678?s=40&v=4 + HUMENTH + https://github.com/HUMENTH - Alex313031/Thorium-Win - https://github.com/Alex313031/Thorium-Win - Chromium fork for Windows named after radioactive element No. 90; Windows builds of https://github.com/Alex313031/Thorium - https://github.com/Alex313031/Thorium-Win + mon5termatt/medicat_installer + https://github.com/mon5termatt/medicat_installer + Medicat Installer Repo + https://github.com/mon5termatt/medicat_installer Batchfile #C1F12E - 1,563 - 36 - 2 + 1,619 + 123 + 5 - https://avatars.githubusercontent.com/u/45863095?s=40&v=4 - Alex313031 - https://github.com/Alex313031 + https://avatars.githubusercontent.com/u/43628254?s=40&v=4 + mon5termatt + https://github.com/mon5termatt - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear - - - - - pal1000/Realtek-UAD-generic - https://github.com/pal1000/Realtek-UAD-generic - An unofficial package of generic Realtek Universal Audio Driver made from parts of various OEM specific Reatek Universal Audio drivers intended to work on legacy systems lacking OEM UAD support. - https://github.com/pal1000/Realtek-UAD-generic - Batchfile - #C1F12E - 1,134 - 41 - 1 - - - https://avatars.githubusercontent.com/u/1138235?s=40&v=4 - pal1000 - https://github.com/pal1000 + https://avatars.githubusercontent.com/u/37031361?s=40&v=4 + Teknoist + https://github.com/Teknoist - https://avatars.githubusercontent.com/u/67589323?s=40&v=4 - nkh0472 - https://github.com/nkh0472 + https://avatars.githubusercontent.com/u/96273359?s=40&v=4 + SkeletonMan03 + https://github.com/SkeletonMan03 - https://avatars.githubusercontent.com/u/1482349?s=40&v=4 - majiang - https://github.com/majiang + https://avatars.githubusercontent.com/u/42101257?s=40&v=4 + Rooyca + https://github.com/Rooyca - https://avatars.githubusercontent.com/u/25066224?s=40&v=4 - Antorell - https://github.com/Antorell + https://avatars.githubusercontent.com/u/107446530?s=40&v=4 + randompersononinternet69 + https://github.com/randompersononinternet69 @@ -192,5 +169,43 @@ + + enhorse/java-interview + https://github.com/enhorse/java-interview + Вопросы и ответы к интервью Java разработчика + https://github.com/enhorse/java-interview + Batchfile + #C1F12E + 5,260 + 1,914 + 3 + + + https://avatars.githubusercontent.com/u/757085?s=40&v=4 + KhArtNJava + https://github.com/KhArtNJava + + + https://avatars.githubusercontent.com/u/6253660?s=40&v=4 + enhorse + https://github.com/enhorse + + + https://avatars.githubusercontent.com/u/54657539?s=40&v=4 + Cupcake-master + https://github.com/Cupcake-master + + + https://avatars.githubusercontent.com/u/4526420?s=40&v=4 + lehaSVV2009 + https://github.com/lehaSVV2009 + + + https://avatars.githubusercontent.com/u/5359109?s=40&v=4 + andrii-ashomok + https://github.com/andrii-ashomok + + + \ No newline at end of file diff --git a/data/daily/beef.json b/data/daily/beef.json index e6c97b2171a3..a0319a47b2c6 100644 --- a/data/daily/beef.json +++ b/data/daily/beef.json @@ -2,6 +2,6 @@ "title": "GitHub Beef Languages Daily Trending", "description": "Daily Trending of Beef Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/beef.xml b/data/daily/beef.xml index 153cf1ed74b6..4ca16fb9bd08 100644 --- a/data/daily/beef.xml +++ b/data/daily/beef.xml @@ -3,6 +3,6 @@ GitHub Beef Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Beef Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/befunge.json b/data/daily/befunge.json index 40604bd95499..9509a621b60e 100644 --- a/data/daily/befunge.json +++ b/data/daily/befunge.json @@ -2,6 +2,6 @@ "title": "GitHub Befunge Languages Daily Trending", "description": "Daily Trending of Befunge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/befunge.xml b/data/daily/befunge.xml index 5dd2855746a1..555c2561449f 100644 --- a/data/daily/befunge.xml +++ b/data/daily/befunge.xml @@ -3,6 +3,6 @@ GitHub Befunge Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Befunge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/berry.json b/data/daily/berry.json index 301859e32170..7f63266b624c 100644 --- a/data/daily/berry.json +++ b/data/daily/berry.json @@ -2,6 +2,6 @@ "title": "GitHub Berry Languages Daily Trending", "description": "Daily Trending of Berry Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/berry.xml b/data/daily/berry.xml index a9d2d3f73fdc..4b6827a6934a 100644 --- a/data/daily/berry.xml +++ b/data/daily/berry.xml @@ -3,6 +3,6 @@ GitHub Berry Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Berry Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/bibtex.json b/data/daily/bibtex.json index 8759283d0fa9..28a6c0dd3ec7 100644 --- a/data/daily/bibtex.json +++ b/data/daily/bibtex.json @@ -2,6 +2,6 @@ "title": "GitHub Bibtex Languages Daily Trending", "description": "Daily Trending of Bibtex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/bibtex.xml b/data/daily/bibtex.xml index 460cb1869621..0e23e492e1ec 100644 --- a/data/daily/bibtex.xml +++ b/data/daily/bibtex.xml @@ -3,6 +3,6 @@ GitHub Bibtex Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bibtex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/bicep.json b/data/daily/bicep.json index 5c9eb9ffb184..e5b2f870e7b1 100644 --- a/data/daily/bicep.json +++ b/data/daily/bicep.json @@ -2,111 +2,116 @@ "title": "GitHub Bicep Languages Daily Trending", "description": "Daily Trending of Bicep Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app", - "url": "https://github.com/Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app", - "description": "A sample Express.js app using a MongoDB database to show how to host Node.js app in Azure App service using Azure Cosmos DB", + "title": "Azure/azure-quickstart-templates", + "url": "https://github.com/Azure/azure-quickstart-templates", + "description": "Azure Quickstart Templates", "language": "Bicep", "languageColor": "#519aba", - "stars": "31", - "forks": "668", - "addStars": "0", + "stars": "14,085", + "forks": "16,142", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10680831?s=40&v=4", - "name": "DavidCBerry13", - "url": "https://github.com/DavidCBerry13" + "avatar": "https://avatars.githubusercontent.com/u/10090014?s=40&v=4", + "name": "bmoore-msft", + "url": "https://github.com/bmoore-msft" }, { - "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", - "name": "microsoftopensource", - "url": "https://github.com/microsoftopensource" + "avatar": "https://avatars.githubusercontent.com/u/21195014?s=40&v=4", + "name": "akhilthomas011", + "url": "https://github.com/akhilthomas011" }, { - "avatar": "https://avatars.githubusercontent.com/u/1517008?s=40&v=4", - "name": "dfberry", - "url": "https://github.com/dfberry" + "avatar": "https://avatars.githubusercontent.com/u/5141735?s=40&v=4", + "name": "MCKLMT", + "url": "https://github.com/MCKLMT" }, { - "avatar": "https://avatars.githubusercontent.com/u/5288982?s=40&v=4", - "name": "cephalin", - "url": "https://github.com/cephalin" + "avatar": "https://avatars.githubusercontent.com/u/12481340?s=40&v=4", + "name": "marcvaneijk", + "url": "https://github.com/marcvaneijk" }, { - "avatar": "https://avatars.githubusercontent.com/u/41597107?s=40&v=4", - "name": "diberry", - "url": "https://github.com/diberry" + "avatar": "https://avatars.githubusercontent.com/u/11078275?s=40&v=4", + "name": "alex-frankel", + "url": "https://github.com/alex-frankel" } ] }, { - "title": "github-copilot-resources/copilot-metrics-viewer", - "url": "https://github.com/github-copilot-resources/copilot-metrics-viewer", - "description": "Tool to visualize the Copilot metrics provided via the Copilot Business Metrics API (current in public beta)", + "title": "Azure/bicep-registry-modules", + "url": "https://github.com/Azure/bicep-registry-modules", + "description": "Bicep registry modules", "language": "Bicep", "languageColor": "#519aba", - "stars": "307", - "forks": "155", - "addStars": "1", + "stars": "515", + "forks": "362", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3329307?s=40&v=4", - "name": "martedesco", - "url": "https://github.com/martedesco" + "avatar": "https://avatars.githubusercontent.com/u/5365358?s=40&v=4", + "name": "AlexanderSehr", + "url": "https://github.com/AlexanderSehr" }, { - "avatar": "https://avatars.githubusercontent.com/u/3539908?s=40&v=4", - "name": "karpikpl", - "url": "https://github.com/karpikpl" + "avatar": "https://avatars.githubusercontent.com/u/56914614?s=40&v=4", + "name": "eriqua", + "url": "https://github.com/eriqua" }, { - "avatar": "https://avatars.githubusercontent.com/u/54096296?s=40&v=4", - "name": "DevOps-zhuang", - "url": "https://github.com/DevOps-zhuang" + "avatar": "https://avatars.githubusercontent.com/u/20225789?s=40&v=4", + "name": "krbar", + "url": "https://github.com/krbar" }, { - "avatar": "https://avatars.githubusercontent.com/u/51145?s=40&v=4", - "name": "jycr", - "url": "https://github.com/jycr" + "avatar": "https://avatars.githubusercontent.com/u/48600046?s=40&v=4", + "name": "ChrisSidebotham", + "url": "https://github.com/ChrisSidebotham" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16367959?s=40&v=4", + "name": "shenglol", + "url": "https://github.com/shenglol" } ] }, { - "title": "Azure/azure-quickstart-templates", - "url": "https://github.com/Azure/azure-quickstart-templates", - "description": "Azure Quickstart Templates", + "title": "SonarSource/sonar-scanning-examples", + "url": "https://github.com/SonarSource/sonar-scanning-examples", + "description": "Shows how to use the Scanners", "language": "Bicep", "languageColor": "#519aba", - "stars": "14,085", - "forks": "16,142", - "addStars": "2", + "stars": "894", + "forks": "2,241", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10090014?s=40&v=4", - "name": "bmoore-msft", - "url": "https://github.com/bmoore-msft" + "avatar": "https://avatars.githubusercontent.com/u/70384906?s=40&v=4", + "name": "joe-tingsanchali-sonarsource", + "url": "https://github.com/joe-tingsanchali-sonarsource" }, { - "avatar": "https://avatars.githubusercontent.com/u/21195014?s=40&v=4", - "name": "akhilthomas011", - "url": "https://github.com/akhilthomas011" + "avatar": "https://avatars.githubusercontent.com/u/14922592?s=40&v=4", + "name": "okorach", + "url": "https://github.com/okorach" }, { - "avatar": "https://avatars.githubusercontent.com/u/5141735?s=40&v=4", - "name": "MCKLMT", - "url": "https://github.com/MCKLMT" + "avatar": "https://avatars.githubusercontent.com/u/47899134?s=40&v=4", + "name": "jeff-zapotoczny-sonarsource", + "url": "https://github.com/jeff-zapotoczny-sonarsource" }, { - "avatar": "https://avatars.githubusercontent.com/u/12481340?s=40&v=4", - "name": "marcvaneijk", - "url": "https://github.com/marcvaneijk" + "avatar": "https://avatars.githubusercontent.com/u/59280694?s=40&v=4", + "name": "sylvain-combe-sonarsource", + "url": "https://github.com/sylvain-combe-sonarsource" }, { - "avatar": "https://avatars.githubusercontent.com/u/11078275?s=40&v=4", - "name": "alex-frankel", - "url": "https://github.com/alex-frankel" + "avatar": "https://avatars.githubusercontent.com/u/108535395?s=40&v=4", + "name": "francoismora", + "url": "https://github.com/francoismora" } ] }, @@ -141,43 +146,6 @@ "url": "https://github.com/actions-user" } ] - }, - { - "title": "Azure/bicep-registry-modules", - "url": "https://github.com/Azure/bicep-registry-modules", - "description": "Bicep registry modules", - "language": "Bicep", - "languageColor": "#519aba", - "stars": "514", - "forks": "362", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5365358?s=40&v=4", - "name": "AlexanderSehr", - "url": "https://github.com/AlexanderSehr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/56914614?s=40&v=4", - "name": "eriqua", - "url": "https://github.com/eriqua" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20225789?s=40&v=4", - "name": "krbar", - "url": "https://github.com/krbar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48600046?s=40&v=4", - "name": "ChrisSidebotham", - "url": "https://github.com/ChrisSidebotham" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16367959?s=40&v=4", - "name": "shenglol", - "url": "https://github.com/shenglol" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/bicep.xml b/data/daily/bicep.xml index 3422d205dbeb..9db1a954233e 100644 --- a/data/daily/bicep.xml +++ b/data/daily/bicep.xml @@ -3,113 +3,118 @@ GitHub Bicep Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bicep Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app - https://github.com/Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app - A sample Express.js app using a MongoDB database to show how to host Node.js app in Azure App service using Azure Cosmos DB - https://github.com/Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app + Azure/azure-quickstart-templates + https://github.com/Azure/azure-quickstart-templates + Azure Quickstart Templates + https://github.com/Azure/azure-quickstart-templates Bicep #519aba - 31 - 668 - 0 + 14,085 + 16,142 + 2 - https://avatars.githubusercontent.com/u/10680831?s=40&v=4 - DavidCBerry13 - https://github.com/DavidCBerry13 + https://avatars.githubusercontent.com/u/10090014?s=40&v=4 + bmoore-msft + https://github.com/bmoore-msft - https://avatars.githubusercontent.com/u/22527892?s=40&v=4 - microsoftopensource - https://github.com/microsoftopensource + https://avatars.githubusercontent.com/u/21195014?s=40&v=4 + akhilthomas011 + https://github.com/akhilthomas011 - https://avatars.githubusercontent.com/u/1517008?s=40&v=4 - dfberry - https://github.com/dfberry + https://avatars.githubusercontent.com/u/5141735?s=40&v=4 + MCKLMT + https://github.com/MCKLMT - https://avatars.githubusercontent.com/u/5288982?s=40&v=4 - cephalin - https://github.com/cephalin + https://avatars.githubusercontent.com/u/12481340?s=40&v=4 + marcvaneijk + https://github.com/marcvaneijk - https://avatars.githubusercontent.com/u/41597107?s=40&v=4 - diberry - https://github.com/diberry + https://avatars.githubusercontent.com/u/11078275?s=40&v=4 + alex-frankel + https://github.com/alex-frankel - github-copilot-resources/copilot-metrics-viewer - https://github.com/github-copilot-resources/copilot-metrics-viewer - Tool to visualize the Copilot metrics provided via the Copilot Business Metrics API (current in public beta) - https://github.com/github-copilot-resources/copilot-metrics-viewer + Azure/bicep-registry-modules + https://github.com/Azure/bicep-registry-modules + Bicep registry modules + https://github.com/Azure/bicep-registry-modules Bicep #519aba - 307 - 155 - 1 + 515 + 362 + 0 - https://avatars.githubusercontent.com/u/3329307?s=40&v=4 - martedesco - https://github.com/martedesco + https://avatars.githubusercontent.com/u/5365358?s=40&v=4 + AlexanderSehr + https://github.com/AlexanderSehr - https://avatars.githubusercontent.com/u/3539908?s=40&v=4 - karpikpl - https://github.com/karpikpl + https://avatars.githubusercontent.com/u/56914614?s=40&v=4 + eriqua + https://github.com/eriqua + + + https://avatars.githubusercontent.com/u/20225789?s=40&v=4 + krbar + https://github.com/krbar - https://avatars.githubusercontent.com/u/54096296?s=40&v=4 - DevOps-zhuang - https://github.com/DevOps-zhuang + https://avatars.githubusercontent.com/u/48600046?s=40&v=4 + ChrisSidebotham + https://github.com/ChrisSidebotham - https://avatars.githubusercontent.com/u/51145?s=40&v=4 - jycr - https://github.com/jycr + https://avatars.githubusercontent.com/u/16367959?s=40&v=4 + shenglol + https://github.com/shenglol - Azure/azure-quickstart-templates - https://github.com/Azure/azure-quickstart-templates - Azure Quickstart Templates - https://github.com/Azure/azure-quickstart-templates + SonarSource/sonar-scanning-examples + https://github.com/SonarSource/sonar-scanning-examples + Shows how to use the Scanners + https://github.com/SonarSource/sonar-scanning-examples Bicep #519aba - 14,085 - 16,142 - 2 + 894 + 2,241 + 0 - https://avatars.githubusercontent.com/u/10090014?s=40&v=4 - bmoore-msft - https://github.com/bmoore-msft + https://avatars.githubusercontent.com/u/70384906?s=40&v=4 + joe-tingsanchali-sonarsource + https://github.com/joe-tingsanchali-sonarsource - https://avatars.githubusercontent.com/u/21195014?s=40&v=4 - akhilthomas011 - https://github.com/akhilthomas011 + https://avatars.githubusercontent.com/u/14922592?s=40&v=4 + okorach + https://github.com/okorach - https://avatars.githubusercontent.com/u/5141735?s=40&v=4 - MCKLMT - https://github.com/MCKLMT + https://avatars.githubusercontent.com/u/47899134?s=40&v=4 + jeff-zapotoczny-sonarsource + https://github.com/jeff-zapotoczny-sonarsource - https://avatars.githubusercontent.com/u/12481340?s=40&v=4 - marcvaneijk - https://github.com/marcvaneijk + https://avatars.githubusercontent.com/u/59280694?s=40&v=4 + sylvain-combe-sonarsource + https://github.com/sylvain-combe-sonarsource - https://avatars.githubusercontent.com/u/11078275?s=40&v=4 - alex-frankel - https://github.com/alex-frankel + https://avatars.githubusercontent.com/u/108535395?s=40&v=4 + francoismora + https://github.com/francoismora @@ -146,43 +151,5 @@ - - Azure/bicep-registry-modules - https://github.com/Azure/bicep-registry-modules - Bicep registry modules - https://github.com/Azure/bicep-registry-modules - Bicep - #519aba - 514 - 362 - 0 - - - https://avatars.githubusercontent.com/u/5365358?s=40&v=4 - AlexanderSehr - https://github.com/AlexanderSehr - - - https://avatars.githubusercontent.com/u/56914614?s=40&v=4 - eriqua - https://github.com/eriqua - - - https://avatars.githubusercontent.com/u/20225789?s=40&v=4 - krbar - https://github.com/krbar - - - https://avatars.githubusercontent.com/u/48600046?s=40&v=4 - ChrisSidebotham - https://github.com/ChrisSidebotham - - - https://avatars.githubusercontent.com/u/16367959?s=40&v=4 - shenglol - https://github.com/shenglol - - - \ No newline at end of file diff --git a/data/daily/bikeshed.json b/data/daily/bikeshed.json index 78f47f9b8188..20819c65b858 100644 --- a/data/daily/bikeshed.json +++ b/data/daily/bikeshed.json @@ -2,37 +2,42 @@ "title": "GitHub Bikeshed Languages Daily Trending", "description": "Daily Trending of Bikeshed Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "patcg-individual-drafts/topics", - "url": "https://github.com/patcg-individual-drafts/topics", - "description": "The Topics API", + "title": "w3c/csswg-drafts", + "url": "https://github.com/w3c/csswg-drafts", + "description": "CSS Working Group Editor Drafts", "language": "Bikeshed", "languageColor": "#5562ac", - "stars": "624", - "forks": "234", - "addStars": "0", + "stars": "4,516", + "forks": "672", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4599939?s=40&v=4", - "name": "xyaoinum", - "url": "https://github.com/xyaoinum" + "avatar": "https://avatars.githubusercontent.com/u/725717?s=40&v=4", + "name": "fantasai", + "url": "https://github.com/fantasai" }, { - "avatar": "https://avatars.githubusercontent.com/u/6920902?s=40&v=4", - "name": "jkarlin", - "url": "https://github.com/jkarlin" + "avatar": "https://avatars.githubusercontent.com/u/682840?s=40&v=4", + "name": "tabatkins", + "url": "https://github.com/tabatkins" }, { - "avatar": "https://avatars.githubusercontent.com/u/16669324?s=40&v=4", - "name": "michaelkleber", - "url": "https://github.com/michaelkleber" + "avatar": "https://avatars.githubusercontent.com/u/7431647?s=40&v=4", + "name": "bert-github", + "url": "https://github.com/bert-github" }, { - "avatar": "https://avatars.githubusercontent.com/u/17484954?s=40&v=4", - "name": "leeronisrael", - "url": "https://github.com/leeronisrael" + "avatar": "https://avatars.githubusercontent.com/u/2506926?s=40&v=4", + "name": "svgeesus", + "url": "https://github.com/svgeesus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/113268?s=40&v=4", + "name": "frivoal", + "url": "https://github.com/frivoal" } ] }, @@ -74,66 +79,34 @@ ] }, { - "title": "WICG/first-party-sets", - "url": "https://github.com/WICG/first-party-sets", - "description": "", - "language": "Bikeshed", - "languageColor": "#5562ac", - "stars": "293", - "forks": "75", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/54452408?s=40&v=4", - "name": "krgovind", - "url": "https://github.com/krgovind" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2622601?s=40&v=4", - "name": "johannhof", - "url": "https://github.com/johannhof" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4204485?s=40&v=4", - "name": "cfredric", - "url": "https://github.com/cfredric" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89418275?s=40&v=4", - "name": "shuranhuang", - "url": "https://github.com/shuranhuang" - } - ] - }, - { - "title": "WebBluetoothCG/web-bluetooth", - "url": "https://github.com/WebBluetoothCG/web-bluetooth", - "description": "Bluetooth support for the Web.", + "title": "patcg-individual-drafts/topics", + "url": "https://github.com/patcg-individual-drafts/topics", + "description": "The Topics API", "language": "Bikeshed", "languageColor": "#5562ac", - "stars": "1,396", - "forks": "189", + "stars": "624", + "forks": "234", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/83420?s=40&v=4", - "name": "jyasskin", - "url": "https://github.com/jyasskin" + "avatar": "https://avatars.githubusercontent.com/u/4599939?s=40&v=4", + "name": "xyaoinum", + "url": "https://github.com/xyaoinum" }, { - "avatar": "https://avatars.githubusercontent.com/u/634478?s=40&v=4", - "name": "beaufortfrancois", - "url": "https://github.com/beaufortfrancois" + "avatar": "https://avatars.githubusercontent.com/u/6920902?s=40&v=4", + "name": "jkarlin", + "url": "https://github.com/jkarlin" }, { - "avatar": "https://avatars.githubusercontent.com/u/386971?s=40&v=4", - "name": "scheib", - "url": "https://github.com/scheib" + "avatar": "https://avatars.githubusercontent.com/u/16669324?s=40&v=4", + "name": "michaelkleber", + "url": "https://github.com/michaelkleber" }, { - "avatar": "https://avatars.githubusercontent.com/u/152137?s=40&v=4", - "name": "reillyeon", - "url": "https://github.com/reillyeon" + "avatar": "https://avatars.githubusercontent.com/u/17484954?s=40&v=4", + "name": "leeronisrael", + "url": "https://github.com/leeronisrael" } ] } diff --git a/data/daily/bikeshed.xml b/data/daily/bikeshed.xml index 11ed7aec4a85..5cbee61c6771 100644 --- a/data/daily/bikeshed.xml +++ b/data/daily/bikeshed.xml @@ -3,37 +3,42 @@ GitHub Bikeshed Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bikeshed Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - patcg-individual-drafts/topics - https://github.com/patcg-individual-drafts/topics - The Topics API - https://github.com/patcg-individual-drafts/topics + w3c/csswg-drafts + https://github.com/w3c/csswg-drafts + CSS Working Group Editor Drafts + https://github.com/w3c/csswg-drafts Bikeshed #5562ac - 624 - 234 - 0 + 4,516 + 672 + 1 - https://avatars.githubusercontent.com/u/4599939?s=40&v=4 - xyaoinum - https://github.com/xyaoinum + https://avatars.githubusercontent.com/u/725717?s=40&v=4 + fantasai + https://github.com/fantasai - https://avatars.githubusercontent.com/u/6920902?s=40&v=4 - jkarlin - https://github.com/jkarlin + https://avatars.githubusercontent.com/u/682840?s=40&v=4 + tabatkins + https://github.com/tabatkins - https://avatars.githubusercontent.com/u/16669324?s=40&v=4 - michaelkleber - https://github.com/michaelkleber + https://avatars.githubusercontent.com/u/7431647?s=40&v=4 + bert-github + https://github.com/bert-github - https://avatars.githubusercontent.com/u/17484954?s=40&v=4 - leeronisrael - https://github.com/leeronisrael + https://avatars.githubusercontent.com/u/2506926?s=40&v=4 + svgeesus + https://github.com/svgeesus + + + https://avatars.githubusercontent.com/u/113268?s=40&v=4 + frivoal + https://github.com/frivoal @@ -76,68 +81,35 @@ - WICG/first-party-sets - https://github.com/WICG/first-party-sets - - https://github.com/WICG/first-party-sets - Bikeshed - #5562ac - 293 - 75 - 0 - - - https://avatars.githubusercontent.com/u/54452408?s=40&v=4 - krgovind - https://github.com/krgovind - - - https://avatars.githubusercontent.com/u/2622601?s=40&v=4 - johannhof - https://github.com/johannhof - - - https://avatars.githubusercontent.com/u/4204485?s=40&v=4 - cfredric - https://github.com/cfredric - - - https://avatars.githubusercontent.com/u/89418275?s=40&v=4 - shuranhuang - https://github.com/shuranhuang - - - - - WebBluetoothCG/web-bluetooth - https://github.com/WebBluetoothCG/web-bluetooth - Bluetooth support for the Web. - https://github.com/WebBluetoothCG/web-bluetooth + patcg-individual-drafts/topics + https://github.com/patcg-individual-drafts/topics + The Topics API + https://github.com/patcg-individual-drafts/topics Bikeshed #5562ac - 1,396 - 189 + 624 + 234 0 - https://avatars.githubusercontent.com/u/83420?s=40&v=4 - jyasskin - https://github.com/jyasskin + https://avatars.githubusercontent.com/u/4599939?s=40&v=4 + xyaoinum + https://github.com/xyaoinum - https://avatars.githubusercontent.com/u/634478?s=40&v=4 - beaufortfrancois - https://github.com/beaufortfrancois + https://avatars.githubusercontent.com/u/6920902?s=40&v=4 + jkarlin + https://github.com/jkarlin - https://avatars.githubusercontent.com/u/386971?s=40&v=4 - scheib - https://github.com/scheib + https://avatars.githubusercontent.com/u/16669324?s=40&v=4 + michaelkleber + https://github.com/michaelkleber - https://avatars.githubusercontent.com/u/152137?s=40&v=4 - reillyeon - https://github.com/reillyeon + https://avatars.githubusercontent.com/u/17484954?s=40&v=4 + leeronisrael + https://github.com/leeronisrael diff --git a/data/daily/bison.json b/data/daily/bison.json index 5283cd525a8e..c5e15ae6b9ba 100644 --- a/data/daily/bison.json +++ b/data/daily/bison.json @@ -2,6 +2,6 @@ "title": "GitHub Bison Languages Daily Trending", "description": "Daily Trending of Bison Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/bison.xml b/data/daily/bison.xml index 31ce95c7d991..ed2aef3a5c26 100644 --- a/data/daily/bison.xml +++ b/data/daily/bison.xml @@ -3,6 +3,6 @@ GitHub Bison Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bison Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/bitbake.json b/data/daily/bitbake.json index 338ad9a69851..f5aa66378768 100644 --- a/data/daily/bitbake.json +++ b/data/daily/bitbake.json @@ -2,7 +2,7 @@ "title": "GitHub Bitbake Languages Daily Trending", "description": "Daily Trending of Bitbake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "mendersoftware/meta-mender", @@ -37,161 +37,161 @@ ] }, { - "title": "Xilinx/meta-xilinx", - "url": "https://github.com/Xilinx/meta-xilinx", - "description": "Collection of Yocto Project layers to enable AMD Xilinx products", + "title": "meta-rust/meta-rust", + "url": "https://github.com/meta-rust/meta-rust", + "description": "OpenEmbedded/Yocto layer for Rust and Cargo", "language": "BitBake", "languageColor": "#00bce4", - "stars": "145", - "forks": "134", + "stars": "208", + "forks": "124", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7375778?s=40&v=4", - "name": "mhatle", - "url": "https://github.com/mhatle" + "avatar": "https://avatars.githubusercontent.com/u/103437?s=40&v=4", + "name": "codyps", + "url": "https://github.com/codyps" }, { - "avatar": "https://avatars.githubusercontent.com/u/1016397?s=40&v=4", - "name": "nathanrossi", - "url": "https://github.com/nathanrossi" + "avatar": "https://avatars.githubusercontent.com/u/8839?s=40&v=4", + "name": "cardoe", + "url": "https://github.com/cardoe" }, { - "avatar": "https://avatars.githubusercontent.com/u/8731280?s=40&v=4", - "name": "grsandeep85", - "url": "https://github.com/grsandeep85" + "avatar": "https://avatars.githubusercontent.com/u/79127?s=40&v=4", + "name": "srwalter", + "url": "https://github.com/srwalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/15717918?s=40&v=4", - "name": "ckalluri73", - "url": "https://github.com/ckalluri73" + "avatar": "https://avatars.githubusercontent.com/u/9665276?s=40&v=4", + "name": "derekstraka", + "url": "https://github.com/derekstraka" }, { - "avatar": "https://avatars.githubusercontent.com/u/68365708?s=40&v=4", - "name": "kedareswararao", - "url": "https://github.com/kedareswararao" + "avatar": "https://avatars.githubusercontent.com/u/1528839?s=40&v=4", + "name": "janderholm", + "url": "https://github.com/janderholm" } ] }, { - "title": "Xilinx/meta-xilinx-tools", - "url": "https://github.com/Xilinx/meta-xilinx-tools", - "description": "Yocto Project layer enables AMD Xilinx tools related metadata for MicroBlaze, Zynq, ZynqMP and Versal devices.", + "title": "rust-embedded/meta-rust-bin", + "url": "https://github.com/rust-embedded/meta-rust-bin", + "description": "Yocto layer for installing Rust toolchain from pre-built binaries", "language": "BitBake", "languageColor": "#00bce4", - "stars": "55", - "forks": "50", + "stars": "109", + "forks": "66", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7375778?s=40&v=4", - "name": "mhatle", - "url": "https://github.com/mhatle" + "avatar": "https://avatars.githubusercontent.com/u/41714?s=40&v=4", + "name": "posborne", + "url": "https://github.com/posborne" }, { - "avatar": "https://avatars.githubusercontent.com/u/14320946?s=40&v=4", - "name": "mharth", - "url": "https://github.com/mharth" + "avatar": "https://avatars.githubusercontent.com/u/1500008?s=40&v=4", + "name": "nastevens", + "url": "https://github.com/nastevens" }, { - "avatar": "https://avatars.githubusercontent.com/u/8731280?s=40&v=4", - "name": "grsandeep85", - "url": "https://github.com/grsandeep85" + "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", + "name": "otavio", + "url": "https://github.com/otavio" }, { - "avatar": "https://avatars.githubusercontent.com/u/15717918?s=40&v=4", - "name": "ckalluri73", - "url": "https://github.com/ckalluri73" + "avatar": "https://avatars.githubusercontent.com/u/43125?s=40&v=4", + "name": "eldruin", + "url": "https://github.com/eldruin" }, { - "avatar": "https://avatars.githubusercontent.com/u/425754?s=40&v=4", - "name": "johntoomey", - "url": "https://github.com/johntoomey" + "avatar": "https://avatars.githubusercontent.com/u/109770420?s=40&v=4", + "name": "eric-seppanen", + "url": "https://github.com/eric-seppanen" } ] }, { - "title": "OE4T/meta-tegra", - "url": "https://github.com/OE4T/meta-tegra", - "description": "BSP layer for NVIDIA Jetson platforms, based on L4T", + "title": "openembedded/openembedded-core", + "url": "https://github.com/openembedded/openembedded-core", + "description": "The official Git repository is at https://git.openembedded.org/openembedded-core/.", "language": "BitBake", "languageColor": "#00bce4", - "stars": "419", - "forks": "230", + "stars": "364", + "forks": "499", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3137284?s=40&v=4", - "name": "madisongh", - "url": "https://github.com/madisongh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48104?s=40&v=4", - "name": "kekiefer", - "url": "https://github.com/kekiefer" + "avatar": "https://avatars.githubusercontent.com/u/1184070?s=40&v=4", + "name": "rpurdie", + "url": "https://github.com/rpurdie" }, { - "avatar": "https://avatars.githubusercontent.com/u/15719516?s=40&v=4", - "name": "ichergui", - "url": "https://github.com/ichergui" + "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", + "name": "rossburton", + "url": "https://github.com/rossburton" }, { - "avatar": "https://avatars.githubusercontent.com/u/227565?s=40&v=4", - "name": "dwalkes", - "url": "https://github.com/dwalkes" + "avatar": "https://avatars.githubusercontent.com/u/1011938?s=40&v=4", + "name": "kanavin", + "url": "https://github.com/kanavin" }, { "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", "name": "kraj", "url": "https://github.com/kraj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", + "name": "wangmingyu84", + "url": "https://github.com/wangmingyu84" } ] }, { - "title": "rust-embedded/meta-rust-bin", - "url": "https://github.com/rust-embedded/meta-rust-bin", - "description": "Yocto layer for installing Rust toolchain from pre-built binaries", + "title": "riscv/meta-riscv", + "url": "https://github.com/riscv/meta-riscv", + "description": "OpenEmbedded/Yocto layer for RISC-V Architecture", "language": "BitBake", "languageColor": "#00bce4", - "stars": "109", - "forks": "66", + "stars": "367", + "forks": "140", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/41714?s=40&v=4", - "name": "posborne", - "url": "https://github.com/posborne" + "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", + "name": "kraj", + "url": "https://github.com/kraj" }, { - "avatar": "https://avatars.githubusercontent.com/u/1500008?s=40&v=4", - "name": "nastevens", - "url": "https://github.com/nastevens" + "avatar": "https://avatars.githubusercontent.com/u/171674?s=40&v=4", + "name": "alistair23", + "url": "https://github.com/alistair23" }, { - "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", - "name": "otavio", - "url": "https://github.com/otavio" + "avatar": "https://avatars.githubusercontent.com/u/1029323?s=40&v=4", + "name": "cordlandwehr", + "url": "https://github.com/cordlandwehr" }, { - "avatar": "https://avatars.githubusercontent.com/u/43125?s=40&v=4", - "name": "eldruin", - "url": "https://github.com/eldruin" + "avatar": "https://avatars.githubusercontent.com/u/29390119?s=40&v=4", + "name": "pino-kim", + "url": "https://github.com/pino-kim" }, { - "avatar": "https://avatars.githubusercontent.com/u/109770420?s=40&v=4", - "name": "eric-seppanen", - "url": "https://github.com/eric-seppanen" + "avatar": "https://avatars.githubusercontent.com/u/12937401?s=40&v=4", + "name": "lyctw", + "url": "https://github.com/lyctw" } ] }, { - "title": "Xilinx/meta-petalinux", - "url": "https://github.com/Xilinx/meta-petalinux", - "description": "meta-petalinux distro layer supporting Xilinx Tools", + "title": "Xilinx/meta-xilinx-tools", + "url": "https://github.com/Xilinx/meta-xilinx-tools", + "description": "Yocto Project layer enables AMD Xilinx tools related metadata for MicroBlaze, Zynq, ZynqMP and Versal devices.", "language": "BitBake", "languageColor": "#00bce4", - "stars": "84", - "forks": "48", + "stars": "55", + "forks": "50", "addStars": "0", "contributors": [ { @@ -204,42 +204,57 @@ "name": "mharth", "url": "https://github.com/mharth" }, + { + "avatar": "https://avatars.githubusercontent.com/u/8731280?s=40&v=4", + "name": "grsandeep85", + "url": "https://github.com/grsandeep85" + }, { "avatar": "https://avatars.githubusercontent.com/u/15717918?s=40&v=4", "name": "ckalluri73", "url": "https://github.com/ckalluri73" }, { - "avatar": "https://avatars.githubusercontent.com/u/4174699?s=40&v=4", - "name": "chkohn", - "url": "https://github.com/chkohn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8731280?s=40&v=4", - "name": "grsandeep85", - "url": "https://github.com/grsandeep85" + "avatar": "https://avatars.githubusercontent.com/u/425754?s=40&v=4", + "name": "johntoomey", + "url": "https://github.com/johntoomey" } ] }, { - "title": "balena-os/balena-jetson", - "url": "https://github.com/balena-os/balena-jetson", - "description": "", + "title": "kraj/meta-clang", + "url": "https://github.com/kraj/meta-clang", + "description": "Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project", "language": "BitBake", "languageColor": "#00bce4", - "stars": "44", - "forks": "23", + "stars": "162", + "forks": "206", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8066549?s=40&v=4", - "name": "acostach", - "url": "https://github.com/acostach" + "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", + "name": "kraj", + "url": "https://github.com/kraj" }, { - "avatar": "https://avatars.githubusercontent.com/u/34882892?s=40&v=4", - "name": "balena-ci", - "url": "https://github.com/balena-ci" + "avatar": "https://avatars.githubusercontent.com/u/1234642?s=40&v=4", + "name": "shr-project", + "url": "https://github.com/shr-project" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", + "name": "rossburton", + "url": "https://github.com/rossburton" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/179131?s=40&v=4", + "name": "dankm", + "url": "https://github.com/dankm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9674237?s=40&v=4", + "name": "Ecordonnier", + "url": "https://github.com/Ecordonnier" } ] }, @@ -280,43 +295,6 @@ } ] }, - { - "title": "meta-rust/meta-rust", - "url": "https://github.com/meta-rust/meta-rust", - "description": "OpenEmbedded/Yocto layer for Rust and Cargo", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "208", - "forks": "124", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/103437?s=40&v=4", - "name": "codyps", - "url": "https://github.com/codyps" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8839?s=40&v=4", - "name": "cardoe", - "url": "https://github.com/cardoe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79127?s=40&v=4", - "name": "srwalter", - "url": "https://github.com/srwalter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9665276?s=40&v=4", - "name": "derekstraka", - "url": "https://github.com/derekstraka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1528839?s=40&v=4", - "name": "janderholm", - "url": "https://github.com/janderholm" - } - ] - }, { "title": "Freescale/meta-freescale-3rdparty", "url": "https://github.com/Freescale/meta-freescale-3rdparty", @@ -355,126 +333,116 @@ ] }, { - "title": "openembedded/openembedded-core", - "url": "https://github.com/openembedded/openembedded-core", - "description": "The official Git repository is at https://git.openembedded.org/openembedded-core/.", + "title": "balena-os/balena-jetson", + "url": "https://github.com/balena-os/balena-jetson", + "description": "", "language": "BitBake", "languageColor": "#00bce4", - "stars": "364", - "forks": "499", + "stars": "44", + "forks": "23", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1184070?s=40&v=4", - "name": "rpurdie", - "url": "https://github.com/rpurdie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", - "name": "rossburton", - "url": "https://github.com/rossburton" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1011938?s=40&v=4", - "name": "kanavin", - "url": "https://github.com/kanavin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", - "name": "kraj", - "url": "https://github.com/kraj" + "avatar": "https://avatars.githubusercontent.com/u/8066549?s=40&v=4", + "name": "acostach", + "url": "https://github.com/acostach" }, { - "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", - "name": "wangmingyu84", - "url": "https://github.com/wangmingyu84" + "avatar": "https://avatars.githubusercontent.com/u/34882892?s=40&v=4", + "name": "balena-ci", + "url": "https://github.com/balena-ci" } ] }, { - "title": "openembedded/meta-openembedded", - "url": "https://github.com/openembedded/meta-openembedded", - "description": "", + "title": "Xilinx/meta-xilinx", + "url": "https://github.com/Xilinx/meta-xilinx", + "description": "Collection of Yocto Project layers to enable AMD Xilinx products", "language": "BitBake", "languageColor": "#00bce4", - "stars": "415", - "forks": "719", + "stars": "145", + "forks": "134", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", - "name": "kraj", - "url": "https://github.com/kraj" + "avatar": "https://avatars.githubusercontent.com/u/7375778?s=40&v=4", + "name": "mhatle", + "url": "https://github.com/mhatle" }, { - "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", - "name": "wangmingyu84", - "url": "https://github.com/wangmingyu84" + "avatar": "https://avatars.githubusercontent.com/u/1016397?s=40&v=4", + "name": "nathanrossi", + "url": "https://github.com/nathanrossi" }, { - "avatar": "https://avatars.githubusercontent.com/u/1234642?s=40&v=4", - "name": "shr-project", - "url": "https://github.com/shr-project" + "avatar": "https://avatars.githubusercontent.com/u/8731280?s=40&v=4", + "name": "grsandeep85", + "url": "https://github.com/grsandeep85" }, { - "avatar": "https://avatars.githubusercontent.com/u/2571823?s=40&v=4", - "name": "schnitzeltony", - "url": "https://github.com/schnitzeltony" + "avatar": "https://avatars.githubusercontent.com/u/15717918?s=40&v=4", + "name": "ckalluri73", + "url": "https://github.com/ckalluri73" }, { - "avatar": "https://avatars.githubusercontent.com/u/3279083?s=40&v=4", - "name": "leon-anavi", - "url": "https://github.com/leon-anavi" + "avatar": "https://avatars.githubusercontent.com/u/68365708?s=40&v=4", + "name": "kedareswararao", + "url": "https://github.com/kedareswararao" } ] }, { - "title": "Wind-River/meta-secure-core", - "url": "https://github.com/Wind-River/meta-secure-core", - "description": "", + "title": "OE4T/meta-tegra", + "url": "https://github.com/OE4T/meta-tegra", + "description": "BSP layer for NVIDIA Jetson platforms, based on L4T", "language": "BitBake", "languageColor": "#00bce4", - "stars": "21", - "forks": "23", + "stars": "419", + "forks": "230", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/38274519?s=40&v=4", - "name": "yizhao1", - "url": "https://github.com/yizhao1" + "avatar": "https://avatars.githubusercontent.com/u/3137284?s=40&v=4", + "name": "madisongh", + "url": "https://github.com/madisongh" }, { - "avatar": "https://avatars.githubusercontent.com/u/19700825?s=40&v=4", - "name": "yunguowei", - "url": "https://github.com/yunguowei" + "avatar": "https://avatars.githubusercontent.com/u/48104?s=40&v=4", + "name": "kekiefer", + "url": "https://github.com/kekiefer" }, { - "avatar": "https://avatars.githubusercontent.com/u/8885711?s=40&v=4", - "name": "jwslater0823", - "url": "https://github.com/jwslater0823" + "avatar": "https://avatars.githubusercontent.com/u/15719516?s=40&v=4", + "name": "ichergui", + "url": "https://github.com/ichergui" }, { - "avatar": "https://avatars.githubusercontent.com/u/113907230?s=40&v=4", - "name": "mikkorapeli-linaro", - "url": "https://github.com/mikkorapeli-linaro" + "avatar": "https://avatars.githubusercontent.com/u/227565?s=40&v=4", + "name": "dwalkes", + "url": "https://github.com/dwalkes" }, { - "avatar": "https://avatars.githubusercontent.com/u/69332723?s=40&v=4", - "name": "kkang-wr", - "url": "https://github.com/kkang-wr" + "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", + "name": "kraj", + "url": "https://github.com/kraj" } ] }, { - "title": "kraj/meta-clang", - "url": "https://github.com/kraj/meta-clang", - "description": "Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project", + "title": "OSSystems/meta-browser", + "url": "https://github.com/OSSystems/meta-browser", + "description": "OpenEmbedded/Yocto BSP layer for Web Browsers", "language": "BitBake", "languageColor": "#00bce4", - "stars": "162", - "forks": "206", + "stars": "186", + "forks": "195", "addStars": "0", "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", + "name": "otavio", + "url": "https://github.com/otavio" + }, { "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", "name": "kraj", @@ -486,56 +454,14 @@ "url": "https://github.com/shr-project" }, { - "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", - "name": "rossburton", - "url": "https://github.com/rossburton" + "avatar": "https://avatars.githubusercontent.com/u/5306904?s=40&v=4", + "name": "msisov", + "url": "https://github.com/msisov" }, { - "avatar": "https://avatars.githubusercontent.com/u/179131?s=40&v=4", - "name": "dankm", - "url": "https://github.com/dankm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9674237?s=40&v=4", - "name": "Ecordonnier", - "url": "https://github.com/Ecordonnier" - } - ] - }, - { - "title": "rauc/meta-rauc", - "url": "https://github.com/rauc/meta-rauc", - "description": "Yocto/Open Embedded meta layer for RAUC, the embedded Linux update framework", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "166", - "forks": "92", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1416326?s=40&v=4", - "name": "ejoerns", - "url": "https://github.com/ejoerns" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3678062?s=40&v=4", - "name": "jluebbe", - "url": "https://github.com/jluebbe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19584951?s=40&v=4", - "name": "OnkelUlla", - "url": "https://github.com/OnkelUlla" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31402500?s=40&v=4", - "name": "mschwan-phytec", - "url": "https://github.com/mschwan-phytec" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19491045?s=40&v=4", - "name": "mnhu", - "url": "https://github.com/mnhu" + "avatar": "https://avatars.githubusercontent.com/u/93915379?s=40&v=4", + "name": "MaxIhlenfeldt", + "url": "https://github.com/MaxIhlenfeldt" } ] }, @@ -575,6 +501,80 @@ "url": "https://github.com/CarolZhuYuqing" } ] + }, + { + "title": "STMicroelectronics/meta-st-stm32mp", + "url": "https://github.com/STMicroelectronics/meta-st-stm32mp", + "description": "meta-st-stm32mp", + "language": "BitBake", + "languageColor": "#00bce4", + "stars": "134", + "forks": "101", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/14992201?s=40&v=4", + "name": "cpriouzeau", + "url": "https://github.com/cpriouzeau" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50237302?s=40&v=4", + "name": "RJESTM", + "url": "https://github.com/RJESTM" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/57800490?s=40&v=4", + "name": "lionel846", + "url": "https://github.com/lionel846" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22339586?s=40&v=4", + "name": "BernardPuel", + "url": "https://github.com/BernardPuel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15716308?s=40&v=4", + "name": "patrickdelaunay", + "url": "https://github.com/patrickdelaunay" + } + ] + }, + { + "title": "openembedded/meta-openembedded", + "url": "https://github.com/openembedded/meta-openembedded", + "description": "", + "language": "BitBake", + "languageColor": "#00bce4", + "stars": "415", + "forks": "719", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", + "name": "kraj", + "url": "https://github.com/kraj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", + "name": "wangmingyu84", + "url": "https://github.com/wangmingyu84" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1234642?s=40&v=4", + "name": "shr-project", + "url": "https://github.com/shr-project" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2571823?s=40&v=4", + "name": "schnitzeltony", + "url": "https://github.com/schnitzeltony" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3279083?s=40&v=4", + "name": "leon-anavi", + "url": "https://github.com/leon-anavi" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/bitbake.xml b/data/daily/bitbake.xml index 8d36a30c5544..e768fdddf47f 100644 --- a/data/daily/bitbake.xml +++ b/data/daily/bitbake.xml @@ -3,7 +3,7 @@ GitHub Bitbake Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bitbake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT mendersoftware/meta-mender https://github.com/mendersoftware/meta-mender @@ -38,166 +38,166 @@ - Xilinx/meta-xilinx - https://github.com/Xilinx/meta-xilinx - Collection of Yocto Project layers to enable AMD Xilinx products - https://github.com/Xilinx/meta-xilinx + meta-rust/meta-rust + https://github.com/meta-rust/meta-rust + OpenEmbedded/Yocto layer for Rust and Cargo + https://github.com/meta-rust/meta-rust BitBake #00bce4 - 145 - 134 + 208 + 124 0 - https://avatars.githubusercontent.com/u/7375778?s=40&v=4 - mhatle - https://github.com/mhatle + https://avatars.githubusercontent.com/u/103437?s=40&v=4 + codyps + https://github.com/codyps - https://avatars.githubusercontent.com/u/1016397?s=40&v=4 - nathanrossi - https://github.com/nathanrossi + https://avatars.githubusercontent.com/u/8839?s=40&v=4 + cardoe + https://github.com/cardoe - https://avatars.githubusercontent.com/u/8731280?s=40&v=4 - grsandeep85 - https://github.com/grsandeep85 + https://avatars.githubusercontent.com/u/79127?s=40&v=4 + srwalter + https://github.com/srwalter - https://avatars.githubusercontent.com/u/15717918?s=40&v=4 - ckalluri73 - https://github.com/ckalluri73 + https://avatars.githubusercontent.com/u/9665276?s=40&v=4 + derekstraka + https://github.com/derekstraka - https://avatars.githubusercontent.com/u/68365708?s=40&v=4 - kedareswararao - https://github.com/kedareswararao + https://avatars.githubusercontent.com/u/1528839?s=40&v=4 + janderholm + https://github.com/janderholm - Xilinx/meta-xilinx-tools - https://github.com/Xilinx/meta-xilinx-tools - Yocto Project layer enables AMD Xilinx tools related metadata for MicroBlaze, Zynq, ZynqMP and Versal devices. - https://github.com/Xilinx/meta-xilinx-tools + rust-embedded/meta-rust-bin + https://github.com/rust-embedded/meta-rust-bin + Yocto layer for installing Rust toolchain from pre-built binaries + https://github.com/rust-embedded/meta-rust-bin BitBake #00bce4 - 55 - 50 + 109 + 66 0 - https://avatars.githubusercontent.com/u/7375778?s=40&v=4 - mhatle - https://github.com/mhatle + https://avatars.githubusercontent.com/u/41714?s=40&v=4 + posborne + https://github.com/posborne - https://avatars.githubusercontent.com/u/14320946?s=40&v=4 - mharth - https://github.com/mharth + https://avatars.githubusercontent.com/u/1500008?s=40&v=4 + nastevens + https://github.com/nastevens - https://avatars.githubusercontent.com/u/8731280?s=40&v=4 - grsandeep85 - https://github.com/grsandeep85 + https://avatars.githubusercontent.com/u/25278?s=40&v=4 + otavio + https://github.com/otavio - https://avatars.githubusercontent.com/u/15717918?s=40&v=4 - ckalluri73 - https://github.com/ckalluri73 + https://avatars.githubusercontent.com/u/43125?s=40&v=4 + eldruin + https://github.com/eldruin - https://avatars.githubusercontent.com/u/425754?s=40&v=4 - johntoomey - https://github.com/johntoomey + https://avatars.githubusercontent.com/u/109770420?s=40&v=4 + eric-seppanen + https://github.com/eric-seppanen - OE4T/meta-tegra - https://github.com/OE4T/meta-tegra - BSP layer for NVIDIA Jetson platforms, based on L4T - https://github.com/OE4T/meta-tegra + openembedded/openembedded-core + https://github.com/openembedded/openembedded-core + The official Git repository is at https://git.openembedded.org/openembedded-core/. + https://github.com/openembedded/openembedded-core BitBake #00bce4 - 419 - 230 + 364 + 499 0 - https://avatars.githubusercontent.com/u/3137284?s=40&v=4 - madisongh - https://github.com/madisongh - - - https://avatars.githubusercontent.com/u/48104?s=40&v=4 - kekiefer - https://github.com/kekiefer + https://avatars.githubusercontent.com/u/1184070?s=40&v=4 + rpurdie + https://github.com/rpurdie - https://avatars.githubusercontent.com/u/15719516?s=40&v=4 - ichergui - https://github.com/ichergui + https://avatars.githubusercontent.com/u/32394?s=40&v=4 + rossburton + https://github.com/rossburton - https://avatars.githubusercontent.com/u/227565?s=40&v=4 - dwalkes - https://github.com/dwalkes + https://avatars.githubusercontent.com/u/1011938?s=40&v=4 + kanavin + https://github.com/kanavin https://avatars.githubusercontent.com/u/465279?s=40&v=4 kraj https://github.com/kraj + + https://avatars.githubusercontent.com/u/37888291?s=40&v=4 + wangmingyu84 + https://github.com/wangmingyu84 + - rust-embedded/meta-rust-bin - https://github.com/rust-embedded/meta-rust-bin - Yocto layer for installing Rust toolchain from pre-built binaries - https://github.com/rust-embedded/meta-rust-bin + riscv/meta-riscv + https://github.com/riscv/meta-riscv + OpenEmbedded/Yocto layer for RISC-V Architecture + https://github.com/riscv/meta-riscv BitBake #00bce4 - 109 - 66 + 367 + 140 0 - https://avatars.githubusercontent.com/u/41714?s=40&v=4 - posborne - https://github.com/posborne + https://avatars.githubusercontent.com/u/465279?s=40&v=4 + kraj + https://github.com/kraj - https://avatars.githubusercontent.com/u/1500008?s=40&v=4 - nastevens - https://github.com/nastevens + https://avatars.githubusercontent.com/u/171674?s=40&v=4 + alistair23 + https://github.com/alistair23 - https://avatars.githubusercontent.com/u/25278?s=40&v=4 - otavio - https://github.com/otavio + https://avatars.githubusercontent.com/u/1029323?s=40&v=4 + cordlandwehr + https://github.com/cordlandwehr - https://avatars.githubusercontent.com/u/43125?s=40&v=4 - eldruin - https://github.com/eldruin + https://avatars.githubusercontent.com/u/29390119?s=40&v=4 + pino-kim + https://github.com/pino-kim - https://avatars.githubusercontent.com/u/109770420?s=40&v=4 - eric-seppanen - https://github.com/eric-seppanen + https://avatars.githubusercontent.com/u/12937401?s=40&v=4 + lyctw + https://github.com/lyctw - Xilinx/meta-petalinux - https://github.com/Xilinx/meta-petalinux - meta-petalinux distro layer supporting Xilinx Tools - https://github.com/Xilinx/meta-petalinux + Xilinx/meta-xilinx-tools + https://github.com/Xilinx/meta-xilinx-tools + Yocto Project layer enables AMD Xilinx tools related metadata for MicroBlaze, Zynq, ZynqMP and Versal devices. + https://github.com/Xilinx/meta-xilinx-tools BitBake #00bce4 - 84 - 48 + 55 + 50 0 @@ -210,43 +210,58 @@ mharth https://github.com/mharth + + https://avatars.githubusercontent.com/u/8731280?s=40&v=4 + grsandeep85 + https://github.com/grsandeep85 + https://avatars.githubusercontent.com/u/15717918?s=40&v=4 ckalluri73 https://github.com/ckalluri73 - https://avatars.githubusercontent.com/u/4174699?s=40&v=4 - chkohn - https://github.com/chkohn - - - https://avatars.githubusercontent.com/u/8731280?s=40&v=4 - grsandeep85 - https://github.com/grsandeep85 + https://avatars.githubusercontent.com/u/425754?s=40&v=4 + johntoomey + https://github.com/johntoomey - balena-os/balena-jetson - https://github.com/balena-os/balena-jetson - - https://github.com/balena-os/balena-jetson + kraj/meta-clang + https://github.com/kraj/meta-clang + Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project + https://github.com/kraj/meta-clang BitBake #00bce4 - 44 - 23 + 162 + 206 0 - https://avatars.githubusercontent.com/u/8066549?s=40&v=4 - acostach - https://github.com/acostach + https://avatars.githubusercontent.com/u/465279?s=40&v=4 + kraj + https://github.com/kraj - https://avatars.githubusercontent.com/u/34882892?s=40&v=4 - balena-ci - https://github.com/balena-ci + https://avatars.githubusercontent.com/u/1234642?s=40&v=4 + shr-project + https://github.com/shr-project + + + https://avatars.githubusercontent.com/u/32394?s=40&v=4 + rossburton + https://github.com/rossburton + + + https://avatars.githubusercontent.com/u/179131?s=40&v=4 + dankm + https://github.com/dankm + + + https://avatars.githubusercontent.com/u/9674237?s=40&v=4 + Ecordonnier + https://github.com/Ecordonnier @@ -288,44 +303,6 @@ - - meta-rust/meta-rust - https://github.com/meta-rust/meta-rust - OpenEmbedded/Yocto layer for Rust and Cargo - https://github.com/meta-rust/meta-rust - BitBake - #00bce4 - 208 - 124 - 0 - - - https://avatars.githubusercontent.com/u/103437?s=40&v=4 - codyps - https://github.com/codyps - - - https://avatars.githubusercontent.com/u/8839?s=40&v=4 - cardoe - https://github.com/cardoe - - - https://avatars.githubusercontent.com/u/79127?s=40&v=4 - srwalter - https://github.com/srwalter - - - https://avatars.githubusercontent.com/u/9665276?s=40&v=4 - derekstraka - https://github.com/derekstraka - - - https://avatars.githubusercontent.com/u/1528839?s=40&v=4 - janderholm - https://github.com/janderholm - - - Freescale/meta-freescale-3rdparty https://github.com/Freescale/meta-freescale-3rdparty @@ -365,130 +342,120 @@ - openembedded/openembedded-core - https://github.com/openembedded/openembedded-core - The official Git repository is at https://git.openembedded.org/openembedded-core/. - https://github.com/openembedded/openembedded-core + balena-os/balena-jetson + https://github.com/balena-os/balena-jetson + + https://github.com/balena-os/balena-jetson BitBake #00bce4 - 364 - 499 + 44 + 23 0 - https://avatars.githubusercontent.com/u/1184070?s=40&v=4 - rpurdie - https://github.com/rpurdie - - - https://avatars.githubusercontent.com/u/32394?s=40&v=4 - rossburton - https://github.com/rossburton - - - https://avatars.githubusercontent.com/u/1011938?s=40&v=4 - kanavin - https://github.com/kanavin - - - https://avatars.githubusercontent.com/u/465279?s=40&v=4 - kraj - https://github.com/kraj + https://avatars.githubusercontent.com/u/8066549?s=40&v=4 + acostach + https://github.com/acostach - https://avatars.githubusercontent.com/u/37888291?s=40&v=4 - wangmingyu84 - https://github.com/wangmingyu84 + https://avatars.githubusercontent.com/u/34882892?s=40&v=4 + balena-ci + https://github.com/balena-ci - openembedded/meta-openembedded - https://github.com/openembedded/meta-openembedded - - https://github.com/openembedded/meta-openembedded + Xilinx/meta-xilinx + https://github.com/Xilinx/meta-xilinx + Collection of Yocto Project layers to enable AMD Xilinx products + https://github.com/Xilinx/meta-xilinx BitBake #00bce4 - 415 - 719 + 145 + 134 0 - https://avatars.githubusercontent.com/u/465279?s=40&v=4 - kraj - https://github.com/kraj + https://avatars.githubusercontent.com/u/7375778?s=40&v=4 + mhatle + https://github.com/mhatle - https://avatars.githubusercontent.com/u/37888291?s=40&v=4 - wangmingyu84 - https://github.com/wangmingyu84 + https://avatars.githubusercontent.com/u/1016397?s=40&v=4 + nathanrossi + https://github.com/nathanrossi - https://avatars.githubusercontent.com/u/1234642?s=40&v=4 - shr-project - https://github.com/shr-project + https://avatars.githubusercontent.com/u/8731280?s=40&v=4 + grsandeep85 + https://github.com/grsandeep85 - https://avatars.githubusercontent.com/u/2571823?s=40&v=4 - schnitzeltony - https://github.com/schnitzeltony + https://avatars.githubusercontent.com/u/15717918?s=40&v=4 + ckalluri73 + https://github.com/ckalluri73 - https://avatars.githubusercontent.com/u/3279083?s=40&v=4 - leon-anavi - https://github.com/leon-anavi + https://avatars.githubusercontent.com/u/68365708?s=40&v=4 + kedareswararao + https://github.com/kedareswararao - Wind-River/meta-secure-core - https://github.com/Wind-River/meta-secure-core - - https://github.com/Wind-River/meta-secure-core + OE4T/meta-tegra + https://github.com/OE4T/meta-tegra + BSP layer for NVIDIA Jetson platforms, based on L4T + https://github.com/OE4T/meta-tegra BitBake #00bce4 - 21 - 23 + 419 + 230 0 - https://avatars.githubusercontent.com/u/38274519?s=40&v=4 - yizhao1 - https://github.com/yizhao1 + https://avatars.githubusercontent.com/u/3137284?s=40&v=4 + madisongh + https://github.com/madisongh - https://avatars.githubusercontent.com/u/19700825?s=40&v=4 - yunguowei - https://github.com/yunguowei + https://avatars.githubusercontent.com/u/48104?s=40&v=4 + kekiefer + https://github.com/kekiefer - https://avatars.githubusercontent.com/u/8885711?s=40&v=4 - jwslater0823 - https://github.com/jwslater0823 + https://avatars.githubusercontent.com/u/15719516?s=40&v=4 + ichergui + https://github.com/ichergui - https://avatars.githubusercontent.com/u/113907230?s=40&v=4 - mikkorapeli-linaro - https://github.com/mikkorapeli-linaro + https://avatars.githubusercontent.com/u/227565?s=40&v=4 + dwalkes + https://github.com/dwalkes - https://avatars.githubusercontent.com/u/69332723?s=40&v=4 - kkang-wr - https://github.com/kkang-wr + https://avatars.githubusercontent.com/u/465279?s=40&v=4 + kraj + https://github.com/kraj - kraj/meta-clang - https://github.com/kraj/meta-clang - Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project - https://github.com/kraj/meta-clang + OSSystems/meta-browser + https://github.com/OSSystems/meta-browser + OpenEmbedded/Yocto BSP layer for Web Browsers + https://github.com/OSSystems/meta-browser BitBake #00bce4 - 162 - 206 + 186 + 195 0 + + https://avatars.githubusercontent.com/u/25278?s=40&v=4 + otavio + https://github.com/otavio + https://avatars.githubusercontent.com/u/465279?s=40&v=4 kraj @@ -500,57 +467,14 @@ https://github.com/shr-project - https://avatars.githubusercontent.com/u/32394?s=40&v=4 - rossburton - https://github.com/rossburton - - - https://avatars.githubusercontent.com/u/179131?s=40&v=4 - dankm - https://github.com/dankm - - - https://avatars.githubusercontent.com/u/9674237?s=40&v=4 - Ecordonnier - https://github.com/Ecordonnier - - - - - rauc/meta-rauc - https://github.com/rauc/meta-rauc - Yocto/Open Embedded meta layer for RAUC, the embedded Linux update framework - https://github.com/rauc/meta-rauc - BitBake - #00bce4 - 166 - 92 - 0 - - - https://avatars.githubusercontent.com/u/1416326?s=40&v=4 - ejoerns - https://github.com/ejoerns - - - https://avatars.githubusercontent.com/u/3678062?s=40&v=4 - jluebbe - https://github.com/jluebbe - - - https://avatars.githubusercontent.com/u/19584951?s=40&v=4 - OnkelUlla - https://github.com/OnkelUlla - - - https://avatars.githubusercontent.com/u/31402500?s=40&v=4 - mschwan-phytec - https://github.com/mschwan-phytec + https://avatars.githubusercontent.com/u/5306904?s=40&v=4 + msisov + https://github.com/msisov - https://avatars.githubusercontent.com/u/19491045?s=40&v=4 - mnhu - https://github.com/mnhu + https://avatars.githubusercontent.com/u/93915379?s=40&v=4 + MaxIhlenfeldt + https://github.com/MaxIhlenfeldt @@ -592,5 +516,81 @@ + + STMicroelectronics/meta-st-stm32mp + https://github.com/STMicroelectronics/meta-st-stm32mp + meta-st-stm32mp + https://github.com/STMicroelectronics/meta-st-stm32mp + BitBake + #00bce4 + 134 + 101 + 0 + + + https://avatars.githubusercontent.com/u/14992201?s=40&v=4 + cpriouzeau + https://github.com/cpriouzeau + + + https://avatars.githubusercontent.com/u/50237302?s=40&v=4 + RJESTM + https://github.com/RJESTM + + + https://avatars.githubusercontent.com/u/57800490?s=40&v=4 + lionel846 + https://github.com/lionel846 + + + https://avatars.githubusercontent.com/u/22339586?s=40&v=4 + BernardPuel + https://github.com/BernardPuel + + + https://avatars.githubusercontent.com/u/15716308?s=40&v=4 + patrickdelaunay + https://github.com/patrickdelaunay + + + + + openembedded/meta-openembedded + https://github.com/openembedded/meta-openembedded + + https://github.com/openembedded/meta-openembedded + BitBake + #00bce4 + 415 + 719 + 0 + + + https://avatars.githubusercontent.com/u/465279?s=40&v=4 + kraj + https://github.com/kraj + + + https://avatars.githubusercontent.com/u/37888291?s=40&v=4 + wangmingyu84 + https://github.com/wangmingyu84 + + + https://avatars.githubusercontent.com/u/1234642?s=40&v=4 + shr-project + https://github.com/shr-project + + + https://avatars.githubusercontent.com/u/2571823?s=40&v=4 + schnitzeltony + https://github.com/schnitzeltony + + + https://avatars.githubusercontent.com/u/3279083?s=40&v=4 + leon-anavi + https://github.com/leon-anavi + + + \ No newline at end of file diff --git a/data/daily/blade.json b/data/daily/blade.json index f76f1932d425..b697f89bf82a 100644 --- a/data/daily/blade.json +++ b/data/daily/blade.json @@ -2,7 +2,7 @@ "title": "GitHub Blade Languages Daily Trending", "description": "Daily Trending of Blade Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "krayin/laravel-crm", @@ -10,8 +10,8 @@ "description": "Free & Opensource Laravel CRM solution for SMEs and Enterprises for complete customer lifecycle management.", "language": "Blade", "languageColor": "#f7523f", - "stars": "11,309", - "forks": "768", + "stars": "11,308", + "forks": "769", "addStars": "19", "contributors": [ { @@ -41,6 +41,38 @@ } ] }, + { + "title": "lsky-org/lsky-pro", + "url": "https://github.com/lsky-org/lsky-pro", + "description": "☁️兰空图床(Lsky Pro) - Your photo album on the cloud.", + "language": "Blade", + "languageColor": "#f7523f", + "stars": "4,170", + "forks": "613", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/22728201?s=40&v=4", + "name": "wisp-x", + "url": "https://github.com/wisp-x" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14012127?s=40&v=4", + "name": "ctaoist", + "url": "https://github.com/ctaoist" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38252576?s=40&v=4", + "name": "qyhfrank", + "url": "https://github.com/qyhfrank" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46226528?s=40&v=4", + "name": "penndu", + "url": "https://github.com/penndu" + } + ] + }, { "title": "grocy/grocy", "url": "https://github.com/grocy/grocy", diff --git a/data/daily/blade.xml b/data/daily/blade.xml index 74d8666c38cf..e249a81fd449 100644 --- a/data/daily/blade.xml +++ b/data/daily/blade.xml @@ -3,7 +3,7 @@ GitHub Blade Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Blade Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT krayin/laravel-crm https://github.com/krayin/laravel-crm @@ -11,8 +11,8 @@ https://github.com/krayin/laravel-crm Blade #f7523f - 11,309 - 768 + 11,308 + 769 19 @@ -42,6 +42,39 @@ + + lsky-org/lsky-pro + https://github.com/lsky-org/lsky-pro + ☁️兰空图床(Lsky Pro) - Your photo album on the cloud. + https://github.com/lsky-org/lsky-pro + Blade + #f7523f + 4,170 + 613 + 4 + + + https://avatars.githubusercontent.com/u/22728201?s=40&v=4 + wisp-x + https://github.com/wisp-x + + + https://avatars.githubusercontent.com/u/14012127?s=40&v=4 + ctaoist + https://github.com/ctaoist + + + https://avatars.githubusercontent.com/u/38252576?s=40&v=4 + qyhfrank + https://github.com/qyhfrank + + + https://avatars.githubusercontent.com/u/46226528?s=40&v=4 + penndu + https://github.com/penndu + + + grocy/grocy https://github.com/grocy/grocy diff --git a/data/daily/blitzbasic.json b/data/daily/blitzbasic.json index 04eabd61f4fd..c19f59fe55f2 100644 --- a/data/daily/blitzbasic.json +++ b/data/daily/blitzbasic.json @@ -2,6 +2,6 @@ "title": "GitHub Blitzbasic Languages Daily Trending", "description": "Daily Trending of Blitzbasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/blitzbasic.xml b/data/daily/blitzbasic.xml index 8ec3180a56a4..6fd5e0a773be 100644 --- a/data/daily/blitzbasic.xml +++ b/data/daily/blitzbasic.xml @@ -3,6 +3,6 @@ GitHub Blitzbasic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Blitzbasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/blitzmax.json b/data/daily/blitzmax.json index 95b185090dda..059efc6ddf9d 100644 --- a/data/daily/blitzmax.json +++ b/data/daily/blitzmax.json @@ -2,6 +2,6 @@ "title": "GitHub Blitzmax Languages Daily Trending", "description": "Daily Trending of Blitzmax Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/blitzmax.xml b/data/daily/blitzmax.xml index 31c86a034e9a..7b0496d7cf86 100644 --- a/data/daily/blitzmax.xml +++ b/data/daily/blitzmax.xml @@ -3,6 +3,6 @@ GitHub Blitzmax Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Blitzmax Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/bluespec-bh.json b/data/daily/bluespec-bh.json index 8c7bb77ba451..bc5e93f77e65 100644 --- a/data/daily/bluespec-bh.json +++ b/data/daily/bluespec-bh.json @@ -2,6 +2,6 @@ "title": "GitHub Bluespec-bh Languages Daily Trending", "description": "Daily Trending of Bluespec-bh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/bluespec-bh.xml b/data/daily/bluespec-bh.xml index d65eba88d721..de6a16204c04 100644 --- a/data/daily/bluespec-bh.xml +++ b/data/daily/bluespec-bh.xml @@ -3,6 +3,6 @@ GitHub Bluespec-bh Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bluespec-bh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/bluespec.json b/data/daily/bluespec.json index a6d3a6b9f403..b8be5203a559 100644 --- a/data/daily/bluespec.json +++ b/data/daily/bluespec.json @@ -2,6 +2,6 @@ "title": "GitHub Bluespec Languages Daily Trending", "description": "Daily Trending of Bluespec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/bluespec.xml b/data/daily/bluespec.xml index 5085d6df3a3d..39cfabaec0d5 100644 --- a/data/daily/bluespec.xml +++ b/data/daily/bluespec.xml @@ -3,6 +3,6 @@ GitHub Bluespec Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bluespec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/boo.json b/data/daily/boo.json index 55f07a75b76a..fff7821fc1e8 100644 --- a/data/daily/boo.json +++ b/data/daily/boo.json @@ -2,6 +2,6 @@ "title": "GitHub Boo Languages Daily Trending", "description": "Daily Trending of Boo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/boo.xml b/data/daily/boo.xml index da69ab052a91..fcb9b5ce3ab7 100644 --- a/data/daily/boo.xml +++ b/data/daily/boo.xml @@ -3,6 +3,6 @@ GitHub Boo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Boo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/boogie.json b/data/daily/boogie.json index 64234cdf68a7..2fac6fda32fc 100644 --- a/data/daily/boogie.json +++ b/data/daily/boogie.json @@ -2,6 +2,6 @@ "title": "GitHub Boogie Languages Daily Trending", "description": "Daily Trending of Boogie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/boogie.xml b/data/daily/boogie.xml index bdb73e63ed4f..891e146255cb 100644 --- a/data/daily/boogie.xml +++ b/data/daily/boogie.xml @@ -3,6 +3,6 @@ GitHub Boogie Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Boogie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/bqn.json b/data/daily/bqn.json index e9f588fe72e4..b983b1b62883 100644 --- a/data/daily/bqn.json +++ b/data/daily/bqn.json @@ -2,6 +2,6 @@ "title": "GitHub Bqn Languages Daily Trending", "description": "Daily Trending of Bqn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/bqn.xml b/data/daily/bqn.xml index caf51954e854..36f619c6794e 100644 --- a/data/daily/bqn.xml +++ b/data/daily/bqn.xml @@ -3,6 +3,6 @@ GitHub Bqn Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Bqn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/brainfuck.json b/data/daily/brainfuck.json index 729f8318f08e..2de3adf1e56b 100644 --- a/data/daily/brainfuck.json +++ b/data/daily/brainfuck.json @@ -2,6 +2,29 @@ "title": "GitHub Brainfuck Languages Daily Trending", "description": "Daily Trending of Brainfuck Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "demisto/dockerfiles", + "url": "https://github.com/demisto/dockerfiles", + "description": "Demisto's Dockerfiles and Image Build Management", + "language": "Brainfuck", + "languageColor": "#2F2530", + "stars": "75", + "forks": "134", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/55035720?s=40&v=4", + "name": "content-bot", + "url": "https://github.com/content-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24831617?s=40&v=4", + "name": "JudahSchwartz", + "url": "https://github.com/JudahSchwartz" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/brainfuck.xml b/data/daily/brainfuck.xml index 67c0a1be41cb..70da8d2c8ee0 100644 --- a/data/daily/brainfuck.xml +++ b/data/daily/brainfuck.xml @@ -3,6 +3,29 @@ GitHub Brainfuck Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Brainfuck Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + demisto/dockerfiles + https://github.com/demisto/dockerfiles + Demisto's Dockerfiles and Image Build Management + https://github.com/demisto/dockerfiles + Brainfuck + #2F2530 + 75 + 134 + 0 + + + https://avatars.githubusercontent.com/u/55035720?s=40&v=4 + content-bot + https://github.com/content-bot + + + https://avatars.githubusercontent.com/u/24831617?s=40&v=4 + JudahSchwartz + https://github.com/JudahSchwartz + + + \ No newline at end of file diff --git a/data/daily/brighterscript.json b/data/daily/brighterscript.json index 46bf1dd74779..d764dc6b1c1a 100644 --- a/data/daily/brighterscript.json +++ b/data/daily/brighterscript.json @@ -2,6 +2,6 @@ "title": "GitHub Brighterscript Languages Daily Trending", "description": "Daily Trending of Brighterscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/brighterscript.xml b/data/daily/brighterscript.xml index 2ff51af7c5c0..7a390352ee91 100644 --- a/data/daily/brighterscript.xml +++ b/data/daily/brighterscript.xml @@ -3,6 +3,6 @@ GitHub Brighterscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Brighterscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/brightscript.json b/data/daily/brightscript.json index 10493af34981..bb3006626e87 100644 --- a/data/daily/brightscript.json +++ b/data/daily/brightscript.json @@ -2,6 +2,6 @@ "title": "GitHub Brightscript Languages Daily Trending", "description": "Daily Trending of Brightscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/brightscript.xml b/data/daily/brightscript.xml index d6d8c0e05c82..2eb1c33fd18e 100644 --- a/data/daily/brightscript.xml +++ b/data/daily/brightscript.xml @@ -3,6 +3,6 @@ GitHub Brightscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Brightscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/browserslist.json b/data/daily/browserslist.json index a6135d0f6d5b..8e9da1748788 100644 --- a/data/daily/browserslist.json +++ b/data/daily/browserslist.json @@ -2,6 +2,6 @@ "title": "GitHub Browserslist Languages Daily Trending", "description": "Daily Trending of Browserslist Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/browserslist.xml b/data/daily/browserslist.xml index c1a1e3361eb0..b10393b7b21e 100644 --- a/data/daily/browserslist.xml +++ b/data/daily/browserslist.xml @@ -3,6 +3,6 @@ GitHub Browserslist Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Browserslist Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/c#.json b/data/daily/c#.json index 84598c2bd33c..ad72880a58bc 100644 --- a/data/daily/c#.json +++ b/data/daily/c#.json @@ -2,281 +2,286 @@ "title": "GitHub C# Languages Daily Trending", "description": "Daily Trending of C# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "xmrig/xmrig", - "url": "https://github.com/xmrig/xmrig", - "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", + "title": "capstone-engine/capstone", + "url": "https://github.com/capstone-engine/capstone", + "description": "Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86.", "language": "C", "languageColor": "#555555", - "stars": "8,793", - "forks": "3,462", - "addStars": "5", + "stars": "7,623", + "forks": "1,556", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", - "name": "xmrig", - "url": "https://github.com/xmrig" + "avatar": "https://avatars.githubusercontent.com/u/5965536?s=40&v=4", + "name": "aquynh", + "url": "https://github.com/aquynh" }, { - "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", - "name": "SChernykh", - "url": "https://github.com/SChernykh" + "avatar": "https://avatars.githubusercontent.com/u/34511237?s=40&v=4", + "name": "kabeor", + "url": "https://github.com/kabeor" }, { - "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", - "name": "Spudz76", - "url": "https://github.com/Spudz76" + "avatar": "https://avatars.githubusercontent.com/u/24983369?s=40&v=4", + "name": "imbillow", + "url": "https://github.com/imbillow" }, { - "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", - "name": "Foudge", - "url": "https://github.com/Foudge" + "avatar": "https://avatars.githubusercontent.com/u/45763064?s=40&v=4", + "name": "Rot127", + "url": "https://github.com/Rot127" }, { - "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", - "name": "pdxwebdev", - "url": "https://github.com/pdxwebdev" + "avatar": "https://avatars.githubusercontent.com/u/35799796?s=40&v=4", + "name": "catenacyber", + "url": "https://github.com/catenacyber" } ] }, { - "title": "RfidResearchGroup/proxmark3", - "url": "https://github.com/RfidResearchGroup/proxmark3", - "description": "Iceman Fork - Proxmark3", + "title": "bol-van/zapret", + "url": "https://github.com/bol-van/zapret", + "description": "DPI bypass multi platform", "language": "C", "languageColor": "#555555", - "stars": "4,056", - "forks": "1,066", - "addStars": "7", + "stars": "8,501", + "forks": "639", + "addStars": "25", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8577004?s=40&v=4", - "name": "iceman1001", - "url": "https://github.com/iceman1001" + "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", + "name": "bol-van", + "url": "https://github.com/bol-van" }, { - "avatar": "https://avatars.githubusercontent.com/u/60773?s=40&v=4", - "name": "doegox", - "url": "https://github.com/doegox" + "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", + "name": "maximilionus", + "url": "https://github.com/maximilionus" }, { - "avatar": "https://avatars.githubusercontent.com/u/807634?s=40&v=4", - "name": "merlokk", - "url": "https://github.com/merlokk" + "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", + "name": "anphsw", + "url": "https://github.com/anphsw" }, { - "avatar": "https://avatars.githubusercontent.com/u/61853685?s=40&v=4", - "name": "tharexde", - "url": "https://github.com/tharexde" + "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", + "name": "SashaXser", + "url": "https://github.com/SashaXser" }, { - "avatar": "https://avatars.githubusercontent.com/u/51802811?s=40&v=4", - "name": "mwalker33", - "url": "https://github.com/mwalker33" + "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", + "name": "ataniazov", + "url": "https://github.com/ataniazov" } ] }, { - "title": "git/git", - "url": "https://github.com/git/git", - "description": "Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements.", + "title": "ValdikSS/GoodbyeDPI", + "url": "https://github.com/ValdikSS/GoodbyeDPI", + "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", "language": "C", "languageColor": "#555555", - "stars": "52,653", - "forks": "25,667", - "addStars": "13", + "stars": "25,589", + "forks": "1,873", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/54884?s=40&v=4", - "name": "gitster", - "url": "https://github.com/gitster" + "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", + "name": "ValdikSS", + "url": "https://github.com/ValdikSS" }, { - "avatar": "https://avatars.githubusercontent.com/u/45925?s=40&v=4", - "name": "peff", - "url": "https://github.com/peff" + "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", + "name": "EgorWeders", + "url": "https://github.com/EgorWeders" }, { - "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", - "name": "dscho", - "url": "https://github.com/dscho" + "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", + "name": "KOLANICH", + "url": "https://github.com/KOLANICH" }, { - "avatar": "https://avatars.githubusercontent.com/u/45301?s=40&v=4", - "name": "avar", - "url": "https://github.com/avar" + "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", + "name": "pash7ka", + "url": "https://github.com/pash7ka" }, { - "avatar": "https://avatars.githubusercontent.com/u/720?s=40&v=4", - "name": "pclouds", - "url": "https://github.com/pclouds" + "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", + "name": "eltociear", + "url": "https://github.com/eltociear" } ] }, { - "title": "mpv-player/mpv", - "url": "https://github.com/mpv-player/mpv", - "description": "🎥 Command line video player", + "title": "xmrig/xmrig", + "url": "https://github.com/xmrig/xmrig", + "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", "language": "C", "languageColor": "#555555", - "stars": "28,703", - "forks": "2,927", - "addStars": "17", + "stars": "8,801", + "forks": "3,464", + "addStars": "50", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1126053?s=40&v=4", - "name": "kasper93", - "url": "https://github.com/kasper93" + "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", + "name": "xmrig", + "url": "https://github.com/xmrig" }, { - "avatar": "https://avatars.githubusercontent.com/u/5105515?s=40&v=4", - "name": "Dudemanguy", - "url": "https://github.com/Dudemanguy" + "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", + "name": "SChernykh", + "url": "https://github.com/SChernykh" }, { - "avatar": "https://avatars.githubusercontent.com/u/1149047?s=40&v=4", - "name": "haasn", - "url": "https://github.com/haasn" + "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", + "name": "Spudz76", + "url": "https://github.com/Spudz76" }, { - "avatar": "https://avatars.githubusercontent.com/u/24681?s=40&v=4", - "name": "pigoz", - "url": "https://github.com/pigoz" + "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", + "name": "Foudge", + "url": "https://github.com/Foudge" }, { - "avatar": "https://avatars.githubusercontent.com/u/680386?s=40&v=4", - "name": "Akemi", - "url": "https://github.com/Akemi" + "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", + "name": "pdxwebdev", + "url": "https://github.com/pdxwebdev" } ] }, { - "title": "acidanthera/OpenCorePkg", - "url": "https://github.com/acidanthera/OpenCorePkg", - "description": "OpenCore bootloader", + "title": "brunodev85/winlator", + "url": "https://github.com/brunodev85/winlator", + "description": "Android application for running Windows applications with Wine and Box86/Box64", "language": "C", "languageColor": "#555555", - "stars": "13,470", - "forks": "2,116", - "addStars": "7", + "stars": "9,236", + "forks": "457", + "addStars": "21", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", - "name": "vit9696", - "url": "https://github.com/vit9696" + "avatar": "https://avatars.githubusercontent.com/u/11407071?s=40&v=4", + "name": "brunodev85", + "url": "https://github.com/brunodev85" }, { - "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", - "name": "mhaeuser", - "url": "https://github.com/mhaeuser" + "avatar": "https://avatars.githubusercontent.com/u/88626244?s=40&v=4", + "name": "kazimaruf991", + "url": "https://github.com/kazimaruf991" }, { - "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", - "name": "Andrey1970AppleLife", - "url": "https://github.com/Andrey1970AppleLife" + "avatar": "https://avatars.githubusercontent.com/u/100040786?s=40&v=4", + "name": "Kashinathpat", + "url": "https://github.com/Kashinathpat" }, { - "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", - "name": "mikebeaton", - "url": "https://github.com/mikebeaton" + "avatar": "https://avatars.githubusercontent.com/u/518438?s=40&v=4", + "name": "hackcasual", + "url": "https://github.com/hackcasual" }, { - "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", - "name": "PMheart", - "url": "https://github.com/PMheart" + "avatar": "https://avatars.githubusercontent.com/u/6472545?s=40&v=4", + "name": "lvonasek", + "url": "https://github.com/lvonasek" } ] }, { - "title": "Genymobile/scrcpy", - "url": "https://github.com/Genymobile/scrcpy", - "description": "Display and control your Android device", + "title": "glfw/glfw", + "url": "https://github.com/glfw/glfw", + "description": "A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input", "language": "C", "languageColor": "#555555", - "stars": "112,588", - "forks": "10,767", - "addStars": "48", + "stars": "13,128", + "forks": "5,271", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/543275?s=40&v=4", - "name": "rom1v", - "url": "https://github.com/rom1v" + "avatar": "https://avatars.githubusercontent.com/u/133714?s=40&v=4", + "name": "elmindreda", + "url": "https://github.com/elmindreda" }, { - "avatar": "https://avatars.githubusercontent.com/u/1330321?s=40&v=4", - "name": "yume-chan", - "url": "https://github.com/yume-chan" + "avatar": "https://avatars.githubusercontent.com/u/7755816?s=40&v=4", + "name": "linkmauve", + "url": "https://github.com/linkmauve" }, { - "avatar": "https://avatars.githubusercontent.com/u/5494432?s=40&v=4", - "name": "npes87184", - "url": "https://github.com/npes87184" + "avatar": "https://avatars.githubusercontent.com/u/872946?s=40&v=4", + "name": "dougbinks", + "url": "https://github.com/dougbinks" }, { - "avatar": "https://avatars.githubusercontent.com/u/639467?s=40&v=4", - "name": "brunoais", - "url": "https://github.com/brunoais" + "avatar": "https://avatars.githubusercontent.com/u/84891?s=40&v=4", + "name": "adrianbroher", + "url": "https://github.com/adrianbroher" }, { - "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", - "name": "rootkea", - "url": "https://github.com/rootkea" + "avatar": "https://avatars.githubusercontent.com/u/1075690?s=40&v=4", + "name": "siavashserver", + "url": "https://github.com/siavashserver" } ] }, { - "title": "bol-van/zapret", - "url": "https://github.com/bol-van/zapret", - "description": "DPI bypass multi platform", + "title": "HandBrake/HandBrake", + "url": "https://github.com/HandBrake/HandBrake", + "description": "HandBrake's main development repository", "language": "C", "languageColor": "#555555", - "stars": "8,491", - "forks": "639", - "addStars": "25", + "stars": "17,939", + "forks": "1,345", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", - "name": "bol-van", - "url": "https://github.com/bol-van" + "avatar": "https://avatars.githubusercontent.com/u/628593?s=40&v=4", + "name": "sr55", + "url": "https://github.com/sr55" }, { - "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", - "name": "maximilionus", - "url": "https://github.com/maximilionus" + "avatar": "https://avatars.githubusercontent.com/u/709720?s=40&v=4", + "name": "jstebbins", + "url": "https://github.com/jstebbins" }, { - "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", - "name": "anphsw", - "url": "https://github.com/anphsw" + "avatar": "https://avatars.githubusercontent.com/u/4305519?s=40&v=4", + "name": "galad87", + "url": "https://github.com/galad87" }, { - "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", - "name": "SashaXser", - "url": "https://github.com/SashaXser" + "avatar": "https://avatars.githubusercontent.com/u/70239?s=40&v=4", + "name": "bradleysepos", + "url": "https://github.com/bradleysepos" }, { - "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", - "name": "ataniazov", - "url": "https://github.com/ataniazov" + "avatar": "https://avatars.githubusercontent.com/u/374913?s=40&v=4", + "name": "jbrjake", + "url": "https://github.com/jbrjake" } ] }, { - "title": "Immediate-Mode-UI/Nuklear", - "url": "https://github.com/Immediate-Mode-UI/Nuklear", - "description": "A single-header ANSI C immediate mode cross-platform GUI library", + "title": "raysan5/raygui", + "url": "https://github.com/raysan5/raygui", + "description": "A simple and easy-to-use immediate-mode gui library", "language": "C", "languageColor": "#555555", - "stars": "9,335", - "forks": "571", - "addStars": "7", + "stars": "3,597", + "forks": "304", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8057201?s=40&v=4", - "name": "vurtun", - "url": "https://github.com/vurtun" + "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", + "name": "raysan5", + "url": "https://github.com/raysan5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9116727?s=40&v=4", + "name": "anidealgift", + "url": "https://github.com/anidealgift" }, { "avatar": "https://avatars.githubusercontent.com/u/25086?s=40&v=4", @@ -284,569 +289,495 @@ "url": "https://github.com/RobLoach" }, { - "avatar": "https://avatars.githubusercontent.com/u/2451044?s=40&v=4", - "name": "dumblob", - "url": "https://github.com/dumblob" + "avatar": "https://avatars.githubusercontent.com/u/27865535?s=40&v=4", + "name": "Demizdor", + "url": "https://github.com/Demizdor" }, { - "avatar": "https://avatars.githubusercontent.com/u/176167?s=40&v=4", - "name": "riri", - "url": "https://github.com/riri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4019495?s=40&v=4", - "name": "DeXP", - "url": "https://github.com/DeXP" - } - ] - }, - { - "title": "libretro/RetroArch", - "url": "https://github.com/libretro/RetroArch", - "description": "Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3.", - "language": "C", - "languageColor": "#555555", - "stars": "10,373", - "forks": "1,838", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1075274?s=40&v=4", - "name": "inactive123", - "url": "https://github.com/inactive123" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/163683?s=40&v=4", - "name": "Themaister", - "url": "https://github.com/Themaister" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/105389611?s=40&v=4", - "name": "LibretroAdmin", - "url": "https://github.com/LibretroAdmin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1721040?s=40&v=4", - "name": "andres-asm", - "url": "https://github.com/andres-asm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/442722?s=40&v=4", - "name": "kivutar", - "url": "https://github.com/kivutar" + "avatar": "https://avatars.githubusercontent.com/u/87268284?s=40&v=4", + "name": "hanaxar", + "url": "https://github.com/hanaxar" } ] }, { - "title": "floooh/sokol", - "url": "https://github.com/floooh/sokol", - "description": "minimal cross-platform standalone C headers", + "title": "qmk/qmk_firmware", + "url": "https://github.com/qmk/qmk_firmware", + "description": "Open-source keyboard firmware for Atmel AVR and Arm USB families", "language": "C", "languageColor": "#555555", - "stars": "7,109", - "forks": "500", - "addStars": "8", + "stars": "18,343", + "forks": "39,502", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1699414?s=40&v=4", - "name": "floooh", - "url": "https://github.com/floooh" + "avatar": "https://avatars.githubusercontent.com/u/4781841?s=40&v=4", + "name": "fauxpark", + "url": "https://github.com/fauxpark" }, { - "avatar": "https://avatars.githubusercontent.com/u/178582?s=40&v=4", - "name": "waywardmonkeys", - "url": "https://github.com/waywardmonkeys" + "avatar": "https://avatars.githubusercontent.com/u/26984769?s=40&v=4", + "name": "qmk-bot", + "url": "https://github.com/qmk-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/66876057?s=40&v=4", - "name": "jakubtomsu", - "url": "https://github.com/jakubtomsu" + "avatar": "https://avatars.githubusercontent.com/u/2584963?s=40&v=4", + "name": "drashna", + "url": "https://github.com/drashna" }, { - "avatar": "https://avatars.githubusercontent.com/u/1472250?s=40&v=4", - "name": "danielchasehooper", - "url": "https://github.com/danielchasehooper" + "avatar": "https://avatars.githubusercontent.com/u/18669334?s=40&v=4", + "name": "noroadsleft", + "url": "https://github.com/noroadsleft" }, { - "avatar": "https://avatars.githubusercontent.com/u/322030?s=40&v=4", - "name": "edubart", - "url": "https://github.com/edubart" + "avatar": "https://avatars.githubusercontent.com/u/141431?s=40&v=4", + "name": "jackhumbert", + "url": "https://github.com/jackhumbert" } ] }, { - "title": "aircrack-ng/aircrack-ng", - "url": "https://github.com/aircrack-ng/aircrack-ng", - "description": "WiFi security auditing tools suite", + "title": "curl/curl", + "url": "https://github.com/curl/curl", + "description": "A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features", "language": "C", "languageColor": "#555555", - "stars": "5,500", - "forks": "953", - "addStars": "1", + "stars": "36,015", + "forks": "6,446", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3520734?s=40&v=4", - "name": "Mister-X-", - "url": "https://github.com/Mister-X-" + "avatar": "https://avatars.githubusercontent.com/u/177011?s=40&v=4", + "name": "bagder", + "url": "https://github.com/bagder" }, { - "avatar": "https://avatars.githubusercontent.com/u/73767?s=40&v=4", - "name": "jbenden", - "url": "https://github.com/jbenden" + "avatar": "https://avatars.githubusercontent.com/u/242954?s=40&v=4", + "name": "yangtse", + "url": "https://github.com/yangtse" }, { - "avatar": "https://avatars.githubusercontent.com/u/59890178?s=40&v=4", - "name": "gemesa", - "url": "https://github.com/gemesa" + "avatar": "https://avatars.githubusercontent.com/u/1523871?s=40&v=4", + "name": "captain-caveman2k", + "url": "https://github.com/captain-caveman2k" }, { - "avatar": "https://avatars.githubusercontent.com/u/1670905?s=40&v=4", - "name": "ZeroChaos-", - "url": "https://github.com/ZeroChaos-" + "avatar": "https://avatars.githubusercontent.com/u/228259?s=40&v=4", + "name": "dfandrich", + "url": "https://github.com/dfandrich" }, { - "avatar": "https://avatars.githubusercontent.com/u/5159728?s=40&v=4", - "name": "jmberg", - "url": "https://github.com/jmberg" + "avatar": "https://avatars.githubusercontent.com/u/1446897?s=40&v=4", + "name": "vszakats", + "url": "https://github.com/vszakats" } ] }, { - "title": "apache/cloudberry", - "url": "https://github.com/apache/cloudberry", - "description": "One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database.", + "title": "flipperdevices/flipperzero-firmware", + "url": "https://github.com/flipperdevices/flipperzero-firmware", + "description": "Flipper Zero firmware source code", "language": "C", "languageColor": "#555555", - "stars": "463", - "forks": "107", + "stars": "12,961", + "forks": "2,743", "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17311022?s=40&v=4", - "name": "avamingli", - "url": "https://github.com/avamingli" + "avatar": "https://avatars.githubusercontent.com/u/1633132?s=40&v=4", + "name": "skotopes", + "url": "https://github.com/skotopes" }, { - "avatar": "https://avatars.githubusercontent.com/u/37101401?s=40&v=4", - "name": "gfphoenix78", - "url": "https://github.com/gfphoenix78" + "avatar": "https://avatars.githubusercontent.com/u/277532?s=40&v=4", + "name": "hedger", + "url": "https://github.com/hedger" }, { - "avatar": "https://avatars.githubusercontent.com/u/53178068?s=40&v=4", - "name": "wenchaozhang-123", - "url": "https://github.com/wenchaozhang-123" + "avatar": "https://avatars.githubusercontent.com/u/4784169?s=40&v=4", + "name": "DrZlo13", + "url": "https://github.com/DrZlo13" }, { - "avatar": "https://avatars.githubusercontent.com/u/30709931?s=40&v=4", - "name": "SmartKeyerror", - "url": "https://github.com/SmartKeyerror" + "avatar": "https://avatars.githubusercontent.com/u/44112859?s=40&v=4", + "name": "gornekich", + "url": "https://github.com/gornekich" }, { - "avatar": "https://avatars.githubusercontent.com/u/1284465?s=40&v=4", - "name": "tuhaihe", - "url": "https://github.com/tuhaihe" + "avatar": "https://avatars.githubusercontent.com/u/12886640?s=40&v=4", + "name": "glitchcore", + "url": "https://github.com/glitchcore" } ] }, { - "title": "espressif/esp-idf", - "url": "https://github.com/espressif/esp-idf", - "description": "Espressif IoT Development Framework. Official development framework for Espressif SoCs.", + "title": "libsdl-org/SDL", + "url": "https://github.com/libsdl-org/SDL", + "description": "Simple Directmedia Layer", "language": "C", "languageColor": "#555555", - "stars": "13,827", - "forks": "7,318", - "addStars": "4", + "stars": "10,171", + "forks": "1,858", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4349050?s=40&v=4", - "name": "igrr", - "url": "https://github.com/igrr" + "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", + "name": "slouken", + "url": "https://github.com/slouken" }, { - "avatar": "https://avatars.githubusercontent.com/u/205573?s=40&v=4", - "name": "projectgus", - "url": "https://github.com/projectgus" + "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", + "name": "icculus", + "url": "https://github.com/icculus" }, { - "avatar": "https://avatars.githubusercontent.com/u/21117421?s=40&v=4", - "name": "jack0c", - "url": "https://github.com/jack0c" + "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", + "name": "sezero", + "url": "https://github.com/sezero" }, { - "avatar": "https://avatars.githubusercontent.com/u/8869469?s=40&v=4", - "name": "suda-morris", - "url": "https://github.com/suda-morris" + "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", + "name": "1bsyl", + "url": "https://github.com/1bsyl" }, { - "avatar": "https://avatars.githubusercontent.com/u/902446?s=40&v=4", - "name": "mahavirj", - "url": "https://github.com/mahavirj" + "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", + "name": "madebr", + "url": "https://github.com/madebr" } ] }, { - "title": "pbatard/rufus", - "url": "https://github.com/pbatard/rufus", - "description": "The Reliable USB Formatting Utility", + "title": "betaflight/betaflight", + "url": "https://github.com/betaflight/betaflight", + "description": "Open Source Flight Controller Firmware", "language": "C", "languageColor": "#555555", - "stars": "29,397", - "forks": "2,606", - "addStars": "11", + "stars": "8,649", + "forks": "3,051", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1206968?s=40&v=4", - "name": "pbatard", - "url": "https://github.com/pbatard" + "avatar": "https://avatars.githubusercontent.com/u/4742747?s=40&v=4", + "name": "mikeller", + "url": "https://github.com/mikeller" }, { - "avatar": "https://avatars.githubusercontent.com/u/1262554?s=40&v=4", - "name": "bovirus", - "url": "https://github.com/bovirus" + "avatar": "https://avatars.githubusercontent.com/u/57075?s=40&v=4", + "name": "hydra", + "url": "https://github.com/hydra" }, { - "avatar": "https://avatars.githubusercontent.com/u/2923889?s=40&v=4", - "name": "ThiloL", - "url": "https://github.com/ThiloL" + "avatar": "https://avatars.githubusercontent.com/u/194586?s=40&v=4", + "name": "martinbudden", + "url": "https://github.com/martinbudden" }, { - "avatar": "https://avatars.githubusercontent.com/u/5827053?s=40&v=4", - "name": "MehmetaliKuran", - "url": "https://github.com/MehmetaliKuran" + "avatar": "https://avatars.githubusercontent.com/u/10757508?s=40&v=4", + "name": "borisbstyle", + "url": "https://github.com/borisbstyle" }, { - "avatar": "https://avatars.githubusercontent.com/u/5789283?s=40&v=4", - "name": "Sopor", - "url": "https://github.com/Sopor" + "avatar": "https://avatars.githubusercontent.com/u/6168871?s=40&v=4", + "name": "blckmn", + "url": "https://github.com/blckmn" } ] }, { - "title": "EdgeTX/edgetx", - "url": "https://github.com/EdgeTX/edgetx", - "description": "EdgeTX is the cutting edge open source firmware for your R/C radio", + "title": "Ysurac/openmptcprouter", + "url": "https://github.com/Ysurac/openmptcprouter", + "description": "OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt", "language": "C", "languageColor": "#555555", - "stars": "1,629", - "forks": "343", - "addStars": "3", + "stars": "1,910", + "forks": "273", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5603504?s=40&v=4", - "name": "bsongis", - "url": "https://github.com/bsongis" + "avatar": "https://avatars.githubusercontent.com/u/6342954?s=40&v=4", + "name": "Ysurac", + "url": "https://github.com/Ysurac" }, { - "avatar": "https://avatars.githubusercontent.com/u/1050031?s=40&v=4", - "name": "raphaelcoeffic", - "url": "https://github.com/raphaelcoeffic" + "avatar": "https://avatars.githubusercontent.com/u/19888555?s=40&v=4", + "name": "WelterRocks", + "url": "https://github.com/WelterRocks" }, { - "avatar": "https://avatars.githubusercontent.com/u/5167938?s=40&v=4", - "name": "3djc", - "url": "https://github.com/3djc" + "avatar": "https://avatars.githubusercontent.com/u/25760697?s=40&v=4", + "name": "user747", + "url": "https://github.com/user747" }, { - "avatar": "https://avatars.githubusercontent.com/u/6065069?s=40&v=4", - "name": "kilrah", - "url": "https://github.com/kilrah" + "avatar": "https://avatars.githubusercontent.com/u/221256?s=40&v=4", + "name": "asmodehn", + "url": "https://github.com/asmodehn" }, { - "avatar": "https://avatars.githubusercontent.com/u/5950438?s=40&v=4", - "name": "projectkk2glider", - "url": "https://github.com/projectkk2glider" + "avatar": "https://avatars.githubusercontent.com/u/873469?s=40&v=4", + "name": "anaelorlinski", + "url": "https://github.com/anaelorlinski" } ] }, { - "title": "ValdikSS/GoodbyeDPI", - "url": "https://github.com/ValdikSS/GoodbyeDPI", - "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", + "title": "greatscottgadgets/hackrf", + "url": "https://github.com/greatscottgadgets/hackrf", + "description": "low cost software radio platform", "language": "C", "languageColor": "#555555", - "stars": "25,587", - "forks": "1,873", - "addStars": "22", + "stars": "6,629", + "forks": "1,537", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", - "name": "ValdikSS", - "url": "https://github.com/ValdikSS" + "avatar": "https://avatars.githubusercontent.com/u/1195107?s=40&v=4", + "name": "mossmann", + "url": "https://github.com/mossmann" }, { - "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", - "name": "EgorWeders", - "url": "https://github.com/EgorWeders" + "avatar": "https://avatars.githubusercontent.com/u/778248?s=40&v=4", + "name": "jboone", + "url": "https://github.com/jboone" }, { - "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", - "name": "KOLANICH", - "url": "https://github.com/KOLANICH" + "avatar": "https://avatars.githubusercontent.com/u/91747?s=40&v=4", + "name": "dominicgs", + "url": "https://github.com/dominicgs" }, { - "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", - "name": "pash7ka", - "url": "https://github.com/pash7ka" + "avatar": "https://avatars.githubusercontent.com/u/673823?s=40&v=4", + "name": "martinling", + "url": "https://github.com/martinling" }, { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" + "avatar": "https://avatars.githubusercontent.com/u/432709?s=40&v=4", + "name": "bvernoux", + "url": "https://github.com/bvernoux" } ] }, { - "title": "nothings/stb", - "url": "https://github.com/nothings/stb", - "description": "stb single-file public domain libraries for C/C++", + "title": "erincatto/box2d", + "url": "https://github.com/erincatto/box2d", + "description": "Box2D is a 2D physics engine for games", "language": "C", "languageColor": "#555555", - "stars": "27,037", - "forks": "7,721", - "addStars": "12", + "stars": "8,347", + "forks": "1,548", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7525026?s=40&v=4", - "name": "nothings", - "url": "https://github.com/nothings" + "avatar": "https://avatars.githubusercontent.com/u/7284063?s=40&v=4", + "name": "erincatto", + "url": "https://github.com/erincatto" }, { - "avatar": "https://avatars.githubusercontent.com/u/1077496?s=40&v=4", - "name": "rygorous", - "url": "https://github.com/rygorous" + "avatar": "https://avatars.githubusercontent.com/u/427410?s=40&v=4", + "name": "wub", + "url": "https://github.com/wub" }, { - "avatar": "https://avatars.githubusercontent.com/u/815310?s=40&v=4", - "name": "BSVino", - "url": "https://github.com/BSVino" + "avatar": "https://avatars.githubusercontent.com/u/1216696?s=40&v=4", + "name": "flyover", + "url": "https://github.com/flyover" }, { - "avatar": "https://avatars.githubusercontent.com/u/8225057?s=40&v=4", - "name": "ocornut", - "url": "https://github.com/ocornut" + "avatar": "https://avatars.githubusercontent.com/u/1635228?s=40&v=4", + "name": "sbeca", + "url": "https://github.com/sbeca" }, { - "avatar": "https://avatars.githubusercontent.com/u/7214365?s=40&v=4", - "name": "rwhitworth", - "url": "https://github.com/rwhitworth" + "avatar": "https://avatars.githubusercontent.com/u/1213082?s=40&v=4", + "name": "zammitjames", + "url": "https://github.com/zammitjames" } ] }, { - "title": "swaywm/sway", - "url": "https://github.com/swaywm/sway", - "description": "i3-compatible Wayland compositor", + "title": "ventoy/Ventoy", + "url": "https://github.com/ventoy/Ventoy", + "description": "A new bootable USB solution.", "language": "C", "languageColor": "#555555", - "stars": "14,725", - "forks": "1,111", - "addStars": "2", + "stars": "63,108", + "forks": "4,104", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1310872?s=40&v=4", - "name": "ddevault", - "url": "https://github.com/ddevault" + "avatar": "https://avatars.githubusercontent.com/u/59477474?s=40&v=4", + "name": "ventoy", + "url": "https://github.com/ventoy" }, { - "avatar": "https://avatars.githubusercontent.com/u/506932?s=40&v=4", - "name": "emersion", - "url": "https://github.com/emersion" + "avatar": "https://avatars.githubusercontent.com/u/67432394?s=40&v=4", + "name": "wiz64", + "url": "https://github.com/wiz64" }, { - "avatar": "https://avatars.githubusercontent.com/u/2436833?s=40&v=4", - "name": "RyanDwyer", - "url": "https://github.com/RyanDwyer" + "avatar": "https://avatars.githubusercontent.com/u/53147200?s=40&v=4", + "name": "VenusGirl", + "url": "https://github.com/VenusGirl" }, { - "avatar": "https://avatars.githubusercontent.com/u/2997061?s=40&v=4", - "name": "RedSoxFan", - "url": "https://github.com/RedSoxFan" + "avatar": "https://avatars.githubusercontent.com/u/54566818?s=40&v=4", + "name": "crasadure", + "url": "https://github.com/crasadure" }, { - "avatar": "https://avatars.githubusercontent.com/u/128566?s=40&v=4", - "name": "mikkeloscar", - "url": "https://github.com/mikkeloscar" + "avatar": "https://avatars.githubusercontent.com/u/31486344?s=40&v=4", + "name": "AnomSanjaya", + "url": "https://github.com/AnomSanjaya" } ] }, { - "title": "libsdl-org/SDL", - "url": "https://github.com/libsdl-org/SDL", - "description": "Simple Directmedia Layer", + "title": "openwrt/openwrt", + "url": "https://github.com/openwrt/openwrt", + "description": "This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git.", "language": "C", "languageColor": "#555555", - "stars": "10,169", - "forks": "1,857", - "addStars": "15", + "stars": "20,463", + "forks": "10,545", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", - "name": "slouken", - "url": "https://github.com/slouken" + "avatar": "https://avatars.githubusercontent.com/u/19352056?s=40&v=4", + "name": "juhosg", + "url": "https://github.com/juhosg" }, { - "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", - "name": "icculus", - "url": "https://github.com/icculus" + "avatar": "https://avatars.githubusercontent.com/u/1110044?s=40&v=4", + "name": "ffainelli", + "url": "https://github.com/ffainelli" }, { - "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", - "name": "sezero", - "url": "https://github.com/sezero" + "avatar": "https://avatars.githubusercontent.com/u/2528802?s=40&v=4", + "name": "jow-", + "url": "https://github.com/jow-" }, { - "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", - "name": "1bsyl", - "url": "https://github.com/1bsyl" + "avatar": "https://avatars.githubusercontent.com/u/78494?s=40&v=4", + "name": "hauke", + "url": "https://github.com/hauke" }, { - "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", - "name": "madebr", - "url": "https://github.com/madebr" + "avatar": "https://avatars.githubusercontent.com/u/2529314?s=40&v=4", + "name": "nbd168", + "url": "https://github.com/nbd168" } ] }, { - "title": "bellard/quickjs", - "url": "https://github.com/bellard/quickjs", - "description": "Public repository of the QuickJS Javascript Engine.", + "title": "Klipper3d/klipper", + "url": "https://github.com/Klipper3d/klipper", + "description": "Klipper is a 3d-printer firmware", "language": "C", "languageColor": "#555555", - "stars": "8,539", - "forks": "894", - "addStars": "8", + "stars": "9,566", + "forks": "5,337", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20602538?s=40&v=4", - "name": "chqrlie", - "url": "https://github.com/chqrlie" + "avatar": "https://avatars.githubusercontent.com/u/3004890?s=40&v=4", + "name": "KevinOConnor", + "url": "https://github.com/KevinOConnor" }, { - "avatar": "https://avatars.githubusercontent.com/u/6490144?s=40&v=4", - "name": "bellard", - "url": "https://github.com/bellard" + "avatar": "https://avatars.githubusercontent.com/u/9563098?s=40&v=4", + "name": "Arksine", + "url": "https://github.com/Arksine" }, { - "avatar": "https://avatars.githubusercontent.com/u/275871?s=40&v=4", - "name": "bnoordhuis", - "url": "https://github.com/bnoordhuis" + "avatar": "https://avatars.githubusercontent.com/u/53491797?s=40&v=4", + "name": "dmbutyugin", + "url": "https://github.com/dmbutyugin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1174718?s=40&v=4", - "name": "kasperisager", - "url": "https://github.com/kasperisager" + "avatar": "https://avatars.githubusercontent.com/u/1433580?s=40&v=4", + "name": "mcmatrix", + "url": "https://github.com/mcmatrix" }, { - "avatar": "https://avatars.githubusercontent.com/u/1129322?s=40&v=4", - "name": "pinotree", - "url": "https://github.com/pinotree" + "avatar": "https://avatars.githubusercontent.com/u/4352664?s=40&v=4", + "name": "FHeilmann", + "url": "https://github.com/FHeilmann" } ] }, { - "title": "redis/redis", - "url": "https://github.com/redis/redis", - "description": "Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps.", + "title": "acidanthera/OpenCorePkg", + "url": "https://github.com/acidanthera/OpenCorePkg", + "description": "OpenCore bootloader", "language": "C", "languageColor": "#555555", - "stars": "67,153", - "forks": "23,813", - "addStars": "15", + "stars": "13,470", + "forks": "2,117", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/65632?s=40&v=4", - "name": "antirez", - "url": "https://github.com/antirez" + "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", + "name": "vit9696", + "url": "https://github.com/vit9696" }, { - "avatar": "https://avatars.githubusercontent.com/u/7045099?s=40&v=4", - "name": "oranagra", - "url": "https://github.com/oranagra" + "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", + "name": "mhaeuser", + "url": "https://github.com/mhaeuser" }, { - "avatar": "https://avatars.githubusercontent.com/u/9845?s=40&v=4", - "name": "pietern", - "url": "https://github.com/pietern" + "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", + "name": "Andrey1970AppleLife", + "url": "https://github.com/Andrey1970AppleLife" }, { - "avatar": "https://avatars.githubusercontent.com/u/22811481?s=40&v=4", - "name": "enjoy-binbin", - "url": "https://github.com/enjoy-binbin" + "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", + "name": "mikebeaton", + "url": "https://github.com/mikebeaton" }, { - "avatar": "https://avatars.githubusercontent.com/u/1481195?s=40&v=4", - "name": "yossigo", - "url": "https://github.com/yossigo" + "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", + "name": "PMheart", + "url": "https://github.com/PMheart" } ] }, { - "title": "nginx/nginx", - "url": "https://github.com/nginx/nginx", - "description": "The official NGINX Open Source repository.", + "title": "OnionUI/Onion", + "url": "https://github.com/OnionUI/Onion", + "description": "OS overhaul for Miyoo Mini and Mini+", "language": "C", "languageColor": "#555555", - "stars": "25,277", - "forks": "7,001", - "addStars": "9", + "stars": "3,466", + "forks": "218", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/201187?s=40&v=4", - "name": "mdounin", - "url": "https://github.com/mdounin" + "avatar": "https://avatars.githubusercontent.com/u/44569252?s=40&v=4", + "name": "Aemiii91", + "url": "https://github.com/Aemiii91" }, { - "avatar": "https://avatars.githubusercontent.com/u/11629712?s=40&v=4", - "name": "vl-homutov", - "url": "https://github.com/vl-homutov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1236368?s=40&v=4", - "name": "arut", - "url": "https://github.com/arut" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2750827?s=40&v=4", - "name": "VBart", - "url": "https://github.com/VBart" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/190297?s=40&v=4", - "name": "PiotrSikora", - "url": "https://github.com/PiotrSikora" - } - ] - }, - { - "title": "sandboxie-plus/Sandboxie", - "url": "https://github.com/sandboxie-plus/Sandboxie", - "description": "Sandboxie Plus & Classic", - "language": "C", - "languageColor": "#555555", - "stars": "13,931", - "forks": "1,547", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3890945?s=40&v=4", - "name": "DavidXanatos", - "url": "https://github.com/DavidXanatos" + "avatar": "https://avatars.githubusercontent.com/u/16885275?s=40&v=4", + "name": "Sichroteph", + "url": "https://github.com/Sichroteph" }, { - "avatar": "https://avatars.githubusercontent.com/u/12372772?s=40&v=4", - "name": "isaak654", - "url": "https://github.com/isaak654" + "avatar": "https://avatars.githubusercontent.com/u/7110113?s=40&v=4", + "name": "schmurtzm", + "url": "https://github.com/schmurtzm" }, { - "avatar": "https://avatars.githubusercontent.com/u/92030377?s=40&v=4", - "name": "love-code-yeyixiao", - "url": "https://github.com/love-code-yeyixiao" + "avatar": "https://avatars.githubusercontent.com/u/47260768?s=40&v=4", + "name": "XK9274", + "url": "https://github.com/XK9274" }, { - "avatar": "https://avatars.githubusercontent.com/u/6871698?s=40&v=4", - "name": "offhub", - "url": "https://github.com/offhub" + "avatar": "https://avatars.githubusercontent.com/u/85693713?s=40&v=4", + "name": "jimgraygit", + "url": "https://github.com/jimgraygit" } ] } diff --git a/data/daily/c#.xml b/data/daily/c#.xml index 3ed8e1ca3a92..1821724880fd 100644 --- a/data/daily/c#.xml +++ b/data/daily/c#.xml @@ -3,288 +3,293 @@ GitHub C# Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of C# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - xmrig/xmrig - https://github.com/xmrig/xmrig - RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark - https://github.com/xmrig/xmrig + capstone-engine/capstone + https://github.com/capstone-engine/capstone + Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86. + https://github.com/capstone-engine/capstone C #555555 - 8,793 - 3,462 - 5 + 7,623 + 1,556 + 4 - https://avatars.githubusercontent.com/u/27528955?s=40&v=4 - xmrig - https://github.com/xmrig + https://avatars.githubusercontent.com/u/5965536?s=40&v=4 + aquynh + https://github.com/aquynh - https://avatars.githubusercontent.com/u/15806605?s=40&v=4 - SChernykh - https://github.com/SChernykh + https://avatars.githubusercontent.com/u/34511237?s=40&v=4 + kabeor + https://github.com/kabeor - https://avatars.githubusercontent.com/u/2391234?s=40&v=4 - Spudz76 - https://github.com/Spudz76 + https://avatars.githubusercontent.com/u/24983369?s=40&v=4 + imbillow + https://github.com/imbillow - https://avatars.githubusercontent.com/u/8947059?s=40&v=4 - Foudge - https://github.com/Foudge + https://avatars.githubusercontent.com/u/45763064?s=40&v=4 + Rot127 + https://github.com/Rot127 - https://avatars.githubusercontent.com/u/490176?s=40&v=4 - pdxwebdev - https://github.com/pdxwebdev + https://avatars.githubusercontent.com/u/35799796?s=40&v=4 + catenacyber + https://github.com/catenacyber - RfidResearchGroup/proxmark3 - https://github.com/RfidResearchGroup/proxmark3 - Iceman Fork - Proxmark3 - https://github.com/RfidResearchGroup/proxmark3 + bol-van/zapret + https://github.com/bol-van/zapret + DPI bypass multi platform + https://github.com/bol-van/zapret C #555555 - 4,056 - 1,066 - 7 + 8,501 + 639 + 25 - https://avatars.githubusercontent.com/u/8577004?s=40&v=4 - iceman1001 - https://github.com/iceman1001 + https://avatars.githubusercontent.com/u/9076680?s=40&v=4 + bol-van + https://github.com/bol-van - https://avatars.githubusercontent.com/u/60773?s=40&v=4 - doegox - https://github.com/doegox + https://avatars.githubusercontent.com/u/29492157?s=40&v=4 + maximilionus + https://github.com/maximilionus - https://avatars.githubusercontent.com/u/807634?s=40&v=4 - merlokk - https://github.com/merlokk + https://avatars.githubusercontent.com/u/21102535?s=40&v=4 + anphsw + https://github.com/anphsw - https://avatars.githubusercontent.com/u/61853685?s=40&v=4 - tharexde - https://github.com/tharexde + https://avatars.githubusercontent.com/u/24498484?s=40&v=4 + SashaXser + https://github.com/SashaXser - https://avatars.githubusercontent.com/u/51802811?s=40&v=4 - mwalker33 - https://github.com/mwalker33 + https://avatars.githubusercontent.com/u/4177942?s=40&v=4 + ataniazov + https://github.com/ataniazov - git/git - https://github.com/git/git - Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements. - https://github.com/git/git + ValdikSS/GoodbyeDPI + https://github.com/ValdikSS/GoodbyeDPI + GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) + https://github.com/ValdikSS/GoodbyeDPI C #555555 - 52,653 - 25,667 - 13 + 25,589 + 1,873 + 22 - https://avatars.githubusercontent.com/u/54884?s=40&v=4 - gitster - https://github.com/gitster + https://avatars.githubusercontent.com/u/3054729?s=40&v=4 + ValdikSS + https://github.com/ValdikSS - https://avatars.githubusercontent.com/u/45925?s=40&v=4 - peff - https://github.com/peff + https://avatars.githubusercontent.com/u/157705588?s=40&v=4 + EgorWeders + https://github.com/EgorWeders - https://avatars.githubusercontent.com/u/127790?s=40&v=4 - dscho - https://github.com/dscho + https://avatars.githubusercontent.com/u/240344?s=40&v=4 + KOLANICH + https://github.com/KOLANICH - https://avatars.githubusercontent.com/u/45301?s=40&v=4 - avar - https://github.com/avar + https://avatars.githubusercontent.com/u/2335215?s=40&v=4 + pash7ka + https://github.com/pash7ka - https://avatars.githubusercontent.com/u/720?s=40&v=4 - pclouds - https://github.com/pclouds + https://avatars.githubusercontent.com/u/22633385?s=40&v=4 + eltociear + https://github.com/eltociear - mpv-player/mpv - https://github.com/mpv-player/mpv - 🎥 Command line video player - https://github.com/mpv-player/mpv + xmrig/xmrig + https://github.com/xmrig/xmrig + RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark + https://github.com/xmrig/xmrig C #555555 - 28,703 - 2,927 - 17 + 8,801 + 3,464 + 50 - https://avatars.githubusercontent.com/u/1126053?s=40&v=4 - kasper93 - https://github.com/kasper93 + https://avatars.githubusercontent.com/u/27528955?s=40&v=4 + xmrig + https://github.com/xmrig - https://avatars.githubusercontent.com/u/5105515?s=40&v=4 - Dudemanguy - https://github.com/Dudemanguy + https://avatars.githubusercontent.com/u/15806605?s=40&v=4 + SChernykh + https://github.com/SChernykh - https://avatars.githubusercontent.com/u/1149047?s=40&v=4 - haasn - https://github.com/haasn + https://avatars.githubusercontent.com/u/2391234?s=40&v=4 + Spudz76 + https://github.com/Spudz76 - https://avatars.githubusercontent.com/u/24681?s=40&v=4 - pigoz - https://github.com/pigoz + https://avatars.githubusercontent.com/u/8947059?s=40&v=4 + Foudge + https://github.com/Foudge - https://avatars.githubusercontent.com/u/680386?s=40&v=4 - Akemi - https://github.com/Akemi + https://avatars.githubusercontent.com/u/490176?s=40&v=4 + pdxwebdev + https://github.com/pdxwebdev - acidanthera/OpenCorePkg - https://github.com/acidanthera/OpenCorePkg - OpenCore bootloader - https://github.com/acidanthera/OpenCorePkg + brunodev85/winlator + https://github.com/brunodev85/winlator + Android application for running Windows applications with Wine and Box86/Box64 + https://github.com/brunodev85/winlator C #555555 - 13,470 - 2,116 - 7 + 9,236 + 457 + 21 - https://avatars.githubusercontent.com/u/4348897?s=40&v=4 - vit9696 - https://github.com/vit9696 + https://avatars.githubusercontent.com/u/11407071?s=40&v=4 + brunodev85 + https://github.com/brunodev85 - https://avatars.githubusercontent.com/u/8659494?s=40&v=4 - mhaeuser - https://github.com/mhaeuser + https://avatars.githubusercontent.com/u/88626244?s=40&v=4 + kazimaruf991 + https://github.com/kazimaruf991 - https://avatars.githubusercontent.com/u/17758753?s=40&v=4 - Andrey1970AppleLife - https://github.com/Andrey1970AppleLife + https://avatars.githubusercontent.com/u/100040786?s=40&v=4 + Kashinathpat + https://github.com/Kashinathpat - https://avatars.githubusercontent.com/u/11946605?s=40&v=4 - mikebeaton - https://github.com/mikebeaton + https://avatars.githubusercontent.com/u/518438?s=40&v=4 + hackcasual + https://github.com/hackcasual - https://avatars.githubusercontent.com/u/17109513?s=40&v=4 - PMheart - https://github.com/PMheart + https://avatars.githubusercontent.com/u/6472545?s=40&v=4 + lvonasek + https://github.com/lvonasek - Genymobile/scrcpy - https://github.com/Genymobile/scrcpy - Display and control your Android device - https://github.com/Genymobile/scrcpy + glfw/glfw + https://github.com/glfw/glfw + A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input + https://github.com/glfw/glfw C #555555 - 112,588 - 10,767 - 48 + 13,128 + 5,271 + 5 - https://avatars.githubusercontent.com/u/543275?s=40&v=4 - rom1v - https://github.com/rom1v + https://avatars.githubusercontent.com/u/133714?s=40&v=4 + elmindreda + https://github.com/elmindreda - https://avatars.githubusercontent.com/u/1330321?s=40&v=4 - yume-chan - https://github.com/yume-chan + https://avatars.githubusercontent.com/u/7755816?s=40&v=4 + linkmauve + https://github.com/linkmauve - https://avatars.githubusercontent.com/u/5494432?s=40&v=4 - npes87184 - https://github.com/npes87184 + https://avatars.githubusercontent.com/u/872946?s=40&v=4 + dougbinks + https://github.com/dougbinks - https://avatars.githubusercontent.com/u/639467?s=40&v=4 - brunoais - https://github.com/brunoais + https://avatars.githubusercontent.com/u/84891?s=40&v=4 + adrianbroher + https://github.com/adrianbroher - https://avatars.githubusercontent.com/u/2694559?s=40&v=4 - rootkea - https://github.com/rootkea + https://avatars.githubusercontent.com/u/1075690?s=40&v=4 + siavashserver + https://github.com/siavashserver - bol-van/zapret - https://github.com/bol-van/zapret - DPI bypass multi platform - https://github.com/bol-van/zapret + HandBrake/HandBrake + https://github.com/HandBrake/HandBrake + HandBrake's main development repository + https://github.com/HandBrake/HandBrake C #555555 - 8,491 - 639 - 25 + 17,939 + 1,345 + 12 - https://avatars.githubusercontent.com/u/9076680?s=40&v=4 - bol-van - https://github.com/bol-van + https://avatars.githubusercontent.com/u/628593?s=40&v=4 + sr55 + https://github.com/sr55 - https://avatars.githubusercontent.com/u/29492157?s=40&v=4 - maximilionus - https://github.com/maximilionus + https://avatars.githubusercontent.com/u/709720?s=40&v=4 + jstebbins + https://github.com/jstebbins - https://avatars.githubusercontent.com/u/21102535?s=40&v=4 - anphsw - https://github.com/anphsw + https://avatars.githubusercontent.com/u/4305519?s=40&v=4 + galad87 + https://github.com/galad87 - https://avatars.githubusercontent.com/u/24498484?s=40&v=4 - SashaXser - https://github.com/SashaXser + https://avatars.githubusercontent.com/u/70239?s=40&v=4 + bradleysepos + https://github.com/bradleysepos - https://avatars.githubusercontent.com/u/4177942?s=40&v=4 - ataniazov - https://github.com/ataniazov + https://avatars.githubusercontent.com/u/374913?s=40&v=4 + jbrjake + https://github.com/jbrjake - Immediate-Mode-UI/Nuklear - https://github.com/Immediate-Mode-UI/Nuklear - A single-header ANSI C immediate mode cross-platform GUI library - https://github.com/Immediate-Mode-UI/Nuklear + raysan5/raygui + https://github.com/raysan5/raygui + A simple and easy-to-use immediate-mode gui library + https://github.com/raysan5/raygui C #555555 - 9,335 - 571 - 7 + 3,597 + 304 + 11 - https://avatars.githubusercontent.com/u/8057201?s=40&v=4 - vurtun - https://github.com/vurtun + https://avatars.githubusercontent.com/u/5766837?s=40&v=4 + raysan5 + https://github.com/raysan5 + + + https://avatars.githubusercontent.com/u/9116727?s=40&v=4 + anidealgift + https://github.com/anidealgift https://avatars.githubusercontent.com/u/25086?s=40&v=4 @@ -292,584 +297,508 @@ https://github.com/RobLoach - https://avatars.githubusercontent.com/u/2451044?s=40&v=4 - dumblob - https://github.com/dumblob + https://avatars.githubusercontent.com/u/27865535?s=40&v=4 + Demizdor + https://github.com/Demizdor - https://avatars.githubusercontent.com/u/176167?s=40&v=4 - riri - https://github.com/riri - - - https://avatars.githubusercontent.com/u/4019495?s=40&v=4 - DeXP - https://github.com/DeXP + https://avatars.githubusercontent.com/u/87268284?s=40&v=4 + hanaxar + https://github.com/hanaxar - libretro/RetroArch - https://github.com/libretro/RetroArch - Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3. - https://github.com/libretro/RetroArch + qmk/qmk_firmware + https://github.com/qmk/qmk_firmware + Open-source keyboard firmware for Atmel AVR and Arm USB families + https://github.com/qmk/qmk_firmware C #555555 - 10,373 - 1,838 - 10 - - - https://avatars.githubusercontent.com/u/1075274?s=40&v=4 - inactive123 - https://github.com/inactive123 - - - https://avatars.githubusercontent.com/u/163683?s=40&v=4 - Themaister - https://github.com/Themaister - - - https://avatars.githubusercontent.com/u/105389611?s=40&v=4 - LibretroAdmin - https://github.com/LibretroAdmin - - - https://avatars.githubusercontent.com/u/1721040?s=40&v=4 - andres-asm - https://github.com/andres-asm - - - https://avatars.githubusercontent.com/u/442722?s=40&v=4 - kivutar - https://github.com/kivutar - - - - - floooh/sokol - https://github.com/floooh/sokol - minimal cross-platform standalone C headers - https://github.com/floooh/sokol - C - #555555 - 7,109 - 500 - 8 + 18,343 + 39,502 + 7 - https://avatars.githubusercontent.com/u/1699414?s=40&v=4 - floooh - https://github.com/floooh + https://avatars.githubusercontent.com/u/4781841?s=40&v=4 + fauxpark + https://github.com/fauxpark - https://avatars.githubusercontent.com/u/178582?s=40&v=4 - waywardmonkeys - https://github.com/waywardmonkeys + https://avatars.githubusercontent.com/u/26984769?s=40&v=4 + qmk-bot + https://github.com/qmk-bot - https://avatars.githubusercontent.com/u/66876057?s=40&v=4 - jakubtomsu - https://github.com/jakubtomsu + https://avatars.githubusercontent.com/u/2584963?s=40&v=4 + drashna + https://github.com/drashna - https://avatars.githubusercontent.com/u/1472250?s=40&v=4 - danielchasehooper - https://github.com/danielchasehooper + https://avatars.githubusercontent.com/u/18669334?s=40&v=4 + noroadsleft + https://github.com/noroadsleft - https://avatars.githubusercontent.com/u/322030?s=40&v=4 - edubart - https://github.com/edubart + https://avatars.githubusercontent.com/u/141431?s=40&v=4 + jackhumbert + https://github.com/jackhumbert - aircrack-ng/aircrack-ng - https://github.com/aircrack-ng/aircrack-ng - WiFi security auditing tools suite - https://github.com/aircrack-ng/aircrack-ng + curl/curl + https://github.com/curl/curl + A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features + https://github.com/curl/curl C #555555 - 5,500 - 953 - 1 + 36,015 + 6,446 + 13 - https://avatars.githubusercontent.com/u/3520734?s=40&v=4 - Mister-X- - https://github.com/Mister-X- + https://avatars.githubusercontent.com/u/177011?s=40&v=4 + bagder + https://github.com/bagder - https://avatars.githubusercontent.com/u/73767?s=40&v=4 - jbenden - https://github.com/jbenden + https://avatars.githubusercontent.com/u/242954?s=40&v=4 + yangtse + https://github.com/yangtse - https://avatars.githubusercontent.com/u/59890178?s=40&v=4 - gemesa - https://github.com/gemesa + https://avatars.githubusercontent.com/u/1523871?s=40&v=4 + captain-caveman2k + https://github.com/captain-caveman2k - https://avatars.githubusercontent.com/u/1670905?s=40&v=4 - ZeroChaos- - https://github.com/ZeroChaos- + https://avatars.githubusercontent.com/u/228259?s=40&v=4 + dfandrich + https://github.com/dfandrich - https://avatars.githubusercontent.com/u/5159728?s=40&v=4 - jmberg - https://github.com/jmberg + https://avatars.githubusercontent.com/u/1446897?s=40&v=4 + vszakats + https://github.com/vszakats - apache/cloudberry - https://github.com/apache/cloudberry - One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database. - https://github.com/apache/cloudberry + flipperdevices/flipperzero-firmware + https://github.com/flipperdevices/flipperzero-firmware + Flipper Zero firmware source code + https://github.com/flipperdevices/flipperzero-firmware C #555555 - 463 - 107 + 12,961 + 2,743 8 - https://avatars.githubusercontent.com/u/17311022?s=40&v=4 - avamingli - https://github.com/avamingli + https://avatars.githubusercontent.com/u/1633132?s=40&v=4 + skotopes + https://github.com/skotopes - https://avatars.githubusercontent.com/u/37101401?s=40&v=4 - gfphoenix78 - https://github.com/gfphoenix78 + https://avatars.githubusercontent.com/u/277532?s=40&v=4 + hedger + https://github.com/hedger - https://avatars.githubusercontent.com/u/53178068?s=40&v=4 - wenchaozhang-123 - https://github.com/wenchaozhang-123 + https://avatars.githubusercontent.com/u/4784169?s=40&v=4 + DrZlo13 + https://github.com/DrZlo13 - https://avatars.githubusercontent.com/u/30709931?s=40&v=4 - SmartKeyerror - https://github.com/SmartKeyerror + https://avatars.githubusercontent.com/u/44112859?s=40&v=4 + gornekich + https://github.com/gornekich - https://avatars.githubusercontent.com/u/1284465?s=40&v=4 - tuhaihe - https://github.com/tuhaihe + https://avatars.githubusercontent.com/u/12886640?s=40&v=4 + glitchcore + https://github.com/glitchcore - espressif/esp-idf - https://github.com/espressif/esp-idf - Espressif IoT Development Framework. Official development framework for Espressif SoCs. - https://github.com/espressif/esp-idf + libsdl-org/SDL + https://github.com/libsdl-org/SDL + Simple Directmedia Layer + https://github.com/libsdl-org/SDL C #555555 - 13,827 - 7,318 - 4 + 10,171 + 1,858 + 15 - https://avatars.githubusercontent.com/u/4349050?s=40&v=4 - igrr - https://github.com/igrr + https://avatars.githubusercontent.com/u/2100061?s=40&v=4 + slouken + https://github.com/slouken - https://avatars.githubusercontent.com/u/205573?s=40&v=4 - projectgus - https://github.com/projectgus + https://avatars.githubusercontent.com/u/673562?s=40&v=4 + icculus + https://github.com/icculus - https://avatars.githubusercontent.com/u/21117421?s=40&v=4 - jack0c - https://github.com/jack0c + https://avatars.githubusercontent.com/u/4222725?s=40&v=4 + sezero + https://github.com/sezero - https://avatars.githubusercontent.com/u/8869469?s=40&v=4 - suda-morris - https://github.com/suda-morris + https://avatars.githubusercontent.com/u/818728?s=40&v=4 + 1bsyl + https://github.com/1bsyl - https://avatars.githubusercontent.com/u/902446?s=40&v=4 - mahavirj - https://github.com/mahavirj + https://avatars.githubusercontent.com/u/4138939?s=40&v=4 + madebr + https://github.com/madebr - pbatard/rufus - https://github.com/pbatard/rufus - The Reliable USB Formatting Utility - https://github.com/pbatard/rufus + betaflight/betaflight + https://github.com/betaflight/betaflight + Open Source Flight Controller Firmware + https://github.com/betaflight/betaflight C #555555 - 29,397 - 2,606 - 11 + 8,649 + 3,051 + 3 - https://avatars.githubusercontent.com/u/1206968?s=40&v=4 - pbatard - https://github.com/pbatard + https://avatars.githubusercontent.com/u/4742747?s=40&v=4 + mikeller + https://github.com/mikeller - https://avatars.githubusercontent.com/u/1262554?s=40&v=4 - bovirus - https://github.com/bovirus + https://avatars.githubusercontent.com/u/57075?s=40&v=4 + hydra + https://github.com/hydra - https://avatars.githubusercontent.com/u/2923889?s=40&v=4 - ThiloL - https://github.com/ThiloL + https://avatars.githubusercontent.com/u/194586?s=40&v=4 + martinbudden + https://github.com/martinbudden - https://avatars.githubusercontent.com/u/5827053?s=40&v=4 - MehmetaliKuran - https://github.com/MehmetaliKuran + https://avatars.githubusercontent.com/u/10757508?s=40&v=4 + borisbstyle + https://github.com/borisbstyle - https://avatars.githubusercontent.com/u/5789283?s=40&v=4 - Sopor - https://github.com/Sopor + https://avatars.githubusercontent.com/u/6168871?s=40&v=4 + blckmn + https://github.com/blckmn - EdgeTX/edgetx - https://github.com/EdgeTX/edgetx - EdgeTX is the cutting edge open source firmware for your R/C radio - https://github.com/EdgeTX/edgetx + Ysurac/openmptcprouter + https://github.com/Ysurac/openmptcprouter + OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt + https://github.com/Ysurac/openmptcprouter C #555555 - 1,629 - 343 - 3 + 1,910 + 273 + 9 - https://avatars.githubusercontent.com/u/5603504?s=40&v=4 - bsongis - https://github.com/bsongis + https://avatars.githubusercontent.com/u/6342954?s=40&v=4 + Ysurac + https://github.com/Ysurac - https://avatars.githubusercontent.com/u/1050031?s=40&v=4 - raphaelcoeffic - https://github.com/raphaelcoeffic + https://avatars.githubusercontent.com/u/19888555?s=40&v=4 + WelterRocks + https://github.com/WelterRocks - https://avatars.githubusercontent.com/u/5167938?s=40&v=4 - 3djc - https://github.com/3djc + https://avatars.githubusercontent.com/u/25760697?s=40&v=4 + user747 + https://github.com/user747 - https://avatars.githubusercontent.com/u/6065069?s=40&v=4 - kilrah - https://github.com/kilrah + https://avatars.githubusercontent.com/u/221256?s=40&v=4 + asmodehn + https://github.com/asmodehn - https://avatars.githubusercontent.com/u/5950438?s=40&v=4 - projectkk2glider - https://github.com/projectkk2glider + https://avatars.githubusercontent.com/u/873469?s=40&v=4 + anaelorlinski + https://github.com/anaelorlinski - ValdikSS/GoodbyeDPI - https://github.com/ValdikSS/GoodbyeDPI - GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) - https://github.com/ValdikSS/GoodbyeDPI + greatscottgadgets/hackrf + https://github.com/greatscottgadgets/hackrf + low cost software radio platform + https://github.com/greatscottgadgets/hackrf C #555555 - 25,587 - 1,873 - 22 + 6,629 + 1,537 + 5 - https://avatars.githubusercontent.com/u/3054729?s=40&v=4 - ValdikSS - https://github.com/ValdikSS + https://avatars.githubusercontent.com/u/1195107?s=40&v=4 + mossmann + https://github.com/mossmann - https://avatars.githubusercontent.com/u/157705588?s=40&v=4 - EgorWeders - https://github.com/EgorWeders + https://avatars.githubusercontent.com/u/778248?s=40&v=4 + jboone + https://github.com/jboone - https://avatars.githubusercontent.com/u/240344?s=40&v=4 - KOLANICH - https://github.com/KOLANICH + https://avatars.githubusercontent.com/u/91747?s=40&v=4 + dominicgs + https://github.com/dominicgs - https://avatars.githubusercontent.com/u/2335215?s=40&v=4 - pash7ka - https://github.com/pash7ka + https://avatars.githubusercontent.com/u/673823?s=40&v=4 + martinling + https://github.com/martinling - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear + https://avatars.githubusercontent.com/u/432709?s=40&v=4 + bvernoux + https://github.com/bvernoux - nothings/stb - https://github.com/nothings/stb - stb single-file public domain libraries for C/C++ - https://github.com/nothings/stb + erincatto/box2d + https://github.com/erincatto/box2d + Box2D is a 2D physics engine for games + https://github.com/erincatto/box2d C #555555 - 27,037 - 7,721 - 12 + 8,347 + 1,548 + 3 - https://avatars.githubusercontent.com/u/7525026?s=40&v=4 - nothings - https://github.com/nothings + https://avatars.githubusercontent.com/u/7284063?s=40&v=4 + erincatto + https://github.com/erincatto - https://avatars.githubusercontent.com/u/1077496?s=40&v=4 - rygorous - https://github.com/rygorous + https://avatars.githubusercontent.com/u/427410?s=40&v=4 + wub + https://github.com/wub - https://avatars.githubusercontent.com/u/815310?s=40&v=4 - BSVino - https://github.com/BSVino + https://avatars.githubusercontent.com/u/1216696?s=40&v=4 + flyover + https://github.com/flyover - https://avatars.githubusercontent.com/u/8225057?s=40&v=4 - ocornut - https://github.com/ocornut + https://avatars.githubusercontent.com/u/1635228?s=40&v=4 + sbeca + https://github.com/sbeca - https://avatars.githubusercontent.com/u/7214365?s=40&v=4 - rwhitworth - https://github.com/rwhitworth + https://avatars.githubusercontent.com/u/1213082?s=40&v=4 + zammitjames + https://github.com/zammitjames - swaywm/sway - https://github.com/swaywm/sway - i3-compatible Wayland compositor - https://github.com/swaywm/sway + ventoy/Ventoy + https://github.com/ventoy/Ventoy + A new bootable USB solution. + https://github.com/ventoy/Ventoy C #555555 - 14,725 - 1,111 - 2 + 63,108 + 4,104 + 19 - https://avatars.githubusercontent.com/u/1310872?s=40&v=4 - ddevault - https://github.com/ddevault + https://avatars.githubusercontent.com/u/59477474?s=40&v=4 + ventoy + https://github.com/ventoy - https://avatars.githubusercontent.com/u/506932?s=40&v=4 - emersion - https://github.com/emersion + https://avatars.githubusercontent.com/u/67432394?s=40&v=4 + wiz64 + https://github.com/wiz64 - https://avatars.githubusercontent.com/u/2436833?s=40&v=4 - RyanDwyer - https://github.com/RyanDwyer + https://avatars.githubusercontent.com/u/53147200?s=40&v=4 + VenusGirl + https://github.com/VenusGirl - https://avatars.githubusercontent.com/u/2997061?s=40&v=4 - RedSoxFan - https://github.com/RedSoxFan + https://avatars.githubusercontent.com/u/54566818?s=40&v=4 + crasadure + https://github.com/crasadure - https://avatars.githubusercontent.com/u/128566?s=40&v=4 - mikkeloscar - https://github.com/mikkeloscar + https://avatars.githubusercontent.com/u/31486344?s=40&v=4 + AnomSanjaya + https://github.com/AnomSanjaya - libsdl-org/SDL - https://github.com/libsdl-org/SDL - Simple Directmedia Layer - https://github.com/libsdl-org/SDL + openwrt/openwrt + https://github.com/openwrt/openwrt + This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git. + https://github.com/openwrt/openwrt C #555555 - 10,169 - 1,857 - 15 + 20,463 + 10,545 + 19 - https://avatars.githubusercontent.com/u/2100061?s=40&v=4 - slouken - https://github.com/slouken + https://avatars.githubusercontent.com/u/19352056?s=40&v=4 + juhosg + https://github.com/juhosg - https://avatars.githubusercontent.com/u/673562?s=40&v=4 - icculus - https://github.com/icculus + https://avatars.githubusercontent.com/u/1110044?s=40&v=4 + ffainelli + https://github.com/ffainelli - https://avatars.githubusercontent.com/u/4222725?s=40&v=4 - sezero - https://github.com/sezero + https://avatars.githubusercontent.com/u/2528802?s=40&v=4 + jow- + https://github.com/jow- - https://avatars.githubusercontent.com/u/818728?s=40&v=4 - 1bsyl - https://github.com/1bsyl + https://avatars.githubusercontent.com/u/78494?s=40&v=4 + hauke + https://github.com/hauke - https://avatars.githubusercontent.com/u/4138939?s=40&v=4 - madebr - https://github.com/madebr + https://avatars.githubusercontent.com/u/2529314?s=40&v=4 + nbd168 + https://github.com/nbd168 - bellard/quickjs - https://github.com/bellard/quickjs - Public repository of the QuickJS Javascript Engine. - https://github.com/bellard/quickjs + Klipper3d/klipper + https://github.com/Klipper3d/klipper + Klipper is a 3d-printer firmware + https://github.com/Klipper3d/klipper C #555555 - 8,539 - 894 - 8 + 9,566 + 5,337 + 3 - https://avatars.githubusercontent.com/u/20602538?s=40&v=4 - chqrlie - https://github.com/chqrlie + https://avatars.githubusercontent.com/u/3004890?s=40&v=4 + KevinOConnor + https://github.com/KevinOConnor - https://avatars.githubusercontent.com/u/6490144?s=40&v=4 - bellard - https://github.com/bellard + https://avatars.githubusercontent.com/u/9563098?s=40&v=4 + Arksine + https://github.com/Arksine - https://avatars.githubusercontent.com/u/275871?s=40&v=4 - bnoordhuis - https://github.com/bnoordhuis + https://avatars.githubusercontent.com/u/53491797?s=40&v=4 + dmbutyugin + https://github.com/dmbutyugin - https://avatars.githubusercontent.com/u/1174718?s=40&v=4 - kasperisager - https://github.com/kasperisager + https://avatars.githubusercontent.com/u/1433580?s=40&v=4 + mcmatrix + https://github.com/mcmatrix - https://avatars.githubusercontent.com/u/1129322?s=40&v=4 - pinotree - https://github.com/pinotree + https://avatars.githubusercontent.com/u/4352664?s=40&v=4 + FHeilmann + https://github.com/FHeilmann - redis/redis - https://github.com/redis/redis - Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps. - https://github.com/redis/redis + acidanthera/OpenCorePkg + https://github.com/acidanthera/OpenCorePkg + OpenCore bootloader + https://github.com/acidanthera/OpenCorePkg C #555555 - 67,153 - 23,813 - 15 + 13,470 + 2,117 + 7 - https://avatars.githubusercontent.com/u/65632?s=40&v=4 - antirez - https://github.com/antirez + https://avatars.githubusercontent.com/u/4348897?s=40&v=4 + vit9696 + https://github.com/vit9696 - https://avatars.githubusercontent.com/u/7045099?s=40&v=4 - oranagra - https://github.com/oranagra + https://avatars.githubusercontent.com/u/8659494?s=40&v=4 + mhaeuser + https://github.com/mhaeuser - https://avatars.githubusercontent.com/u/9845?s=40&v=4 - pietern - https://github.com/pietern + https://avatars.githubusercontent.com/u/17758753?s=40&v=4 + Andrey1970AppleLife + https://github.com/Andrey1970AppleLife - https://avatars.githubusercontent.com/u/22811481?s=40&v=4 - enjoy-binbin - https://github.com/enjoy-binbin + https://avatars.githubusercontent.com/u/11946605?s=40&v=4 + mikebeaton + https://github.com/mikebeaton - https://avatars.githubusercontent.com/u/1481195?s=40&v=4 - yossigo - https://github.com/yossigo + https://avatars.githubusercontent.com/u/17109513?s=40&v=4 + PMheart + https://github.com/PMheart - nginx/nginx - https://github.com/nginx/nginx - The official NGINX Open Source repository. - https://github.com/nginx/nginx + OnionUI/Onion + https://github.com/OnionUI/Onion + OS overhaul for Miyoo Mini and Mini+ + https://github.com/OnionUI/Onion C #555555 - 25,277 - 7,001 - 9 + 3,466 + 218 + 3 - https://avatars.githubusercontent.com/u/201187?s=40&v=4 - mdounin - https://github.com/mdounin - - - https://avatars.githubusercontent.com/u/11629712?s=40&v=4 - vl-homutov - https://github.com/vl-homutov - - - https://avatars.githubusercontent.com/u/1236368?s=40&v=4 - arut - https://github.com/arut - - - https://avatars.githubusercontent.com/u/2750827?s=40&v=4 - VBart - https://github.com/VBart - - - https://avatars.githubusercontent.com/u/190297?s=40&v=4 - PiotrSikora - https://github.com/PiotrSikora + https://avatars.githubusercontent.com/u/44569252?s=40&v=4 + Aemiii91 + https://github.com/Aemiii91 - - - - sandboxie-plus/Sandboxie - https://github.com/sandboxie-plus/Sandboxie - Sandboxie Plus & Classic - https://github.com/sandboxie-plus/Sandboxie - C - #555555 - 13,931 - 1,547 - 7 - - https://avatars.githubusercontent.com/u/3890945?s=40&v=4 - DavidXanatos - https://github.com/DavidXanatos + https://avatars.githubusercontent.com/u/16885275?s=40&v=4 + Sichroteph + https://github.com/Sichroteph - https://avatars.githubusercontent.com/u/12372772?s=40&v=4 - isaak654 - https://github.com/isaak654 + https://avatars.githubusercontent.com/u/7110113?s=40&v=4 + schmurtzm + https://github.com/schmurtzm - https://avatars.githubusercontent.com/u/92030377?s=40&v=4 - love-code-yeyixiao - https://github.com/love-code-yeyixiao + https://avatars.githubusercontent.com/u/47260768?s=40&v=4 + XK9274 + https://github.com/XK9274 - https://avatars.githubusercontent.com/u/6871698?s=40&v=4 - offhub - https://github.com/offhub + https://avatars.githubusercontent.com/u/85693713?s=40&v=4 + jimgraygit + https://github.com/jimgraygit diff --git a/data/daily/c++.json b/data/daily/c++.json index e3a991e9fb42..1067fa4b8e4d 100644 --- a/data/daily/c++.json +++ b/data/daily/c++.json @@ -2,7 +2,7 @@ "title": "GitHub C++ Languages Daily Trending", "description": "Daily Trending of C++ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "shader-slang/slang", @@ -10,9 +10,9 @@ "description": "Making it easier to work with shaders", "language": "C++", "languageColor": "#f34b7d", - "stars": "2,826", - "forks": "207", - "addStars": "139", + "stars": "2,857", + "forks": "209", + "addStars": "159", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/2652293?s=40&v=4", @@ -42,261 +42,219 @@ ] }, { - "title": "RPCS3/rpcs3", - "url": "https://github.com/RPCS3/rpcs3", - "description": "PlayStation 3 emulator and debugger", + "title": "MarlinFirmware/Marlin", + "url": "https://github.com/MarlinFirmware/Marlin", + "description": "Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine.", "language": "C++", "languageColor": "#f34b7d", - "stars": "15,612", - "forks": "1,923", - "addStars": "3", + "stars": "16,330", + "forks": "19,252", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23019877?s=40&v=4", - "name": "Megamouse", - "url": "https://github.com/Megamouse" + "avatar": "https://avatars.githubusercontent.com/u/698003?s=40&v=4", + "name": "thinkyhead", + "url": "https://github.com/thinkyhead" }, { - "avatar": "https://avatars.githubusercontent.com/u/6028184?s=40&v=4", - "name": "Nekotekina", - "url": "https://github.com/Nekotekina" + "avatar": "https://avatars.githubusercontent.com/u/530024?s=40&v=4", + "name": "ellensp", + "url": "https://github.com/ellensp" }, { - "avatar": "https://avatars.githubusercontent.com/u/15904127?s=40&v=4", - "name": "kd-11", - "url": "https://github.com/kd-11" + "avatar": "https://avatars.githubusercontent.com/u/882374?s=40&v=4", + "name": "ErikZalm", + "url": "https://github.com/ErikZalm" }, { - "avatar": "https://avatars.githubusercontent.com/u/18193363?s=40&v=4", - "name": "elad335", - "url": "https://github.com/elad335" + "avatar": "https://avatars.githubusercontent.com/u/211931?s=40&v=4", + "name": "AnHardt", + "url": "https://github.com/AnHardt" }, { - "avatar": "https://avatars.githubusercontent.com/u/6413475?s=40&v=4", - "name": "vlj", - "url": "https://github.com/vlj" + "avatar": "https://avatars.githubusercontent.com/u/13375512?s=40&v=4", + "name": "thisiskeithb", + "url": "https://github.com/thisiskeithb" } ] }, { - "title": "justcallmekoko/ESP32Marauder", - "url": "https://github.com/justcallmekoko/ESP32Marauder", - "description": "A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32", + "title": "bitcoin/bitcoin", + "url": "https://github.com/bitcoin/bitcoin", + "description": "Bitcoin Core integration/staging tree", "language": "C++", "languageColor": "#f34b7d", - "stars": "5,983", - "forks": "640", - "addStars": "8", + "stars": "79,801", + "forks": "36,457", + "addStars": "36", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25190487?s=40&v=4", - "name": "justcallmekoko", - "url": "https://github.com/justcallmekoko" + "avatar": "https://avatars.githubusercontent.com/u/126646?s=40&v=4", + "name": "laanwj", + "url": "https://github.com/laanwj" }, { - "avatar": "https://avatars.githubusercontent.com/u/53179565?s=40&v=4", - "name": "trisp3ar", - "url": "https://github.com/trisp3ar" + "avatar": "https://avatars.githubusercontent.com/u/863730?s=40&v=4", + "name": "fanquake", + "url": "https://github.com/fanquake" }, { - "avatar": "https://avatars.githubusercontent.com/u/6174563?s=40&v=4", - "name": "tracedgod", - "url": "https://github.com/tracedgod" + "avatar": "https://avatars.githubusercontent.com/u/548488?s=40&v=4", + "name": "sipa", + "url": "https://github.com/sipa" }, { - "avatar": "https://avatars.githubusercontent.com/u/49810075?s=40&v=4", - "name": "Willy-JL", - "url": "https://github.com/Willy-JL" + "avatar": "https://avatars.githubusercontent.com/u/32963518?s=40&v=4", + "name": "hebasto", + "url": "https://github.com/hebasto" }, { - "avatar": "https://avatars.githubusercontent.com/u/612794?s=40&v=4", - "name": "wallali", - "url": "https://github.com/wallali" + "avatar": "https://avatars.githubusercontent.com/u/3782274?s=40&v=4", + "name": "achow101", + "url": "https://github.com/achow101" } ] }, { - "title": "minetest/minetest", - "url": "https://github.com/minetest/minetest", - "description": "Luanti (formerly Minetest) is an open source voxel game-creation platform with easy modding and game creation", + "title": "ml-explore/mlx", + "url": "https://github.com/ml-explore/mlx", + "description": "MLX: An array framework for Apple silicon", "language": "C++", "languageColor": "#f34b7d", - "stars": "10,843", - "forks": "2,036", - "addStars": "7", + "stars": "17,442", + "forks": "1,010", + "addStars": "55", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/115571?s=40&v=4", - "name": "celeron55", - "url": "https://github.com/celeron55" + "avatar": "https://avatars.githubusercontent.com/u/1542805?s=40&v=4", + "name": "awni", + "url": "https://github.com/awni" }, { - "avatar": "https://avatars.githubusercontent.com/u/1042418?s=40&v=4", - "name": "sfan5", - "url": "https://github.com/sfan5" + "avatar": "https://avatars.githubusercontent.com/u/1242043?s=40&v=4", + "name": "angeloskath", + "url": "https://github.com/angeloskath" }, { - "avatar": "https://avatars.githubusercontent.com/u/119752?s=40&v=4", - "name": "nerzhul", - "url": "https://github.com/nerzhul" + "avatar": "https://avatars.githubusercontent.com/u/60373541?s=40&v=4", + "name": "jagrit06", + "url": "https://github.com/jagrit06" }, { - "avatar": "https://avatars.githubusercontent.com/u/3686677?s=40&v=4", - "name": "paramat", - "url": "https://github.com/paramat" + "avatar": "https://avatars.githubusercontent.com/u/12023682?s=40&v=4", + "name": "barronalex", + "url": "https://github.com/barronalex" }, { - "avatar": "https://avatars.githubusercontent.com/u/1497498?s=40&v=4", - "name": "SmallJoker", - "url": "https://github.com/SmallJoker" + "avatar": "https://avatars.githubusercontent.com/u/639601?s=40&v=4", + "name": "zcbenz", + "url": "https://github.com/zcbenz" } ] }, { - "title": "CleverRaven/Cataclysm-DDA", - "url": "https://github.com/CleverRaven/Cataclysm-DDA", - "description": "Cataclysm - Dark Days Ahead. A turn-based survival game set in a post-apocalyptic world.", + "title": "azerothcore/azerothcore-wotlk", + "url": "https://github.com/azerothcore/azerothcore-wotlk", + "description": "Complete Open Source and Modular solution for MMO", "language": "C++", "languageColor": "#f34b7d", - "stars": "10,676", - "forks": "4,185", - "addStars": "6", + "stars": "6,605", + "forks": "2,636", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16213433?s=40&v=4", - "name": "ZhilkinSerg", - "url": "https://github.com/ZhilkinSerg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/860276?s=40&v=4", - "name": "kevingranade", - "url": "https://github.com/kevingranade" + "avatar": "https://avatars.githubusercontent.com/u/80540499?s=40&v=4", + "name": "UltraNix", + "url": "https://github.com/UltraNix" }, { - "avatar": "https://avatars.githubusercontent.com/u/5084581?s=40&v=4", - "name": "Rivet-the-Zombie", - "url": "https://github.com/Rivet-the-Zombie" + "avatar": "https://avatars.githubusercontent.com/u/24550914?s=40&v=4", + "name": "Kitzunu", + "url": "https://github.com/Kitzunu" }, { - "avatar": "https://avatars.githubusercontent.com/u/5095435?s=40&v=4", - "name": "BevapDin", - "url": "https://github.com/BevapDin" + "avatar": "https://avatars.githubusercontent.com/u/47818697?s=40&v=4", + "name": "Nyeriah", + "url": "https://github.com/Nyeriah" }, { - "avatar": "https://avatars.githubusercontent.com/u/4195632?s=40&v=4", - "name": "KA101", - "url": "https://github.com/KA101" + "avatar": "https://avatars.githubusercontent.com/u/147092?s=40&v=4", + "name": "Yehonal", + "url": "https://github.com/Yehonal" } ] }, { - "title": "ocornut/imgui", - "url": "https://github.com/ocornut/imgui", - "description": "Dear ImGui: Bloat-free Graphical User interface for C++ with minimal dependencies", + "title": "godotengine/godot", + "url": "https://github.com/godotengine/godot", + "description": "Godot Engine – Multi-platform 2D and 3D game engine", "language": "C++", "languageColor": "#f34b7d", - "stars": "61,347", - "forks": "10,333", - "addStars": "23", + "stars": "91,366", + "forks": "21,255", + "addStars": "27", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8225057?s=40&v=4", - "name": "ocornut", - "url": "https://github.com/ocornut" + "avatar": "https://avatars.githubusercontent.com/u/4701338?s=40&v=4", + "name": "akien-mga", + "url": "https://github.com/akien-mga" }, { - "avatar": "https://avatars.githubusercontent.com/u/19151258?s=40&v=4", - "name": "rokups", - "url": "https://github.com/rokups" + "avatar": "https://avatars.githubusercontent.com/u/6265307?s=40&v=4", + "name": "reduz", + "url": "https://github.com/reduz" }, { - "avatar": "https://avatars.githubusercontent.com/u/1197433?s=40&v=4", - "name": "thedmd", - "url": "https://github.com/thedmd" + "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", + "name": "Calinou", + "url": "https://github.com/Calinou" }, { - "avatar": "https://avatars.githubusercontent.com/u/814772?s=40&v=4", - "name": "bkaradzic", - "url": "https://github.com/bkaradzic" + "avatar": "https://avatars.githubusercontent.com/u/11782833?s=40&v=4", + "name": "YuriSizov", + "url": "https://github.com/YuriSizov" }, { - "avatar": "https://avatars.githubusercontent.com/u/4297676?s=40&v=4", - "name": "cfillion", - "url": "https://github.com/cfillion" + "avatar": "https://avatars.githubusercontent.com/u/2223172?s=40&v=4", + "name": "KoBeWi", + "url": "https://github.com/KoBeWi" } ] }, { - "title": "MarlinFirmware/Marlin", - "url": "https://github.com/MarlinFirmware/Marlin", - "description": "Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine.", + "title": "dogecoin/dogecoin", + "url": "https://github.com/dogecoin/dogecoin", + "description": "very currency", "language": "C++", "languageColor": "#f34b7d", - "stars": "16,329", - "forks": "19,250", + "stars": "14,669", + "forks": "2,884", "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/698003?s=40&v=4", - "name": "thinkyhead", - "url": "https://github.com/thinkyhead" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/530024?s=40&v=4", - "name": "ellensp", - "url": "https://github.com/ellensp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/882374?s=40&v=4", - "name": "ErikZalm", - "url": "https://github.com/ErikZalm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/211931?s=40&v=4", - "name": "AnHardt", - "url": "https://github.com/AnHardt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13375512?s=40&v=4", - "name": "thisiskeithb", - "url": "https://github.com/thisiskeithb" - } - ] - }, - { - "title": "PrismLauncher/PrismLauncher", - "url": "https://github.com/PrismLauncher/PrismLauncher", - "description": "A custom launcher for Minecraft that allows you to easily manage multiple installations of Minecraft at once (Fork of MultiMC)", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "5,857", - "forks": "655", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/203326?s=40&v=4", - "name": "peterix", - "url": "https://github.com/peterix" + "avatar": "https://avatars.githubusercontent.com/u/126646?s=40&v=4", + "name": "laanwj", + "url": "https://github.com/laanwj" }, { - "avatar": "https://avatars.githubusercontent.com/u/42720688?s=40&v=4", - "name": "Trial97", - "url": "https://github.com/Trial97" + "avatar": "https://avatars.githubusercontent.com/u/1410115?s=40&v=4", + "name": "patricklodder", + "url": "https://github.com/patricklodder" }, { - "avatar": "https://avatars.githubusercontent.com/u/11587657?s=40&v=4", - "name": "Scrumplex", - "url": "https://github.com/Scrumplex" + "avatar": "https://avatars.githubusercontent.com/u/649246?s=40&v=4", + "name": "TheBlueMatt", + "url": "https://github.com/TheBlueMatt" }, { - "avatar": "https://avatars.githubusercontent.com/u/9145768?s=40&v=4", - "name": "flowln", - "url": "https://github.com/flowln" + "avatar": "https://avatars.githubusercontent.com/u/548488?s=40&v=4", + "name": "sipa", + "url": "https://github.com/sipa" }, { - "avatar": "https://avatars.githubusercontent.com/u/57493648?s=40&v=4", - "name": "TheKodeToad", - "url": "https://github.com/TheKodeToad" + "avatar": "https://avatars.githubusercontent.com/u/50255?s=40&v=4", + "name": "chromatic", + "url": "https://github.com/chromatic" } ] }, @@ -306,8 +264,8 @@ "description": "qBittorrent BitTorrent client", "language": "C++", "languageColor": "#f34b7d", - "stars": "28,522", - "forks": "3,998", + "stars": "28,532", + "forks": "3,999", "addStars": "20", "contributors": [ { @@ -338,108 +296,182 @@ ] }, { - "title": "dolphin-emu/dolphin", - "url": "https://github.com/dolphin-emu/dolphin", - "description": "Dolphin is a GameCube / Wii emulator, allowing you to play games for these two platforms on PC with improvements.", + "title": "Aircoookie/WLED", + "url": "https://github.com/Aircoookie/WLED", + "description": "Control WS2812B and many more types of digital RGB LEDs with an ESP8266 or ESP32 over WiFi!", "language": "C++", "languageColor": "#f34b7d", - "stars": "13,090", - "forks": "2,669", - "addStars": "4", + "stars": "15,061", + "forks": "3,259", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/712067?s=40&v=4", - "name": "lioncash", - "url": "https://github.com/lioncash" + "avatar": "https://avatars.githubusercontent.com/u/7722392?s=40&v=4", + "name": "blazoncek", + "url": "https://github.com/blazoncek" }, { - "avatar": "https://avatars.githubusercontent.com/u/6716818?s=40&v=4", - "name": "JosJuice", - "url": "https://github.com/JosJuice" + "avatar": "https://avatars.githubusercontent.com/u/21045690?s=40&v=4", + "name": "Aircoookie", + "url": "https://github.com/Aircoookie" }, { - "avatar": "https://avatars.githubusercontent.com/u/4209061?s=40&v=4", - "name": "leoetlino", - "url": "https://github.com/leoetlino" + "avatar": "https://avatars.githubusercontent.com/u/91616163?s=40&v=4", + "name": "softhack007", + "url": "https://github.com/softhack007" }, { - "avatar": "https://avatars.githubusercontent.com/u/2787141?s=40&v=4", - "name": "degasus", - "url": "https://github.com/degasus" + "avatar": "https://avatars.githubusercontent.com/u/28492985?s=40&v=4", + "name": "srg74", + "url": "https://github.com/srg74" }, { - "avatar": "https://avatars.githubusercontent.com/u/1018829?s=40&v=4", - "name": "Sonicadvance1", - "url": "https://github.com/Sonicadvance1" + "avatar": "https://avatars.githubusercontent.com/u/27882680?s=40&v=4", + "name": "w00000dy", + "url": "https://github.com/w00000dy" + } + ] + }, + { + "title": "TrinityCore/TrinityCore", + "url": "https://github.com/TrinityCore/TrinityCore", + "description": "TrinityCore Open Source MMO Framework (master = 11.0.5.57689, 3.3.5 = 3.3.5a.12340, cata classic = 4.4.1.57564)", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "9,638", + "forks": "6,063", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/297439?s=40&v=4", + "name": "Shauren", + "url": "https://github.com/Shauren" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/541725?s=40&v=4", + "name": "Vincent-Michael", + "url": "https://github.com/Vincent-Michael" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/530940?s=40&v=4", + "name": "DDuarte", + "url": "https://github.com/DDuarte" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/539374?s=40&v=4", + "name": "Aokromes", + "url": "https://github.com/Aokromes" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6587064?s=40&v=4", + "name": "Killyana", + "url": "https://github.com/Killyana" + } + ] + }, + { + "title": "LizardByte/Sunshine", + "url": "https://github.com/LizardByte/Sunshine", + "description": "Self-hosted game stream host for Moonlight.", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "20,021", + "forks": "962", + "addStars": "35", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/42013603?s=40&v=4", + "name": "ReenigneArcher", + "url": "https://github.com/ReenigneArcher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2695644?s=40&v=4", + "name": "cgutman", + "url": "https://github.com/cgutman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3084139?s=40&v=4", + "name": "loki-47-6F-64", + "url": "https://github.com/loki-47-6F-64" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/108553330?s=40&v=4", + "name": "LizardByte-bot", + "url": "https://github.com/LizardByte-bot" } ] }, { - "title": "sogou/workflow", - "url": "https://github.com/sogou/workflow", - "description": "C++ Parallel Computing and Asynchronous Networking Framework", + "title": "Alexays/Waybar", + "url": "https://github.com/Alexays/Waybar", + "description": "Highly customizable Wayland bar for Sway and Wlroots based compositors. ✌️ 🎉", "language": "C++", "languageColor": "#f34b7d", - "stars": "13,165", - "forks": "2,422", - "addStars": "3", + "stars": "6,747", + "forks": "707", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/52160700?s=40&v=4", - "name": "Barenboim", - "url": "https://github.com/Barenboim" + "avatar": "https://avatars.githubusercontent.com/u/13947260?s=40&v=4", + "name": "Alexays", + "url": "https://github.com/Alexays" }, { - "avatar": "https://avatars.githubusercontent.com/u/1880011?s=40&v=4", - "name": "holmes1412", - "url": "https://github.com/holmes1412" + "avatar": "https://avatars.githubusercontent.com/u/2681151?s=40&v=4", + "name": "alebastr", + "url": "https://github.com/alebastr" }, { - "avatar": "https://avatars.githubusercontent.com/u/16952500?s=40&v=4", - "name": "wzl12356", - "url": "https://github.com/wzl12356" + "avatar": "https://avatars.githubusercontent.com/u/23121044?s=40&v=4", + "name": "LukashonakV", + "url": "https://github.com/LukashonakV" }, { - "avatar": "https://avatars.githubusercontent.com/u/8709073?s=40&v=4", - "name": "kedixa", - "url": "https://github.com/kedixa" + "avatar": "https://avatars.githubusercontent.com/u/1778670?s=40&v=4", + "name": "khaneliman", + "url": "https://github.com/khaneliman" }, { - "avatar": "https://avatars.githubusercontent.com/u/27816166?s=40&v=4", - "name": "chanchann", - "url": "https://github.com/chanchann" + "avatar": "https://avatars.githubusercontent.com/u/17771546?s=40&v=4", + "name": "Anakael", + "url": "https://github.com/Anakael" } ] }, { - "title": "filecxx/FileCentipede", - "url": "https://github.com/filecxx/FileCentipede", - "description": "Cross-platform internet upload/download manager for HTTP(S), FTP(S), SSH, magnet-link, BitTorrent, m3u8, ed2k, and online videos. WebDAV client, FTP client, SSH client.", + "title": "stenzek/duckstation", + "url": "https://github.com/stenzek/duckstation", + "description": "Fast PlayStation 1 emulator for x86-64/AArch32/AArch64/RV64", "language": "C++", "languageColor": "#f34b7d", - "stars": "9,184", - "forks": "572", - "addStars": "17", + "stars": "7,666", + "forks": "722", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/100348948?s=40&v=4", - "name": "filecxx", - "url": "https://github.com/filecxx" + "avatar": "https://avatars.githubusercontent.com/u/11288319?s=40&v=4", + "name": "stenzek", + "url": "https://github.com/stenzek" }, { - "avatar": "https://avatars.githubusercontent.com/u/53147200?s=40&v=4", - "name": "VenusGirl", - "url": "https://github.com/VenusGirl" + "avatar": "https://avatars.githubusercontent.com/u/43047877?s=40&v=4", + "name": "andercard0", + "url": "https://github.com/andercard0" }, { - "avatar": "https://avatars.githubusercontent.com/u/94012185?s=40&v=4", - "name": "Rei1mu", - "url": "https://github.com/Rei1mu" + "avatar": "https://avatars.githubusercontent.com/u/45282415?s=40&v=4", + "name": "ggrtk", + "url": "https://github.com/ggrtk" }, { - "avatar": "https://avatars.githubusercontent.com/u/11424186?s=40&v=4", - "name": "Vulcanraven91", - "url": "https://github.com/Vulcanraven91" + "avatar": "https://avatars.githubusercontent.com/u/40191866?s=40&v=4", + "name": "zkdpower", + "url": "https://github.com/zkdpower" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7947461?s=40&v=4", + "name": "CookiePLMonster", + "url": "https://github.com/CookiePLMonster" } ] }, @@ -449,7 +481,7 @@ "description": "A free and strong UCI chess engine", "language": "C++", "languageColor": "#f34b7d", - "stars": "11,702", + "stars": "11,698", "forks": "2,295", "addStars": "11", "contributors": [ @@ -481,394 +513,367 @@ ] }, { - "title": "FreeCAD/FreeCAD", - "url": "https://github.com/FreeCAD/FreeCAD", - "description": "This is the official source code of FreeCAD, a free and opensource multiplatform 3D parametric modeler.", + "title": "esphome/esphome", + "url": "https://github.com/esphome/esphome", + "description": "ESPHome is a system to control your ESP8266/ESP32 by simple yet powerful configuration files and control them remotely through Home Automation systems.", "language": "C++", "languageColor": "#f34b7d", - "stars": "20,945", - "forks": "4,118", - "addStars": "160", + "stars": "8,564", + "forks": "3,703", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7101741?s=40&v=4", - "name": "wwmayer", - "url": "https://github.com/wwmayer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1136856?s=40&v=4", - "name": "yorikvanhavre", - "url": "https://github.com/yorikvanhavre" + "avatar": "https://avatars.githubusercontent.com/u/3060199?s=40&v=4", + "name": "jesserockz", + "url": "https://github.com/jesserockz" }, { - "avatar": "https://avatars.githubusercontent.com/u/6129869?s=40&v=4", - "name": "berndhahnebach", - "url": "https://github.com/berndhahnebach" + "avatar": "https://avatars.githubusercontent.com/u/6833237?s=40&v=4", + "name": "OttoWinter", + "url": "https://github.com/OttoWinter" }, { - "avatar": "https://avatars.githubusercontent.com/u/216920?s=40&v=4", - "name": "chennes", - "url": "https://github.com/chennes" + "avatar": "https://avatars.githubusercontent.com/u/197154?s=40&v=4", + "name": "oxan", + "url": "https://github.com/oxan" }, { - "avatar": "https://avatars.githubusercontent.com/u/3587161?s=40&v=4", - "name": "WandererFan", - "url": "https://github.com/WandererFan" + "avatar": "https://avatars.githubusercontent.com/u/21233191?s=40&v=4", + "name": "kbx81", + "url": "https://github.com/kbx81" } ] }, { - "title": "PCSX2/pcsx2", - "url": "https://github.com/PCSX2/pcsx2", - "description": "PCSX2 - The Playstation 2 Emulator", + "title": "keepassxreboot/keepassxc", + "url": "https://github.com/keepassxreboot/keepassxc", + "description": "KeePassXC is a cross-platform community-driven port of the Windows application “Keepass Password Safe”.", "language": "C++", "languageColor": "#f34b7d", - "stars": "11,871", - "forks": "1,631", - "addStars": "8", + "stars": "21,417", + "forks": "1,477", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1569559?s=40&v=4", - "name": "gregory38", - "url": "https://github.com/gregory38" + "avatar": "https://avatars.githubusercontent.com/u/293265?s=40&v=4", + "name": "debfx", + "url": "https://github.com/debfx" }, { - "avatar": "https://avatars.githubusercontent.com/u/11288319?s=40&v=4", - "name": "stenzek", - "url": "https://github.com/stenzek" + "avatar": "https://avatars.githubusercontent.com/u/2809491?s=40&v=4", + "name": "droidmonkey", + "url": "https://github.com/droidmonkey" }, { - "avatar": "https://avatars.githubusercontent.com/u/6278726?s=40&v=4", - "name": "refractionpcsx2", - "url": "https://github.com/refractionpcsx2" + "avatar": "https://avatars.githubusercontent.com/u/911270?s=40&v=4", + "name": "phoerious", + "url": "https://github.com/phoerious" }, { - "avatar": "https://avatars.githubusercontent.com/u/18107717?s=40&v=4", - "name": "lightningterror", - "url": "https://github.com/lightningterror" + "avatar": "https://avatars.githubusercontent.com/u/193820?s=40&v=4", + "name": "BlueIce", + "url": "https://github.com/BlueIce" }, { - "avatar": "https://avatars.githubusercontent.com/u/10976277?s=40&v=4", - "name": "turtleli", - "url": "https://github.com/turtleli" + "avatar": "https://avatars.githubusercontent.com/u/686894?s=40&v=4", + "name": "TheZ3ro", + "url": "https://github.com/TheZ3ro" } ] }, { - "title": "stenzek/duckstation", - "url": "https://github.com/stenzek/duckstation", - "description": "Fast PlayStation 1 emulator for x86-64/AArch32/AArch64/RV64", + "title": "dolphin-emu/dolphin", + "url": "https://github.com/dolphin-emu/dolphin", + "description": "Dolphin is a GameCube / Wii emulator, allowing you to play games for these two platforms on PC with improvements.", "language": "C++", "languageColor": "#f34b7d", - "stars": "7,665", - "forks": "722", - "addStars": "6", + "stars": "13,091", + "forks": "2,669", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11288319?s=40&v=4", - "name": "stenzek", - "url": "https://github.com/stenzek" + "avatar": "https://avatars.githubusercontent.com/u/712067?s=40&v=4", + "name": "lioncash", + "url": "https://github.com/lioncash" }, { - "avatar": "https://avatars.githubusercontent.com/u/43047877?s=40&v=4", - "name": "andercard0", - "url": "https://github.com/andercard0" + "avatar": "https://avatars.githubusercontent.com/u/6716818?s=40&v=4", + "name": "JosJuice", + "url": "https://github.com/JosJuice" }, { - "avatar": "https://avatars.githubusercontent.com/u/45282415?s=40&v=4", - "name": "ggrtk", - "url": "https://github.com/ggrtk" + "avatar": "https://avatars.githubusercontent.com/u/4209061?s=40&v=4", + "name": "leoetlino", + "url": "https://github.com/leoetlino" }, { - "avatar": "https://avatars.githubusercontent.com/u/40191866?s=40&v=4", - "name": "zkdpower", - "url": "https://github.com/zkdpower" + "avatar": "https://avatars.githubusercontent.com/u/2787141?s=40&v=4", + "name": "degasus", + "url": "https://github.com/degasus" }, { - "avatar": "https://avatars.githubusercontent.com/u/7947461?s=40&v=4", - "name": "CookiePLMonster", - "url": "https://github.com/CookiePLMonster" + "avatar": "https://avatars.githubusercontent.com/u/1018829?s=40&v=4", + "name": "Sonicadvance1", + "url": "https://github.com/Sonicadvance1" } ] }, { - "title": "taichi-dev/taichi", - "url": "https://github.com/taichi-dev/taichi", - "description": "Productive, portable, and performant GPU programming in Python.", + "title": "microsoft/terminal", + "url": "https://github.com/microsoft/terminal", + "description": "The new Windows Terminal and the original Windows console host, all in the same place!", "language": "C++", "languageColor": "#f34b7d", - "stars": "25,550", - "forks": "2,288", - "addStars": "6", + "stars": "95,849", + "forks": "8,338", + "addStars": "25", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6553256?s=40&v=4", - "name": "yuanming-hu", - "url": "https://github.com/yuanming-hu" + "avatar": "https://avatars.githubusercontent.com/u/189190?s=40&v=4", + "name": "DHowett", + "url": "https://github.com/DHowett" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18356694?s=40&v=4", + "name": "zadjii-msft", + "url": "https://github.com/zadjii-msft" }, { - "avatar": "https://avatars.githubusercontent.com/u/62079278?s=40&v=4", - "name": "taichi-gardener", - "url": "https://github.com/taichi-gardener" + "avatar": "https://avatars.githubusercontent.com/u/2256941?s=40&v=4", + "name": "lhecker", + "url": "https://github.com/lhecker" }, { - "avatar": "https://avatars.githubusercontent.com/u/5248122?s=40&v=4", - "name": "ailzhang", - "url": "https://github.com/ailzhang" + "avatar": "https://avatars.githubusercontent.com/u/18221333?s=40&v=4", + "name": "miniksa", + "url": "https://github.com/miniksa" }, { - "avatar": "https://avatars.githubusercontent.com/u/7481356?s=40&v=4", - "name": "k-ye", - "url": "https://github.com/k-ye" + "avatar": "https://avatars.githubusercontent.com/u/11050425?s=40&v=4", + "name": "carlos-zamora", + "url": "https://github.com/carlos-zamora" } ] }, { - "title": "qinguoyi/TinyWebServer", - "url": "https://github.com/qinguoyi/TinyWebServer", - "description": "🔥 Linux下C++轻量级WebServer服务器", + "title": "justcallmekoko/ESP32Marauder", + "url": "https://github.com/justcallmekoko/ESP32Marauder", + "description": "A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32", "language": "C++", "languageColor": "#f34b7d", - "stars": "16,908", - "forks": "3,963", - "addStars": "10", + "stars": "6,012", + "forks": "643", + "addStars": "133", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25760295?s=40&v=4", - "name": "qinguoyi", - "url": "https://github.com/qinguoyi" + "avatar": "https://avatars.githubusercontent.com/u/25190487?s=40&v=4", + "name": "justcallmekoko", + "url": "https://github.com/justcallmekoko" }, { - "avatar": "https://avatars.githubusercontent.com/u/24351052?s=40&v=4", - "name": "mapleFU", - "url": "https://github.com/mapleFU" + "avatar": "https://avatars.githubusercontent.com/u/53179565?s=40&v=4", + "name": "trisp3ar", + "url": "https://github.com/trisp3ar" }, { - "avatar": "https://avatars.githubusercontent.com/u/17757598?s=40&v=4", - "name": "ZWiley", - "url": "https://github.com/ZWiley" + "avatar": "https://avatars.githubusercontent.com/u/6174563?s=40&v=4", + "name": "tracedgod", + "url": "https://github.com/tracedgod" }, { - "avatar": "https://avatars.githubusercontent.com/u/54912779?s=40&v=4", - "name": "YukunJ", - "url": "https://github.com/YukunJ" + "avatar": "https://avatars.githubusercontent.com/u/49810075?s=40&v=4", + "name": "Willy-JL", + "url": "https://github.com/Willy-JL" }, { - "avatar": "https://avatars.githubusercontent.com/u/17381902?s=40&v=4", - "name": "mamil", - "url": "https://github.com/mamil" + "avatar": "https://avatars.githubusercontent.com/u/612794?s=40&v=4", + "name": "wallali", + "url": "https://github.com/wallali" } ] }, { - "title": "hrydgard/ppsspp", - "url": "https://github.com/hrydgard/ppsspp", - "description": "A PSP emulator for Android, Windows, Mac and Linux, written in C++. Want to contribute? Join us on Discord at https://discord.gg/5NJB6dD or just send pull requests / issues. For discussion use the forums at forums.ppsspp.org.", + "title": "zeux/pugixml", + "url": "https://github.com/zeux/pugixml", + "description": "Light-weight, simple and fast XML parser for C++ with XPath support", "language": "C++", "languageColor": "#f34b7d", - "stars": "11,419", - "forks": "2,190", - "addStars": "5", + "stars": "4,042", + "forks": "730", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/130929?s=40&v=4", - "name": "hrydgard", - "url": "https://github.com/hrydgard" + "avatar": "https://avatars.githubusercontent.com/u/1106629?s=40&v=4", + "name": "zeux", + "url": "https://github.com/zeux" }, { - "avatar": "https://avatars.githubusercontent.com/u/191233?s=40&v=4", - "name": "unknownbrackets", - "url": "https://github.com/unknownbrackets" + "avatar": "https://avatars.githubusercontent.com/u/1640024?s=40&v=4", + "name": "bjornblissing", + "url": "https://github.com/bjornblissing" }, { - "avatar": "https://avatars.githubusercontent.com/u/61218?s=40&v=4", - "name": "xsacha", - "url": "https://github.com/xsacha" + "avatar": "https://avatars.githubusercontent.com/u/783401?s=40&v=4", + "name": "igagis", + "url": "https://github.com/igagis" }, { - "avatar": "https://avatars.githubusercontent.com/u/3000282?s=40&v=4", - "name": "dbz400", - "url": "https://github.com/dbz400" + "avatar": "https://avatars.githubusercontent.com/u/63051?s=40&v=4", + "name": "bruxisma", + "url": "https://github.com/bruxisma" }, { - "avatar": "https://avatars.githubusercontent.com/u/4482745?s=40&v=4", - "name": "thedax", - "url": "https://github.com/thedax" + "avatar": "https://avatars.githubusercontent.com/u/143889?s=40&v=4", + "name": "sbeyer", + "url": "https://github.com/sbeyer" } ] }, { - "title": "jrouwe/JoltPhysics", - "url": "https://github.com/jrouwe/JoltPhysics", - "description": "A multi core friendly rigid body physics and collision detection library. Written in C++. Suitable for games and VR applications. Used by Horizon Forbidden West.", + "title": "SerenityOS/serenity", + "url": "https://github.com/SerenityOS/serenity", + "description": "The Serenity Operating System 🐞", "language": "C++", "languageColor": "#f34b7d", - "stars": "6,821", - "forks": "458", - "addStars": "10", + "stars": "30,739", + "forks": "3,185", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1621693?s=40&v=4", - "name": "jrouwe", - "url": "https://github.com/jrouwe" + "avatar": "https://avatars.githubusercontent.com/u/5954907?s=40&v=4", + "name": "awesomekling", + "url": "https://github.com/awesomekling" }, { - "avatar": "https://avatars.githubusercontent.com/u/4884246?s=40&v=4", - "name": "mihe", - "url": "https://github.com/mihe" + "avatar": "https://avatars.githubusercontent.com/u/19366641?s=40&v=4", + "name": "linusg", + "url": "https://github.com/linusg" }, { - "avatar": "https://avatars.githubusercontent.com/u/21316711?s=40&v=4", - "name": "misyltoad", - "url": "https://github.com/misyltoad" + "avatar": "https://avatars.githubusercontent.com/u/5600524?s=40&v=4", + "name": "trflynn89", + "url": "https://github.com/trflynn89" }, { - "avatar": "https://avatars.githubusercontent.com/u/3002461?s=40&v=4", - "name": "SirLynix", - "url": "https://github.com/SirLynix" + "avatar": "https://avatars.githubusercontent.com/u/222642?s=40&v=4", + "name": "AtkinsSJ", + "url": "https://github.com/AtkinsSJ" }, { - "avatar": "https://avatars.githubusercontent.com/u/8342599?s=40&v=4", - "name": "AndreaCatania", - "url": "https://github.com/AndreaCatania" + "avatar": "https://avatars.githubusercontent.com/u/14001776?s=40&v=4", + "name": "alimpfard", + "url": "https://github.com/alimpfard" } ] }, { - "title": "yhirose/cpp-httplib", - "url": "https://github.com/yhirose/cpp-httplib", - "description": "A C++ header-only HTTP/HTTPS server and client library", + "title": "TheAlgorithms/C-Plus-Plus", + "url": "https://github.com/TheAlgorithms/C-Plus-Plus", + "description": "Collection of various algorithms in mathematics, machine learning, computer science and physics implemented in C++ for educational purposes.", "language": "C++", "languageColor": "#f34b7d", - "stars": "13,177", - "forks": "2,313", - "addStars": "4", + "stars": "30,812", + "forks": "7,284", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/357397?s=40&v=4", - "name": "yhirose", - "url": "https://github.com/yhirose" + "avatar": "https://avatars.githubusercontent.com/u/51391473?s=40&v=4", + "name": "Panquesito7", + "url": "https://github.com/Panquesito7" }, { - "avatar": "https://avatars.githubusercontent.com/u/30493199?s=40&v=4", - "name": "jimmy-park", - "url": "https://github.com/jimmy-park" + "avatar": "https://avatars.githubusercontent.com/u/7001608?s=40&v=4", + "name": "kvedala", + "url": "https://github.com/kvedala" }, { - "avatar": "https://avatars.githubusercontent.com/u/34214253?s=40&v=4", - "name": "Tachi107", - "url": "https://github.com/Tachi107" + "avatar": "https://avatars.githubusercontent.com/u/68815218?s=40&v=4", + "name": "realstealthninja", + "url": "https://github.com/realstealthninja" }, { - "avatar": "https://avatars.githubusercontent.com/u/19376155?s=40&v=4", - "name": "sum01", - "url": "https://github.com/sum01" + "avatar": "https://avatars.githubusercontent.com/u/43348292?s=40&v=4", + "name": "ayaankhan98", + "url": "https://github.com/ayaankhan98" }, { - "avatar": "https://avatars.githubusercontent.com/u/44422178?s=40&v=4", - "name": "PixlRainbow", - "url": "https://github.com/PixlRainbow" + "avatar": "https://avatars.githubusercontent.com/u/3709715?s=40&v=4", + "name": "cclauss", + "url": "https://github.com/cclauss" } ] }, { - "title": "godotengine/godot", - "url": "https://github.com/godotengine/godot", - "description": "Godot Engine – Multi-platform 2D and 3D game engine", + "title": "hyprwm/Hyprland", + "url": "https://github.com/hyprwm/Hyprland", + "description": "Hyprland is an independent, highly customizable, dynamic tiling Wayland compositor that doesn't sacrifice on its looks.", "language": "C++", "languageColor": "#f34b7d", - "stars": "91,363", - "forks": "21,253", - "addStars": "27", + "stars": "21,826", + "forks": "908", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4701338?s=40&v=4", - "name": "akien-mga", - "url": "https://github.com/akien-mga" + "avatar": "https://avatars.githubusercontent.com/u/43317083?s=40&v=4", + "name": "vaxerski", + "url": "https://github.com/vaxerski" }, { - "avatar": "https://avatars.githubusercontent.com/u/6265307?s=40&v=4", - "name": "reduz", - "url": "https://github.com/reduz" + "avatar": "https://avatars.githubusercontent.com/u/36706276?s=40&v=4", + "name": "fufexan", + "url": "https://github.com/fufexan" }, { - "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", - "name": "Calinou", - "url": "https://github.com/Calinou" + "avatar": "https://avatars.githubusercontent.com/u/123664421?s=40&v=4", + "name": "MightyPlaza", + "url": "https://github.com/MightyPlaza" }, { - "avatar": "https://avatars.githubusercontent.com/u/11782833?s=40&v=4", - "name": "YuriSizov", - "url": "https://github.com/YuriSizov" + "avatar": "https://avatars.githubusercontent.com/u/66577496?s=40&v=4", + "name": "thejch", + "url": "https://github.com/thejch" }, { - "avatar": "https://avatars.githubusercontent.com/u/2223172?s=40&v=4", - "name": "KoBeWi", - "url": "https://github.com/KoBeWi" + "avatar": "https://avatars.githubusercontent.com/u/10100765?s=40&v=4", + "name": "Dickby", + "url": "https://github.com/Dickby" } ] }, { - "title": "esphome/esphome", - "url": "https://github.com/esphome/esphome", - "description": "ESPHome is a system to control your ESP8266/ESP32 by simple yet powerful configuration files and control them remotely through Home Automation systems.", + "title": "qinguoyi/TinyWebServer", + "url": "https://github.com/qinguoyi/TinyWebServer", + "description": "🔥 Linux下C++轻量级WebServer服务器", "language": "C++", "languageColor": "#f34b7d", - "stars": "8,563", - "forks": "3,703", - "addStars": "4", + "stars": "16,913", + "forks": "3,966", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3060199?s=40&v=4", - "name": "jesserockz", - "url": "https://github.com/jesserockz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6833237?s=40&v=4", - "name": "OttoWinter", - "url": "https://github.com/OttoWinter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/197154?s=40&v=4", - "name": "oxan", - "url": "https://github.com/oxan" + "avatar": "https://avatars.githubusercontent.com/u/25760295?s=40&v=4", + "name": "qinguoyi", + "url": "https://github.com/qinguoyi" }, { - "avatar": "https://avatars.githubusercontent.com/u/21233191?s=40&v=4", - "name": "kbx81", - "url": "https://github.com/kbx81" - } - ] - }, - { - "title": "azerothcore/azerothcore-wotlk", - "url": "https://github.com/azerothcore/azerothcore-wotlk", - "description": "Complete Open Source and Modular solution for MMO", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "6,604", - "forks": "2,636", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/80540499?s=40&v=4", - "name": "UltraNix", - "url": "https://github.com/UltraNix" + "avatar": "https://avatars.githubusercontent.com/u/24351052?s=40&v=4", + "name": "mapleFU", + "url": "https://github.com/mapleFU" }, { - "avatar": "https://avatars.githubusercontent.com/u/24550914?s=40&v=4", - "name": "Kitzunu", - "url": "https://github.com/Kitzunu" + "avatar": "https://avatars.githubusercontent.com/u/17757598?s=40&v=4", + "name": "ZWiley", + "url": "https://github.com/ZWiley" }, { - "avatar": "https://avatars.githubusercontent.com/u/47818697?s=40&v=4", - "name": "Nyeriah", - "url": "https://github.com/Nyeriah" + "avatar": "https://avatars.githubusercontent.com/u/54912779?s=40&v=4", + "name": "YukunJ", + "url": "https://github.com/YukunJ" }, { - "avatar": "https://avatars.githubusercontent.com/u/147092?s=40&v=4", - "name": "Yehonal", - "url": "https://github.com/Yehonal" + "avatar": "https://avatars.githubusercontent.com/u/17381902?s=40&v=4", + "name": "mamil", + "url": "https://github.com/mamil" } ] } diff --git a/data/daily/c++.xml b/data/daily/c++.xml index 46cf1a7d5b13..3c51eb7c7d6e 100644 --- a/data/daily/c++.xml +++ b/data/daily/c++.xml @@ -3,7 +3,7 @@ GitHub C++ Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of C++ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT shader-slang/slang https://github.com/shader-slang/slang @@ -11,9 +11,9 @@ https://github.com/shader-slang/slang C++ #f34b7d - 2,826 - 207 - 139 + 2,857 + 209 + 159 https://avatars.githubusercontent.com/u/2652293?s=40&v=4 @@ -43,268 +43,225 @@ - RPCS3/rpcs3 - https://github.com/RPCS3/rpcs3 - PlayStation 3 emulator and debugger - https://github.com/RPCS3/rpcs3 + MarlinFirmware/Marlin + https://github.com/MarlinFirmware/Marlin + Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine. + https://github.com/MarlinFirmware/Marlin C++ #f34b7d - 15,612 - 1,923 - 3 + 16,330 + 19,252 + 4 - https://avatars.githubusercontent.com/u/23019877?s=40&v=4 - Megamouse - https://github.com/Megamouse + https://avatars.githubusercontent.com/u/698003?s=40&v=4 + thinkyhead + https://github.com/thinkyhead - https://avatars.githubusercontent.com/u/6028184?s=40&v=4 - Nekotekina - https://github.com/Nekotekina + https://avatars.githubusercontent.com/u/530024?s=40&v=4 + ellensp + https://github.com/ellensp - https://avatars.githubusercontent.com/u/15904127?s=40&v=4 - kd-11 - https://github.com/kd-11 + https://avatars.githubusercontent.com/u/882374?s=40&v=4 + ErikZalm + https://github.com/ErikZalm - https://avatars.githubusercontent.com/u/18193363?s=40&v=4 - elad335 - https://github.com/elad335 + https://avatars.githubusercontent.com/u/211931?s=40&v=4 + AnHardt + https://github.com/AnHardt - https://avatars.githubusercontent.com/u/6413475?s=40&v=4 - vlj - https://github.com/vlj + https://avatars.githubusercontent.com/u/13375512?s=40&v=4 + thisiskeithb + https://github.com/thisiskeithb - justcallmekoko/ESP32Marauder - https://github.com/justcallmekoko/ESP32Marauder - A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32 - https://github.com/justcallmekoko/ESP32Marauder + bitcoin/bitcoin + https://github.com/bitcoin/bitcoin + Bitcoin Core integration/staging tree + https://github.com/bitcoin/bitcoin C++ #f34b7d - 5,983 - 640 - 8 + 79,801 + 36,457 + 36 - https://avatars.githubusercontent.com/u/25190487?s=40&v=4 - justcallmekoko - https://github.com/justcallmekoko + https://avatars.githubusercontent.com/u/126646?s=40&v=4 + laanwj + https://github.com/laanwj - https://avatars.githubusercontent.com/u/53179565?s=40&v=4 - trisp3ar - https://github.com/trisp3ar + https://avatars.githubusercontent.com/u/863730?s=40&v=4 + fanquake + https://github.com/fanquake - https://avatars.githubusercontent.com/u/6174563?s=40&v=4 - tracedgod - https://github.com/tracedgod + https://avatars.githubusercontent.com/u/548488?s=40&v=4 + sipa + https://github.com/sipa - https://avatars.githubusercontent.com/u/49810075?s=40&v=4 - Willy-JL - https://github.com/Willy-JL + https://avatars.githubusercontent.com/u/32963518?s=40&v=4 + hebasto + https://github.com/hebasto - https://avatars.githubusercontent.com/u/612794?s=40&v=4 - wallali - https://github.com/wallali + https://avatars.githubusercontent.com/u/3782274?s=40&v=4 + achow101 + https://github.com/achow101 - minetest/minetest - https://github.com/minetest/minetest - Luanti (formerly Minetest) is an open source voxel game-creation platform with easy modding and game creation - https://github.com/minetest/minetest + ml-explore/mlx + https://github.com/ml-explore/mlx + MLX: An array framework for Apple silicon + https://github.com/ml-explore/mlx C++ #f34b7d - 10,843 - 2,036 - 7 + 17,442 + 1,010 + 55 - https://avatars.githubusercontent.com/u/115571?s=40&v=4 - celeron55 - https://github.com/celeron55 + https://avatars.githubusercontent.com/u/1542805?s=40&v=4 + awni + https://github.com/awni - https://avatars.githubusercontent.com/u/1042418?s=40&v=4 - sfan5 - https://github.com/sfan5 + https://avatars.githubusercontent.com/u/1242043?s=40&v=4 + angeloskath + https://github.com/angeloskath - https://avatars.githubusercontent.com/u/119752?s=40&v=4 - nerzhul - https://github.com/nerzhul + https://avatars.githubusercontent.com/u/60373541?s=40&v=4 + jagrit06 + https://github.com/jagrit06 - https://avatars.githubusercontent.com/u/3686677?s=40&v=4 - paramat - https://github.com/paramat + https://avatars.githubusercontent.com/u/12023682?s=40&v=4 + barronalex + https://github.com/barronalex - https://avatars.githubusercontent.com/u/1497498?s=40&v=4 - SmallJoker - https://github.com/SmallJoker + https://avatars.githubusercontent.com/u/639601?s=40&v=4 + zcbenz + https://github.com/zcbenz - CleverRaven/Cataclysm-DDA - https://github.com/CleverRaven/Cataclysm-DDA - Cataclysm - Dark Days Ahead. A turn-based survival game set in a post-apocalyptic world. - https://github.com/CleverRaven/Cataclysm-DDA + azerothcore/azerothcore-wotlk + https://github.com/azerothcore/azerothcore-wotlk + Complete Open Source and Modular solution for MMO + https://github.com/azerothcore/azerothcore-wotlk C++ #f34b7d - 10,676 - 4,185 - 6 + 6,605 + 2,636 + 4 - https://avatars.githubusercontent.com/u/16213433?s=40&v=4 - ZhilkinSerg - https://github.com/ZhilkinSerg - - - https://avatars.githubusercontent.com/u/860276?s=40&v=4 - kevingranade - https://github.com/kevingranade + https://avatars.githubusercontent.com/u/80540499?s=40&v=4 + UltraNix + https://github.com/UltraNix - https://avatars.githubusercontent.com/u/5084581?s=40&v=4 - Rivet-the-Zombie - https://github.com/Rivet-the-Zombie + https://avatars.githubusercontent.com/u/24550914?s=40&v=4 + Kitzunu + https://github.com/Kitzunu - https://avatars.githubusercontent.com/u/5095435?s=40&v=4 - BevapDin - https://github.com/BevapDin + https://avatars.githubusercontent.com/u/47818697?s=40&v=4 + Nyeriah + https://github.com/Nyeriah - https://avatars.githubusercontent.com/u/4195632?s=40&v=4 - KA101 - https://github.com/KA101 + https://avatars.githubusercontent.com/u/147092?s=40&v=4 + Yehonal + https://github.com/Yehonal - ocornut/imgui - https://github.com/ocornut/imgui - Dear ImGui: Bloat-free Graphical User interface for C++ with minimal dependencies - https://github.com/ocornut/imgui + godotengine/godot + https://github.com/godotengine/godot + Godot Engine – Multi-platform 2D and 3D game engine + https://github.com/godotengine/godot C++ #f34b7d - 61,347 - 10,333 - 23 + 91,366 + 21,255 + 27 - https://avatars.githubusercontent.com/u/8225057?s=40&v=4 - ocornut - https://github.com/ocornut + https://avatars.githubusercontent.com/u/4701338?s=40&v=4 + akien-mga + https://github.com/akien-mga - https://avatars.githubusercontent.com/u/19151258?s=40&v=4 - rokups - https://github.com/rokups + https://avatars.githubusercontent.com/u/6265307?s=40&v=4 + reduz + https://github.com/reduz - https://avatars.githubusercontent.com/u/1197433?s=40&v=4 - thedmd - https://github.com/thedmd + https://avatars.githubusercontent.com/u/180032?s=40&v=4 + Calinou + https://github.com/Calinou - https://avatars.githubusercontent.com/u/814772?s=40&v=4 - bkaradzic - https://github.com/bkaradzic + https://avatars.githubusercontent.com/u/11782833?s=40&v=4 + YuriSizov + https://github.com/YuriSizov - https://avatars.githubusercontent.com/u/4297676?s=40&v=4 - cfillion - https://github.com/cfillion + https://avatars.githubusercontent.com/u/2223172?s=40&v=4 + KoBeWi + https://github.com/KoBeWi - MarlinFirmware/Marlin - https://github.com/MarlinFirmware/Marlin - Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine. - https://github.com/MarlinFirmware/Marlin + dogecoin/dogecoin + https://github.com/dogecoin/dogecoin + very currency + https://github.com/dogecoin/dogecoin C++ #f34b7d - 16,329 - 19,250 + 14,669 + 2,884 4 - https://avatars.githubusercontent.com/u/698003?s=40&v=4 - thinkyhead - https://github.com/thinkyhead - - - https://avatars.githubusercontent.com/u/530024?s=40&v=4 - ellensp - https://github.com/ellensp - - - https://avatars.githubusercontent.com/u/882374?s=40&v=4 - ErikZalm - https://github.com/ErikZalm - - - https://avatars.githubusercontent.com/u/211931?s=40&v=4 - AnHardt - https://github.com/AnHardt - - - https://avatars.githubusercontent.com/u/13375512?s=40&v=4 - thisiskeithb - https://github.com/thisiskeithb - - - - - PrismLauncher/PrismLauncher - https://github.com/PrismLauncher/PrismLauncher - A custom launcher for Minecraft that allows you to easily manage multiple installations of Minecraft at once (Fork of MultiMC) - https://github.com/PrismLauncher/PrismLauncher - C++ - #f34b7d - 5,857 - 655 - 7 - - - https://avatars.githubusercontent.com/u/203326?s=40&v=4 - peterix - https://github.com/peterix + https://avatars.githubusercontent.com/u/126646?s=40&v=4 + laanwj + https://github.com/laanwj - https://avatars.githubusercontent.com/u/42720688?s=40&v=4 - Trial97 - https://github.com/Trial97 + https://avatars.githubusercontent.com/u/1410115?s=40&v=4 + patricklodder + https://github.com/patricklodder - https://avatars.githubusercontent.com/u/11587657?s=40&v=4 - Scrumplex - https://github.com/Scrumplex + https://avatars.githubusercontent.com/u/649246?s=40&v=4 + TheBlueMatt + https://github.com/TheBlueMatt - https://avatars.githubusercontent.com/u/9145768?s=40&v=4 - flowln - https://github.com/flowln + https://avatars.githubusercontent.com/u/548488?s=40&v=4 + sipa + https://github.com/sipa - https://avatars.githubusercontent.com/u/57493648?s=40&v=4 - TheKodeToad - https://github.com/TheKodeToad + https://avatars.githubusercontent.com/u/50255?s=40&v=4 + chromatic + https://github.com/chromatic @@ -315,8 +272,8 @@ https://github.com/qbittorrent/qBittorrent C++ #f34b7d - 28,522 - 3,998 + 28,532 + 3,999 20 @@ -347,111 +304,187 @@ - dolphin-emu/dolphin - https://github.com/dolphin-emu/dolphin - Dolphin is a GameCube / Wii emulator, allowing you to play games for these two platforms on PC with improvements. - https://github.com/dolphin-emu/dolphin + Aircoookie/WLED + https://github.com/Aircoookie/WLED + Control WS2812B and many more types of digital RGB LEDs with an ESP8266 or ESP32 over WiFi! + https://github.com/Aircoookie/WLED C++ #f34b7d - 13,090 - 2,669 - 4 + 15,061 + 3,259 + 11 - https://avatars.githubusercontent.com/u/712067?s=40&v=4 - lioncash - https://github.com/lioncash + https://avatars.githubusercontent.com/u/7722392?s=40&v=4 + blazoncek + https://github.com/blazoncek - https://avatars.githubusercontent.com/u/6716818?s=40&v=4 - JosJuice - https://github.com/JosJuice + https://avatars.githubusercontent.com/u/21045690?s=40&v=4 + Aircoookie + https://github.com/Aircoookie - https://avatars.githubusercontent.com/u/4209061?s=40&v=4 - leoetlino - https://github.com/leoetlino + https://avatars.githubusercontent.com/u/91616163?s=40&v=4 + softhack007 + https://github.com/softhack007 - https://avatars.githubusercontent.com/u/2787141?s=40&v=4 - degasus - https://github.com/degasus + https://avatars.githubusercontent.com/u/28492985?s=40&v=4 + srg74 + https://github.com/srg74 - https://avatars.githubusercontent.com/u/1018829?s=40&v=4 - Sonicadvance1 - https://github.com/Sonicadvance1 + https://avatars.githubusercontent.com/u/27882680?s=40&v=4 + w00000dy + https://github.com/w00000dy + + + + + TrinityCore/TrinityCore + https://github.com/TrinityCore/TrinityCore + TrinityCore Open Source MMO Framework (master = 11.0.5.57689, 3.3.5 = 3.3.5a.12340, cata classic = 4.4.1.57564) + https://github.com/TrinityCore/TrinityCore + C++ + #f34b7d + 9,638 + 6,063 + 2 + + + https://avatars.githubusercontent.com/u/297439?s=40&v=4 + Shauren + https://github.com/Shauren + + + https://avatars.githubusercontent.com/u/541725?s=40&v=4 + Vincent-Michael + https://github.com/Vincent-Michael + + + https://avatars.githubusercontent.com/u/530940?s=40&v=4 + DDuarte + https://github.com/DDuarte + + + https://avatars.githubusercontent.com/u/539374?s=40&v=4 + Aokromes + https://github.com/Aokromes + + + https://avatars.githubusercontent.com/u/6587064?s=40&v=4 + Killyana + https://github.com/Killyana - sogou/workflow - https://github.com/sogou/workflow - C++ Parallel Computing and Asynchronous Networking Framework - https://github.com/sogou/workflow + LizardByte/Sunshine + https://github.com/LizardByte/Sunshine + Self-hosted game stream host for Moonlight. + https://github.com/LizardByte/Sunshine C++ #f34b7d - 13,165 - 2,422 - 3 + 20,021 + 962 + 35 - https://avatars.githubusercontent.com/u/52160700?s=40&v=4 - Barenboim - https://github.com/Barenboim + https://avatars.githubusercontent.com/u/42013603?s=40&v=4 + ReenigneArcher + https://github.com/ReenigneArcher - https://avatars.githubusercontent.com/u/1880011?s=40&v=4 - holmes1412 - https://github.com/holmes1412 + https://avatars.githubusercontent.com/u/2695644?s=40&v=4 + cgutman + https://github.com/cgutman - https://avatars.githubusercontent.com/u/16952500?s=40&v=4 - wzl12356 - https://github.com/wzl12356 + https://avatars.githubusercontent.com/u/3084139?s=40&v=4 + loki-47-6F-64 + https://github.com/loki-47-6F-64 - https://avatars.githubusercontent.com/u/8709073?s=40&v=4 - kedixa - https://github.com/kedixa + https://avatars.githubusercontent.com/u/108553330?s=40&v=4 + LizardByte-bot + https://github.com/LizardByte-bot + + + + + Alexays/Waybar + https://github.com/Alexays/Waybar + Highly customizable Wayland bar for Sway and Wlroots based compositors. ✌️ 🎉 + https://github.com/Alexays/Waybar + C++ + #f34b7d + 6,747 + 707 + 8 + + + https://avatars.githubusercontent.com/u/13947260?s=40&v=4 + Alexays + https://github.com/Alexays + + + https://avatars.githubusercontent.com/u/2681151?s=40&v=4 + alebastr + https://github.com/alebastr + + + https://avatars.githubusercontent.com/u/23121044?s=40&v=4 + LukashonakV + https://github.com/LukashonakV + + + https://avatars.githubusercontent.com/u/1778670?s=40&v=4 + khaneliman + https://github.com/khaneliman - https://avatars.githubusercontent.com/u/27816166?s=40&v=4 - chanchann - https://github.com/chanchann + https://avatars.githubusercontent.com/u/17771546?s=40&v=4 + Anakael + https://github.com/Anakael - filecxx/FileCentipede - https://github.com/filecxx/FileCentipede - Cross-platform internet upload/download manager for HTTP(S), FTP(S), SSH, magnet-link, BitTorrent, m3u8, ed2k, and online videos. WebDAV client, FTP client, SSH client. - https://github.com/filecxx/FileCentipede + stenzek/duckstation + https://github.com/stenzek/duckstation + Fast PlayStation 1 emulator for x86-64/AArch32/AArch64/RV64 + https://github.com/stenzek/duckstation C++ #f34b7d - 9,184 - 572 - 17 + 7,666 + 722 + 6 - https://avatars.githubusercontent.com/u/100348948?s=40&v=4 - filecxx - https://github.com/filecxx + https://avatars.githubusercontent.com/u/11288319?s=40&v=4 + stenzek + https://github.com/stenzek + + + https://avatars.githubusercontent.com/u/43047877?s=40&v=4 + andercard0 + https://github.com/andercard0 - https://avatars.githubusercontent.com/u/53147200?s=40&v=4 - VenusGirl - https://github.com/VenusGirl + https://avatars.githubusercontent.com/u/45282415?s=40&v=4 + ggrtk + https://github.com/ggrtk - https://avatars.githubusercontent.com/u/94012185?s=40&v=4 - Rei1mu - https://github.com/Rei1mu + https://avatars.githubusercontent.com/u/40191866?s=40&v=4 + zkdpower + https://github.com/zkdpower - https://avatars.githubusercontent.com/u/11424186?s=40&v=4 - Vulcanraven91 - https://github.com/Vulcanraven91 + https://avatars.githubusercontent.com/u/7947461?s=40&v=4 + CookiePLMonster + https://github.com/CookiePLMonster @@ -462,7 +495,7 @@ https://github.com/official-stockfish/Stockfish C++ #f34b7d - 11,702 + 11,698 2,295 11 @@ -494,405 +527,377 @@ - FreeCAD/FreeCAD - https://github.com/FreeCAD/FreeCAD - This is the official source code of FreeCAD, a free and opensource multiplatform 3D parametric modeler. - https://github.com/FreeCAD/FreeCAD + esphome/esphome + https://github.com/esphome/esphome + ESPHome is a system to control your ESP8266/ESP32 by simple yet powerful configuration files and control them remotely through Home Automation systems. + https://github.com/esphome/esphome C++ #f34b7d - 20,945 - 4,118 - 160 + 8,564 + 3,703 + 4 - https://avatars.githubusercontent.com/u/7101741?s=40&v=4 - wwmayer - https://github.com/wwmayer - - - https://avatars.githubusercontent.com/u/1136856?s=40&v=4 - yorikvanhavre - https://github.com/yorikvanhavre + https://avatars.githubusercontent.com/u/3060199?s=40&v=4 + jesserockz + https://github.com/jesserockz - https://avatars.githubusercontent.com/u/6129869?s=40&v=4 - berndhahnebach - https://github.com/berndhahnebach + https://avatars.githubusercontent.com/u/6833237?s=40&v=4 + OttoWinter + https://github.com/OttoWinter - https://avatars.githubusercontent.com/u/216920?s=40&v=4 - chennes - https://github.com/chennes + https://avatars.githubusercontent.com/u/197154?s=40&v=4 + oxan + https://github.com/oxan - https://avatars.githubusercontent.com/u/3587161?s=40&v=4 - WandererFan - https://github.com/WandererFan + https://avatars.githubusercontent.com/u/21233191?s=40&v=4 + kbx81 + https://github.com/kbx81 - PCSX2/pcsx2 - https://github.com/PCSX2/pcsx2 - PCSX2 - The Playstation 2 Emulator - https://github.com/PCSX2/pcsx2 + keepassxreboot/keepassxc + https://github.com/keepassxreboot/keepassxc + KeePassXC is a cross-platform community-driven port of the Windows application “Keepass Password Safe”. + https://github.com/keepassxreboot/keepassxc C++ #f34b7d - 11,871 - 1,631 - 8 + 21,417 + 1,477 + 14 - https://avatars.githubusercontent.com/u/1569559?s=40&v=4 - gregory38 - https://github.com/gregory38 + https://avatars.githubusercontent.com/u/293265?s=40&v=4 + debfx + https://github.com/debfx - https://avatars.githubusercontent.com/u/11288319?s=40&v=4 - stenzek - https://github.com/stenzek + https://avatars.githubusercontent.com/u/2809491?s=40&v=4 + droidmonkey + https://github.com/droidmonkey - https://avatars.githubusercontent.com/u/6278726?s=40&v=4 - refractionpcsx2 - https://github.com/refractionpcsx2 + https://avatars.githubusercontent.com/u/911270?s=40&v=4 + phoerious + https://github.com/phoerious - https://avatars.githubusercontent.com/u/18107717?s=40&v=4 - lightningterror - https://github.com/lightningterror + https://avatars.githubusercontent.com/u/193820?s=40&v=4 + BlueIce + https://github.com/BlueIce - https://avatars.githubusercontent.com/u/10976277?s=40&v=4 - turtleli - https://github.com/turtleli + https://avatars.githubusercontent.com/u/686894?s=40&v=4 + TheZ3ro + https://github.com/TheZ3ro - stenzek/duckstation - https://github.com/stenzek/duckstation - Fast PlayStation 1 emulator for x86-64/AArch32/AArch64/RV64 - https://github.com/stenzek/duckstation + dolphin-emu/dolphin + https://github.com/dolphin-emu/dolphin + Dolphin is a GameCube / Wii emulator, allowing you to play games for these two platforms on PC with improvements. + https://github.com/dolphin-emu/dolphin C++ #f34b7d - 7,665 - 722 - 6 + 13,091 + 2,669 + 4 - https://avatars.githubusercontent.com/u/11288319?s=40&v=4 - stenzek - https://github.com/stenzek + https://avatars.githubusercontent.com/u/712067?s=40&v=4 + lioncash + https://github.com/lioncash - https://avatars.githubusercontent.com/u/43047877?s=40&v=4 - andercard0 - https://github.com/andercard0 + https://avatars.githubusercontent.com/u/6716818?s=40&v=4 + JosJuice + https://github.com/JosJuice - https://avatars.githubusercontent.com/u/45282415?s=40&v=4 - ggrtk - https://github.com/ggrtk + https://avatars.githubusercontent.com/u/4209061?s=40&v=4 + leoetlino + https://github.com/leoetlino - https://avatars.githubusercontent.com/u/40191866?s=40&v=4 - zkdpower - https://github.com/zkdpower + https://avatars.githubusercontent.com/u/2787141?s=40&v=4 + degasus + https://github.com/degasus - https://avatars.githubusercontent.com/u/7947461?s=40&v=4 - CookiePLMonster - https://github.com/CookiePLMonster + https://avatars.githubusercontent.com/u/1018829?s=40&v=4 + Sonicadvance1 + https://github.com/Sonicadvance1 - taichi-dev/taichi - https://github.com/taichi-dev/taichi - Productive, portable, and performant GPU programming in Python. - https://github.com/taichi-dev/taichi + microsoft/terminal + https://github.com/microsoft/terminal + The new Windows Terminal and the original Windows console host, all in the same place! + https://github.com/microsoft/terminal C++ #f34b7d - 25,550 - 2,288 - 6 + 95,849 + 8,338 + 25 - https://avatars.githubusercontent.com/u/6553256?s=40&v=4 - yuanming-hu - https://github.com/yuanming-hu + https://avatars.githubusercontent.com/u/189190?s=40&v=4 + DHowett + https://github.com/DHowett + + + https://avatars.githubusercontent.com/u/18356694?s=40&v=4 + zadjii-msft + https://github.com/zadjii-msft - https://avatars.githubusercontent.com/u/62079278?s=40&v=4 - taichi-gardener - https://github.com/taichi-gardener + https://avatars.githubusercontent.com/u/2256941?s=40&v=4 + lhecker + https://github.com/lhecker - https://avatars.githubusercontent.com/u/5248122?s=40&v=4 - ailzhang - https://github.com/ailzhang + https://avatars.githubusercontent.com/u/18221333?s=40&v=4 + miniksa + https://github.com/miniksa - https://avatars.githubusercontent.com/u/7481356?s=40&v=4 - k-ye - https://github.com/k-ye + https://avatars.githubusercontent.com/u/11050425?s=40&v=4 + carlos-zamora + https://github.com/carlos-zamora - qinguoyi/TinyWebServer - https://github.com/qinguoyi/TinyWebServer - 🔥 Linux下C++轻量级WebServer服务器 - https://github.com/qinguoyi/TinyWebServer + justcallmekoko/ESP32Marauder + https://github.com/justcallmekoko/ESP32Marauder + A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32 + https://github.com/justcallmekoko/ESP32Marauder C++ #f34b7d - 16,908 - 3,963 - 10 + 6,012 + 643 + 133 - https://avatars.githubusercontent.com/u/25760295?s=40&v=4 - qinguoyi - https://github.com/qinguoyi + https://avatars.githubusercontent.com/u/25190487?s=40&v=4 + justcallmekoko + https://github.com/justcallmekoko - https://avatars.githubusercontent.com/u/24351052?s=40&v=4 - mapleFU - https://github.com/mapleFU + https://avatars.githubusercontent.com/u/53179565?s=40&v=4 + trisp3ar + https://github.com/trisp3ar - https://avatars.githubusercontent.com/u/17757598?s=40&v=4 - ZWiley - https://github.com/ZWiley + https://avatars.githubusercontent.com/u/6174563?s=40&v=4 + tracedgod + https://github.com/tracedgod - https://avatars.githubusercontent.com/u/54912779?s=40&v=4 - YukunJ - https://github.com/YukunJ + https://avatars.githubusercontent.com/u/49810075?s=40&v=4 + Willy-JL + https://github.com/Willy-JL - https://avatars.githubusercontent.com/u/17381902?s=40&v=4 - mamil - https://github.com/mamil + https://avatars.githubusercontent.com/u/612794?s=40&v=4 + wallali + https://github.com/wallali - hrydgard/ppsspp - https://github.com/hrydgard/ppsspp - A PSP emulator for Android, Windows, Mac and Linux, written in C++. Want to contribute? Join us on Discord at https://discord.gg/5NJB6dD or just send pull requests / issues. For discussion use the forums at forums.ppsspp.org. - https://github.com/hrydgard/ppsspp + zeux/pugixml + https://github.com/zeux/pugixml + Light-weight, simple and fast XML parser for C++ with XPath support + https://github.com/zeux/pugixml C++ #f34b7d - 11,419 - 2,190 - 5 + 4,042 + 730 + 2 - https://avatars.githubusercontent.com/u/130929?s=40&v=4 - hrydgard - https://github.com/hrydgard + https://avatars.githubusercontent.com/u/1106629?s=40&v=4 + zeux + https://github.com/zeux - https://avatars.githubusercontent.com/u/191233?s=40&v=4 - unknownbrackets - https://github.com/unknownbrackets + https://avatars.githubusercontent.com/u/1640024?s=40&v=4 + bjornblissing + https://github.com/bjornblissing - https://avatars.githubusercontent.com/u/61218?s=40&v=4 - xsacha - https://github.com/xsacha + https://avatars.githubusercontent.com/u/783401?s=40&v=4 + igagis + https://github.com/igagis - https://avatars.githubusercontent.com/u/3000282?s=40&v=4 - dbz400 - https://github.com/dbz400 + https://avatars.githubusercontent.com/u/63051?s=40&v=4 + bruxisma + https://github.com/bruxisma - https://avatars.githubusercontent.com/u/4482745?s=40&v=4 - thedax - https://github.com/thedax + https://avatars.githubusercontent.com/u/143889?s=40&v=4 + sbeyer + https://github.com/sbeyer - jrouwe/JoltPhysics - https://github.com/jrouwe/JoltPhysics - A multi core friendly rigid body physics and collision detection library. Written in C++. Suitable for games and VR applications. Used by Horizon Forbidden West. - https://github.com/jrouwe/JoltPhysics + SerenityOS/serenity + https://github.com/SerenityOS/serenity + The Serenity Operating System 🐞 + https://github.com/SerenityOS/serenity C++ #f34b7d - 6,821 - 458 - 10 + 30,739 + 3,185 + 8 - https://avatars.githubusercontent.com/u/1621693?s=40&v=4 - jrouwe - https://github.com/jrouwe + https://avatars.githubusercontent.com/u/5954907?s=40&v=4 + awesomekling + https://github.com/awesomekling - https://avatars.githubusercontent.com/u/4884246?s=40&v=4 - mihe - https://github.com/mihe + https://avatars.githubusercontent.com/u/19366641?s=40&v=4 + linusg + https://github.com/linusg - https://avatars.githubusercontent.com/u/21316711?s=40&v=4 - misyltoad - https://github.com/misyltoad + https://avatars.githubusercontent.com/u/5600524?s=40&v=4 + trflynn89 + https://github.com/trflynn89 - https://avatars.githubusercontent.com/u/3002461?s=40&v=4 - SirLynix - https://github.com/SirLynix + https://avatars.githubusercontent.com/u/222642?s=40&v=4 + AtkinsSJ + https://github.com/AtkinsSJ - https://avatars.githubusercontent.com/u/8342599?s=40&v=4 - AndreaCatania - https://github.com/AndreaCatania + https://avatars.githubusercontent.com/u/14001776?s=40&v=4 + alimpfard + https://github.com/alimpfard - yhirose/cpp-httplib - https://github.com/yhirose/cpp-httplib - A C++ header-only HTTP/HTTPS server and client library - https://github.com/yhirose/cpp-httplib + TheAlgorithms/C-Plus-Plus + https://github.com/TheAlgorithms/C-Plus-Plus + Collection of various algorithms in mathematics, machine learning, computer science and physics implemented in C++ for educational purposes. + https://github.com/TheAlgorithms/C-Plus-Plus C++ #f34b7d - 13,177 - 2,313 - 4 + 30,812 + 7,284 + 12 - https://avatars.githubusercontent.com/u/357397?s=40&v=4 - yhirose - https://github.com/yhirose + https://avatars.githubusercontent.com/u/51391473?s=40&v=4 + Panquesito7 + https://github.com/Panquesito7 - https://avatars.githubusercontent.com/u/30493199?s=40&v=4 - jimmy-park - https://github.com/jimmy-park + https://avatars.githubusercontent.com/u/7001608?s=40&v=4 + kvedala + https://github.com/kvedala - https://avatars.githubusercontent.com/u/34214253?s=40&v=4 - Tachi107 - https://github.com/Tachi107 + https://avatars.githubusercontent.com/u/68815218?s=40&v=4 + realstealthninja + https://github.com/realstealthninja - https://avatars.githubusercontent.com/u/19376155?s=40&v=4 - sum01 - https://github.com/sum01 + https://avatars.githubusercontent.com/u/43348292?s=40&v=4 + ayaankhan98 + https://github.com/ayaankhan98 - https://avatars.githubusercontent.com/u/44422178?s=40&v=4 - PixlRainbow - https://github.com/PixlRainbow + https://avatars.githubusercontent.com/u/3709715?s=40&v=4 + cclauss + https://github.com/cclauss - godotengine/godot - https://github.com/godotengine/godot - Godot Engine – Multi-platform 2D and 3D game engine - https://github.com/godotengine/godot + hyprwm/Hyprland + https://github.com/hyprwm/Hyprland + Hyprland is an independent, highly customizable, dynamic tiling Wayland compositor that doesn't sacrifice on its looks. + https://github.com/hyprwm/Hyprland C++ #f34b7d - 91,363 - 21,253 - 27 + 21,826 + 908 + 16 - https://avatars.githubusercontent.com/u/4701338?s=40&v=4 - akien-mga - https://github.com/akien-mga + https://avatars.githubusercontent.com/u/43317083?s=40&v=4 + vaxerski + https://github.com/vaxerski - https://avatars.githubusercontent.com/u/6265307?s=40&v=4 - reduz - https://github.com/reduz + https://avatars.githubusercontent.com/u/36706276?s=40&v=4 + fufexan + https://github.com/fufexan - https://avatars.githubusercontent.com/u/180032?s=40&v=4 - Calinou - https://github.com/Calinou + https://avatars.githubusercontent.com/u/123664421?s=40&v=4 + MightyPlaza + https://github.com/MightyPlaza - https://avatars.githubusercontent.com/u/11782833?s=40&v=4 - YuriSizov - https://github.com/YuriSizov + https://avatars.githubusercontent.com/u/66577496?s=40&v=4 + thejch + https://github.com/thejch - https://avatars.githubusercontent.com/u/2223172?s=40&v=4 - KoBeWi - https://github.com/KoBeWi + https://avatars.githubusercontent.com/u/10100765?s=40&v=4 + Dickby + https://github.com/Dickby - esphome/esphome - https://github.com/esphome/esphome - ESPHome is a system to control your ESP8266/ESP32 by simple yet powerful configuration files and control them remotely through Home Automation systems. - https://github.com/esphome/esphome + qinguoyi/TinyWebServer + https://github.com/qinguoyi/TinyWebServer + 🔥 Linux下C++轻量级WebServer服务器 + https://github.com/qinguoyi/TinyWebServer C++ #f34b7d - 8,563 - 3,703 - 4 + 16,913 + 3,966 + 10 - https://avatars.githubusercontent.com/u/3060199?s=40&v=4 - jesserockz - https://github.com/jesserockz - - - https://avatars.githubusercontent.com/u/6833237?s=40&v=4 - OttoWinter - https://github.com/OttoWinter - - - https://avatars.githubusercontent.com/u/197154?s=40&v=4 - oxan - https://github.com/oxan - - - https://avatars.githubusercontent.com/u/21233191?s=40&v=4 - kbx81 - https://github.com/kbx81 + https://avatars.githubusercontent.com/u/25760295?s=40&v=4 + qinguoyi + https://github.com/qinguoyi - - - - azerothcore/azerothcore-wotlk - https://github.com/azerothcore/azerothcore-wotlk - Complete Open Source and Modular solution for MMO - https://github.com/azerothcore/azerothcore-wotlk - C++ - #f34b7d - 6,604 - 2,636 - 4 - - https://avatars.githubusercontent.com/u/80540499?s=40&v=4 - UltraNix - https://github.com/UltraNix + https://avatars.githubusercontent.com/u/24351052?s=40&v=4 + mapleFU + https://github.com/mapleFU - https://avatars.githubusercontent.com/u/24550914?s=40&v=4 - Kitzunu - https://github.com/Kitzunu + https://avatars.githubusercontent.com/u/17757598?s=40&v=4 + ZWiley + https://github.com/ZWiley - https://avatars.githubusercontent.com/u/47818697?s=40&v=4 - Nyeriah - https://github.com/Nyeriah + https://avatars.githubusercontent.com/u/54912779?s=40&v=4 + YukunJ + https://github.com/YukunJ - https://avatars.githubusercontent.com/u/147092?s=40&v=4 - Yehonal - https://github.com/Yehonal + https://avatars.githubusercontent.com/u/17381902?s=40&v=4 + mamil + https://github.com/mamil diff --git a/data/daily/c-objdump.json b/data/daily/c-objdump.json index 48adf25bde15..042b276977c1 100644 --- a/data/daily/c-objdump.json +++ b/data/daily/c-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub C-objdump Languages Daily Trending", "description": "Daily Trending of C-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/c-objdump.xml b/data/daily/c-objdump.xml index d1213255d34b..0255cc64b6cf 100644 --- a/data/daily/c-objdump.xml +++ b/data/daily/c-objdump.xml @@ -3,6 +3,6 @@ GitHub C-objdump Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of C-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/c.json b/data/daily/c.json index 1006a78becf5..5fde860d6c4a 100644 --- a/data/daily/c.json +++ b/data/daily/c.json @@ -2,281 +2,286 @@ "title": "GitHub C Languages Daily Trending", "description": "Daily Trending of C Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "xmrig/xmrig", - "url": "https://github.com/xmrig/xmrig", - "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", + "title": "capstone-engine/capstone", + "url": "https://github.com/capstone-engine/capstone", + "description": "Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86.", "language": "C", "languageColor": "#555555", - "stars": "8,793", - "forks": "3,462", - "addStars": "5", + "stars": "7,623", + "forks": "1,556", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", - "name": "xmrig", - "url": "https://github.com/xmrig" + "avatar": "https://avatars.githubusercontent.com/u/5965536?s=40&v=4", + "name": "aquynh", + "url": "https://github.com/aquynh" }, { - "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", - "name": "SChernykh", - "url": "https://github.com/SChernykh" + "avatar": "https://avatars.githubusercontent.com/u/34511237?s=40&v=4", + "name": "kabeor", + "url": "https://github.com/kabeor" }, { - "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", - "name": "Spudz76", - "url": "https://github.com/Spudz76" + "avatar": "https://avatars.githubusercontent.com/u/24983369?s=40&v=4", + "name": "imbillow", + "url": "https://github.com/imbillow" }, { - "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", - "name": "Foudge", - "url": "https://github.com/Foudge" + "avatar": "https://avatars.githubusercontent.com/u/45763064?s=40&v=4", + "name": "Rot127", + "url": "https://github.com/Rot127" }, { - "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", - "name": "pdxwebdev", - "url": "https://github.com/pdxwebdev" + "avatar": "https://avatars.githubusercontent.com/u/35799796?s=40&v=4", + "name": "catenacyber", + "url": "https://github.com/catenacyber" } ] }, { - "title": "RfidResearchGroup/proxmark3", - "url": "https://github.com/RfidResearchGroup/proxmark3", - "description": "Iceman Fork - Proxmark3", + "title": "bol-van/zapret", + "url": "https://github.com/bol-van/zapret", + "description": "DPI bypass multi platform", "language": "C", "languageColor": "#555555", - "stars": "4,056", - "forks": "1,066", - "addStars": "7", + "stars": "8,501", + "forks": "639", + "addStars": "25", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8577004?s=40&v=4", - "name": "iceman1001", - "url": "https://github.com/iceman1001" + "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", + "name": "bol-van", + "url": "https://github.com/bol-van" }, { - "avatar": "https://avatars.githubusercontent.com/u/60773?s=40&v=4", - "name": "doegox", - "url": "https://github.com/doegox" + "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", + "name": "maximilionus", + "url": "https://github.com/maximilionus" }, { - "avatar": "https://avatars.githubusercontent.com/u/807634?s=40&v=4", - "name": "merlokk", - "url": "https://github.com/merlokk" + "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", + "name": "anphsw", + "url": "https://github.com/anphsw" }, { - "avatar": "https://avatars.githubusercontent.com/u/61853685?s=40&v=4", - "name": "tharexde", - "url": "https://github.com/tharexde" + "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", + "name": "SashaXser", + "url": "https://github.com/SashaXser" }, { - "avatar": "https://avatars.githubusercontent.com/u/51802811?s=40&v=4", - "name": "mwalker33", - "url": "https://github.com/mwalker33" + "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", + "name": "ataniazov", + "url": "https://github.com/ataniazov" } ] }, { - "title": "git/git", - "url": "https://github.com/git/git", - "description": "Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements.", + "title": "ValdikSS/GoodbyeDPI", + "url": "https://github.com/ValdikSS/GoodbyeDPI", + "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", "language": "C", "languageColor": "#555555", - "stars": "52,653", - "forks": "25,667", - "addStars": "13", + "stars": "25,589", + "forks": "1,873", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/54884?s=40&v=4", - "name": "gitster", - "url": "https://github.com/gitster" + "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", + "name": "ValdikSS", + "url": "https://github.com/ValdikSS" }, { - "avatar": "https://avatars.githubusercontent.com/u/45925?s=40&v=4", - "name": "peff", - "url": "https://github.com/peff" + "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", + "name": "EgorWeders", + "url": "https://github.com/EgorWeders" }, { - "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", - "name": "dscho", - "url": "https://github.com/dscho" + "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", + "name": "KOLANICH", + "url": "https://github.com/KOLANICH" }, { - "avatar": "https://avatars.githubusercontent.com/u/45301?s=40&v=4", - "name": "avar", - "url": "https://github.com/avar" + "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", + "name": "pash7ka", + "url": "https://github.com/pash7ka" }, { - "avatar": "https://avatars.githubusercontent.com/u/720?s=40&v=4", - "name": "pclouds", - "url": "https://github.com/pclouds" + "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", + "name": "eltociear", + "url": "https://github.com/eltociear" } ] }, { - "title": "mpv-player/mpv", - "url": "https://github.com/mpv-player/mpv", - "description": "🎥 Command line video player", + "title": "xmrig/xmrig", + "url": "https://github.com/xmrig/xmrig", + "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", "language": "C", "languageColor": "#555555", - "stars": "28,703", - "forks": "2,927", - "addStars": "17", + "stars": "8,801", + "forks": "3,464", + "addStars": "50", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1126053?s=40&v=4", - "name": "kasper93", - "url": "https://github.com/kasper93" + "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", + "name": "xmrig", + "url": "https://github.com/xmrig" }, { - "avatar": "https://avatars.githubusercontent.com/u/5105515?s=40&v=4", - "name": "Dudemanguy", - "url": "https://github.com/Dudemanguy" + "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", + "name": "SChernykh", + "url": "https://github.com/SChernykh" }, { - "avatar": "https://avatars.githubusercontent.com/u/1149047?s=40&v=4", - "name": "haasn", - "url": "https://github.com/haasn" + "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", + "name": "Spudz76", + "url": "https://github.com/Spudz76" }, { - "avatar": "https://avatars.githubusercontent.com/u/24681?s=40&v=4", - "name": "pigoz", - "url": "https://github.com/pigoz" + "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", + "name": "Foudge", + "url": "https://github.com/Foudge" }, { - "avatar": "https://avatars.githubusercontent.com/u/680386?s=40&v=4", - "name": "Akemi", - "url": "https://github.com/Akemi" + "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", + "name": "pdxwebdev", + "url": "https://github.com/pdxwebdev" } ] }, { - "title": "acidanthera/OpenCorePkg", - "url": "https://github.com/acidanthera/OpenCorePkg", - "description": "OpenCore bootloader", + "title": "brunodev85/winlator", + "url": "https://github.com/brunodev85/winlator", + "description": "Android application for running Windows applications with Wine and Box86/Box64", "language": "C", "languageColor": "#555555", - "stars": "13,470", - "forks": "2,116", - "addStars": "7", + "stars": "9,236", + "forks": "457", + "addStars": "21", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", - "name": "vit9696", - "url": "https://github.com/vit9696" + "avatar": "https://avatars.githubusercontent.com/u/11407071?s=40&v=4", + "name": "brunodev85", + "url": "https://github.com/brunodev85" }, { - "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", - "name": "mhaeuser", - "url": "https://github.com/mhaeuser" + "avatar": "https://avatars.githubusercontent.com/u/88626244?s=40&v=4", + "name": "kazimaruf991", + "url": "https://github.com/kazimaruf991" }, { - "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", - "name": "Andrey1970AppleLife", - "url": "https://github.com/Andrey1970AppleLife" + "avatar": "https://avatars.githubusercontent.com/u/100040786?s=40&v=4", + "name": "Kashinathpat", + "url": "https://github.com/Kashinathpat" }, { - "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", - "name": "mikebeaton", - "url": "https://github.com/mikebeaton" + "avatar": "https://avatars.githubusercontent.com/u/518438?s=40&v=4", + "name": "hackcasual", + "url": "https://github.com/hackcasual" }, { - "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", - "name": "PMheart", - "url": "https://github.com/PMheart" + "avatar": "https://avatars.githubusercontent.com/u/6472545?s=40&v=4", + "name": "lvonasek", + "url": "https://github.com/lvonasek" } ] }, { - "title": "Genymobile/scrcpy", - "url": "https://github.com/Genymobile/scrcpy", - "description": "Display and control your Android device", + "title": "glfw/glfw", + "url": "https://github.com/glfw/glfw", + "description": "A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input", "language": "C", "languageColor": "#555555", - "stars": "112,588", - "forks": "10,767", - "addStars": "48", + "stars": "13,128", + "forks": "5,271", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/543275?s=40&v=4", - "name": "rom1v", - "url": "https://github.com/rom1v" + "avatar": "https://avatars.githubusercontent.com/u/133714?s=40&v=4", + "name": "elmindreda", + "url": "https://github.com/elmindreda" }, { - "avatar": "https://avatars.githubusercontent.com/u/1330321?s=40&v=4", - "name": "yume-chan", - "url": "https://github.com/yume-chan" + "avatar": "https://avatars.githubusercontent.com/u/7755816?s=40&v=4", + "name": "linkmauve", + "url": "https://github.com/linkmauve" }, { - "avatar": "https://avatars.githubusercontent.com/u/5494432?s=40&v=4", - "name": "npes87184", - "url": "https://github.com/npes87184" + "avatar": "https://avatars.githubusercontent.com/u/872946?s=40&v=4", + "name": "dougbinks", + "url": "https://github.com/dougbinks" }, { - "avatar": "https://avatars.githubusercontent.com/u/639467?s=40&v=4", - "name": "brunoais", - "url": "https://github.com/brunoais" + "avatar": "https://avatars.githubusercontent.com/u/84891?s=40&v=4", + "name": "adrianbroher", + "url": "https://github.com/adrianbroher" }, { - "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", - "name": "rootkea", - "url": "https://github.com/rootkea" + "avatar": "https://avatars.githubusercontent.com/u/1075690?s=40&v=4", + "name": "siavashserver", + "url": "https://github.com/siavashserver" } ] }, { - "title": "bol-van/zapret", - "url": "https://github.com/bol-van/zapret", - "description": "DPI bypass multi platform", + "title": "HandBrake/HandBrake", + "url": "https://github.com/HandBrake/HandBrake", + "description": "HandBrake's main development repository", "language": "C", "languageColor": "#555555", - "stars": "8,491", - "forks": "639", - "addStars": "25", + "stars": "17,939", + "forks": "1,345", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", - "name": "bol-van", - "url": "https://github.com/bol-van" + "avatar": "https://avatars.githubusercontent.com/u/628593?s=40&v=4", + "name": "sr55", + "url": "https://github.com/sr55" }, { - "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", - "name": "maximilionus", - "url": "https://github.com/maximilionus" + "avatar": "https://avatars.githubusercontent.com/u/709720?s=40&v=4", + "name": "jstebbins", + "url": "https://github.com/jstebbins" }, { - "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", - "name": "anphsw", - "url": "https://github.com/anphsw" + "avatar": "https://avatars.githubusercontent.com/u/4305519?s=40&v=4", + "name": "galad87", + "url": "https://github.com/galad87" }, { - "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", - "name": "SashaXser", - "url": "https://github.com/SashaXser" + "avatar": "https://avatars.githubusercontent.com/u/70239?s=40&v=4", + "name": "bradleysepos", + "url": "https://github.com/bradleysepos" }, { - "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", - "name": "ataniazov", - "url": "https://github.com/ataniazov" + "avatar": "https://avatars.githubusercontent.com/u/374913?s=40&v=4", + "name": "jbrjake", + "url": "https://github.com/jbrjake" } ] }, { - "title": "Immediate-Mode-UI/Nuklear", - "url": "https://github.com/Immediate-Mode-UI/Nuklear", - "description": "A single-header ANSI C immediate mode cross-platform GUI library", + "title": "raysan5/raygui", + "url": "https://github.com/raysan5/raygui", + "description": "A simple and easy-to-use immediate-mode gui library", "language": "C", "languageColor": "#555555", - "stars": "9,335", - "forks": "571", - "addStars": "7", + "stars": "3,597", + "forks": "304", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8057201?s=40&v=4", - "name": "vurtun", - "url": "https://github.com/vurtun" + "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", + "name": "raysan5", + "url": "https://github.com/raysan5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9116727?s=40&v=4", + "name": "anidealgift", + "url": "https://github.com/anidealgift" }, { "avatar": "https://avatars.githubusercontent.com/u/25086?s=40&v=4", @@ -284,569 +289,495 @@ "url": "https://github.com/RobLoach" }, { - "avatar": "https://avatars.githubusercontent.com/u/2451044?s=40&v=4", - "name": "dumblob", - "url": "https://github.com/dumblob" + "avatar": "https://avatars.githubusercontent.com/u/27865535?s=40&v=4", + "name": "Demizdor", + "url": "https://github.com/Demizdor" }, { - "avatar": "https://avatars.githubusercontent.com/u/176167?s=40&v=4", - "name": "riri", - "url": "https://github.com/riri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4019495?s=40&v=4", - "name": "DeXP", - "url": "https://github.com/DeXP" - } - ] - }, - { - "title": "libretro/RetroArch", - "url": "https://github.com/libretro/RetroArch", - "description": "Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3.", - "language": "C", - "languageColor": "#555555", - "stars": "10,373", - "forks": "1,838", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1075274?s=40&v=4", - "name": "inactive123", - "url": "https://github.com/inactive123" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/163683?s=40&v=4", - "name": "Themaister", - "url": "https://github.com/Themaister" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/105389611?s=40&v=4", - "name": "LibretroAdmin", - "url": "https://github.com/LibretroAdmin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1721040?s=40&v=4", - "name": "andres-asm", - "url": "https://github.com/andres-asm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/442722?s=40&v=4", - "name": "kivutar", - "url": "https://github.com/kivutar" + "avatar": "https://avatars.githubusercontent.com/u/87268284?s=40&v=4", + "name": "hanaxar", + "url": "https://github.com/hanaxar" } ] }, { - "title": "floooh/sokol", - "url": "https://github.com/floooh/sokol", - "description": "minimal cross-platform standalone C headers", + "title": "qmk/qmk_firmware", + "url": "https://github.com/qmk/qmk_firmware", + "description": "Open-source keyboard firmware for Atmel AVR and Arm USB families", "language": "C", "languageColor": "#555555", - "stars": "7,109", - "forks": "500", - "addStars": "8", + "stars": "18,343", + "forks": "39,502", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1699414?s=40&v=4", - "name": "floooh", - "url": "https://github.com/floooh" + "avatar": "https://avatars.githubusercontent.com/u/4781841?s=40&v=4", + "name": "fauxpark", + "url": "https://github.com/fauxpark" }, { - "avatar": "https://avatars.githubusercontent.com/u/178582?s=40&v=4", - "name": "waywardmonkeys", - "url": "https://github.com/waywardmonkeys" + "avatar": "https://avatars.githubusercontent.com/u/26984769?s=40&v=4", + "name": "qmk-bot", + "url": "https://github.com/qmk-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/66876057?s=40&v=4", - "name": "jakubtomsu", - "url": "https://github.com/jakubtomsu" + "avatar": "https://avatars.githubusercontent.com/u/2584963?s=40&v=4", + "name": "drashna", + "url": "https://github.com/drashna" }, { - "avatar": "https://avatars.githubusercontent.com/u/1472250?s=40&v=4", - "name": "danielchasehooper", - "url": "https://github.com/danielchasehooper" + "avatar": "https://avatars.githubusercontent.com/u/18669334?s=40&v=4", + "name": "noroadsleft", + "url": "https://github.com/noroadsleft" }, { - "avatar": "https://avatars.githubusercontent.com/u/322030?s=40&v=4", - "name": "edubart", - "url": "https://github.com/edubart" + "avatar": "https://avatars.githubusercontent.com/u/141431?s=40&v=4", + "name": "jackhumbert", + "url": "https://github.com/jackhumbert" } ] }, { - "title": "aircrack-ng/aircrack-ng", - "url": "https://github.com/aircrack-ng/aircrack-ng", - "description": "WiFi security auditing tools suite", + "title": "curl/curl", + "url": "https://github.com/curl/curl", + "description": "A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features", "language": "C", "languageColor": "#555555", - "stars": "5,500", - "forks": "953", - "addStars": "1", + "stars": "36,015", + "forks": "6,446", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3520734?s=40&v=4", - "name": "Mister-X-", - "url": "https://github.com/Mister-X-" + "avatar": "https://avatars.githubusercontent.com/u/177011?s=40&v=4", + "name": "bagder", + "url": "https://github.com/bagder" }, { - "avatar": "https://avatars.githubusercontent.com/u/73767?s=40&v=4", - "name": "jbenden", - "url": "https://github.com/jbenden" + "avatar": "https://avatars.githubusercontent.com/u/242954?s=40&v=4", + "name": "yangtse", + "url": "https://github.com/yangtse" }, { - "avatar": "https://avatars.githubusercontent.com/u/59890178?s=40&v=4", - "name": "gemesa", - "url": "https://github.com/gemesa" + "avatar": "https://avatars.githubusercontent.com/u/1523871?s=40&v=4", + "name": "captain-caveman2k", + "url": "https://github.com/captain-caveman2k" }, { - "avatar": "https://avatars.githubusercontent.com/u/1670905?s=40&v=4", - "name": "ZeroChaos-", - "url": "https://github.com/ZeroChaos-" + "avatar": "https://avatars.githubusercontent.com/u/228259?s=40&v=4", + "name": "dfandrich", + "url": "https://github.com/dfandrich" }, { - "avatar": "https://avatars.githubusercontent.com/u/5159728?s=40&v=4", - "name": "jmberg", - "url": "https://github.com/jmberg" + "avatar": "https://avatars.githubusercontent.com/u/1446897?s=40&v=4", + "name": "vszakats", + "url": "https://github.com/vszakats" } ] }, { - "title": "apache/cloudberry", - "url": "https://github.com/apache/cloudberry", - "description": "One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database.", + "title": "flipperdevices/flipperzero-firmware", + "url": "https://github.com/flipperdevices/flipperzero-firmware", + "description": "Flipper Zero firmware source code", "language": "C", "languageColor": "#555555", - "stars": "463", - "forks": "107", + "stars": "12,961", + "forks": "2,743", "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17311022?s=40&v=4", - "name": "avamingli", - "url": "https://github.com/avamingli" + "avatar": "https://avatars.githubusercontent.com/u/1633132?s=40&v=4", + "name": "skotopes", + "url": "https://github.com/skotopes" }, { - "avatar": "https://avatars.githubusercontent.com/u/37101401?s=40&v=4", - "name": "gfphoenix78", - "url": "https://github.com/gfphoenix78" + "avatar": "https://avatars.githubusercontent.com/u/277532?s=40&v=4", + "name": "hedger", + "url": "https://github.com/hedger" }, { - "avatar": "https://avatars.githubusercontent.com/u/53178068?s=40&v=4", - "name": "wenchaozhang-123", - "url": "https://github.com/wenchaozhang-123" + "avatar": "https://avatars.githubusercontent.com/u/4784169?s=40&v=4", + "name": "DrZlo13", + "url": "https://github.com/DrZlo13" }, { - "avatar": "https://avatars.githubusercontent.com/u/30709931?s=40&v=4", - "name": "SmartKeyerror", - "url": "https://github.com/SmartKeyerror" + "avatar": "https://avatars.githubusercontent.com/u/44112859?s=40&v=4", + "name": "gornekich", + "url": "https://github.com/gornekich" }, { - "avatar": "https://avatars.githubusercontent.com/u/1284465?s=40&v=4", - "name": "tuhaihe", - "url": "https://github.com/tuhaihe" + "avatar": "https://avatars.githubusercontent.com/u/12886640?s=40&v=4", + "name": "glitchcore", + "url": "https://github.com/glitchcore" } ] }, { - "title": "espressif/esp-idf", - "url": "https://github.com/espressif/esp-idf", - "description": "Espressif IoT Development Framework. Official development framework for Espressif SoCs.", + "title": "libsdl-org/SDL", + "url": "https://github.com/libsdl-org/SDL", + "description": "Simple Directmedia Layer", "language": "C", "languageColor": "#555555", - "stars": "13,827", - "forks": "7,318", - "addStars": "4", + "stars": "10,171", + "forks": "1,858", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4349050?s=40&v=4", - "name": "igrr", - "url": "https://github.com/igrr" + "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", + "name": "slouken", + "url": "https://github.com/slouken" }, { - "avatar": "https://avatars.githubusercontent.com/u/205573?s=40&v=4", - "name": "projectgus", - "url": "https://github.com/projectgus" + "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", + "name": "icculus", + "url": "https://github.com/icculus" }, { - "avatar": "https://avatars.githubusercontent.com/u/21117421?s=40&v=4", - "name": "jack0c", - "url": "https://github.com/jack0c" + "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", + "name": "sezero", + "url": "https://github.com/sezero" }, { - "avatar": "https://avatars.githubusercontent.com/u/8869469?s=40&v=4", - "name": "suda-morris", - "url": "https://github.com/suda-morris" + "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", + "name": "1bsyl", + "url": "https://github.com/1bsyl" }, { - "avatar": "https://avatars.githubusercontent.com/u/902446?s=40&v=4", - "name": "mahavirj", - "url": "https://github.com/mahavirj" + "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", + "name": "madebr", + "url": "https://github.com/madebr" } ] }, { - "title": "pbatard/rufus", - "url": "https://github.com/pbatard/rufus", - "description": "The Reliable USB Formatting Utility", + "title": "betaflight/betaflight", + "url": "https://github.com/betaflight/betaflight", + "description": "Open Source Flight Controller Firmware", "language": "C", "languageColor": "#555555", - "stars": "29,397", - "forks": "2,606", - "addStars": "11", + "stars": "8,649", + "forks": "3,051", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1206968?s=40&v=4", - "name": "pbatard", - "url": "https://github.com/pbatard" + "avatar": "https://avatars.githubusercontent.com/u/4742747?s=40&v=4", + "name": "mikeller", + "url": "https://github.com/mikeller" }, { - "avatar": "https://avatars.githubusercontent.com/u/1262554?s=40&v=4", - "name": "bovirus", - "url": "https://github.com/bovirus" + "avatar": "https://avatars.githubusercontent.com/u/57075?s=40&v=4", + "name": "hydra", + "url": "https://github.com/hydra" }, { - "avatar": "https://avatars.githubusercontent.com/u/2923889?s=40&v=4", - "name": "ThiloL", - "url": "https://github.com/ThiloL" + "avatar": "https://avatars.githubusercontent.com/u/194586?s=40&v=4", + "name": "martinbudden", + "url": "https://github.com/martinbudden" }, { - "avatar": "https://avatars.githubusercontent.com/u/5827053?s=40&v=4", - "name": "MehmetaliKuran", - "url": "https://github.com/MehmetaliKuran" + "avatar": "https://avatars.githubusercontent.com/u/10757508?s=40&v=4", + "name": "borisbstyle", + "url": "https://github.com/borisbstyle" }, { - "avatar": "https://avatars.githubusercontent.com/u/5789283?s=40&v=4", - "name": "Sopor", - "url": "https://github.com/Sopor" + "avatar": "https://avatars.githubusercontent.com/u/6168871?s=40&v=4", + "name": "blckmn", + "url": "https://github.com/blckmn" } ] }, { - "title": "EdgeTX/edgetx", - "url": "https://github.com/EdgeTX/edgetx", - "description": "EdgeTX is the cutting edge open source firmware for your R/C radio", + "title": "Ysurac/openmptcprouter", + "url": "https://github.com/Ysurac/openmptcprouter", + "description": "OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt", "language": "C", "languageColor": "#555555", - "stars": "1,629", - "forks": "343", - "addStars": "3", + "stars": "1,910", + "forks": "273", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5603504?s=40&v=4", - "name": "bsongis", - "url": "https://github.com/bsongis" + "avatar": "https://avatars.githubusercontent.com/u/6342954?s=40&v=4", + "name": "Ysurac", + "url": "https://github.com/Ysurac" }, { - "avatar": "https://avatars.githubusercontent.com/u/1050031?s=40&v=4", - "name": "raphaelcoeffic", - "url": "https://github.com/raphaelcoeffic" + "avatar": "https://avatars.githubusercontent.com/u/19888555?s=40&v=4", + "name": "WelterRocks", + "url": "https://github.com/WelterRocks" }, { - "avatar": "https://avatars.githubusercontent.com/u/5167938?s=40&v=4", - "name": "3djc", - "url": "https://github.com/3djc" + "avatar": "https://avatars.githubusercontent.com/u/25760697?s=40&v=4", + "name": "user747", + "url": "https://github.com/user747" }, { - "avatar": "https://avatars.githubusercontent.com/u/6065069?s=40&v=4", - "name": "kilrah", - "url": "https://github.com/kilrah" + "avatar": "https://avatars.githubusercontent.com/u/221256?s=40&v=4", + "name": "asmodehn", + "url": "https://github.com/asmodehn" }, { - "avatar": "https://avatars.githubusercontent.com/u/5950438?s=40&v=4", - "name": "projectkk2glider", - "url": "https://github.com/projectkk2glider" + "avatar": "https://avatars.githubusercontent.com/u/873469?s=40&v=4", + "name": "anaelorlinski", + "url": "https://github.com/anaelorlinski" } ] }, { - "title": "ValdikSS/GoodbyeDPI", - "url": "https://github.com/ValdikSS/GoodbyeDPI", - "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", + "title": "greatscottgadgets/hackrf", + "url": "https://github.com/greatscottgadgets/hackrf", + "description": "low cost software radio platform", "language": "C", "languageColor": "#555555", - "stars": "25,587", - "forks": "1,873", - "addStars": "22", + "stars": "6,629", + "forks": "1,537", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", - "name": "ValdikSS", - "url": "https://github.com/ValdikSS" + "avatar": "https://avatars.githubusercontent.com/u/1195107?s=40&v=4", + "name": "mossmann", + "url": "https://github.com/mossmann" }, { - "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", - "name": "EgorWeders", - "url": "https://github.com/EgorWeders" + "avatar": "https://avatars.githubusercontent.com/u/778248?s=40&v=4", + "name": "jboone", + "url": "https://github.com/jboone" }, { - "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", - "name": "KOLANICH", - "url": "https://github.com/KOLANICH" + "avatar": "https://avatars.githubusercontent.com/u/91747?s=40&v=4", + "name": "dominicgs", + "url": "https://github.com/dominicgs" }, { - "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", - "name": "pash7ka", - "url": "https://github.com/pash7ka" + "avatar": "https://avatars.githubusercontent.com/u/673823?s=40&v=4", + "name": "martinling", + "url": "https://github.com/martinling" }, { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" + "avatar": "https://avatars.githubusercontent.com/u/432709?s=40&v=4", + "name": "bvernoux", + "url": "https://github.com/bvernoux" } ] }, { - "title": "nothings/stb", - "url": "https://github.com/nothings/stb", - "description": "stb single-file public domain libraries for C/C++", + "title": "erincatto/box2d", + "url": "https://github.com/erincatto/box2d", + "description": "Box2D is a 2D physics engine for games", "language": "C", "languageColor": "#555555", - "stars": "27,037", - "forks": "7,721", - "addStars": "12", + "stars": "8,347", + "forks": "1,548", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7525026?s=40&v=4", - "name": "nothings", - "url": "https://github.com/nothings" + "avatar": "https://avatars.githubusercontent.com/u/7284063?s=40&v=4", + "name": "erincatto", + "url": "https://github.com/erincatto" }, { - "avatar": "https://avatars.githubusercontent.com/u/1077496?s=40&v=4", - "name": "rygorous", - "url": "https://github.com/rygorous" + "avatar": "https://avatars.githubusercontent.com/u/427410?s=40&v=4", + "name": "wub", + "url": "https://github.com/wub" }, { - "avatar": "https://avatars.githubusercontent.com/u/815310?s=40&v=4", - "name": "BSVino", - "url": "https://github.com/BSVino" + "avatar": "https://avatars.githubusercontent.com/u/1216696?s=40&v=4", + "name": "flyover", + "url": "https://github.com/flyover" }, { - "avatar": "https://avatars.githubusercontent.com/u/8225057?s=40&v=4", - "name": "ocornut", - "url": "https://github.com/ocornut" + "avatar": "https://avatars.githubusercontent.com/u/1635228?s=40&v=4", + "name": "sbeca", + "url": "https://github.com/sbeca" }, { - "avatar": "https://avatars.githubusercontent.com/u/7214365?s=40&v=4", - "name": "rwhitworth", - "url": "https://github.com/rwhitworth" + "avatar": "https://avatars.githubusercontent.com/u/1213082?s=40&v=4", + "name": "zammitjames", + "url": "https://github.com/zammitjames" } ] }, { - "title": "swaywm/sway", - "url": "https://github.com/swaywm/sway", - "description": "i3-compatible Wayland compositor", + "title": "ventoy/Ventoy", + "url": "https://github.com/ventoy/Ventoy", + "description": "A new bootable USB solution.", "language": "C", "languageColor": "#555555", - "stars": "14,725", - "forks": "1,111", - "addStars": "2", + "stars": "63,108", + "forks": "4,104", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1310872?s=40&v=4", - "name": "ddevault", - "url": "https://github.com/ddevault" + "avatar": "https://avatars.githubusercontent.com/u/59477474?s=40&v=4", + "name": "ventoy", + "url": "https://github.com/ventoy" }, { - "avatar": "https://avatars.githubusercontent.com/u/506932?s=40&v=4", - "name": "emersion", - "url": "https://github.com/emersion" + "avatar": "https://avatars.githubusercontent.com/u/67432394?s=40&v=4", + "name": "wiz64", + "url": "https://github.com/wiz64" }, { - "avatar": "https://avatars.githubusercontent.com/u/2436833?s=40&v=4", - "name": "RyanDwyer", - "url": "https://github.com/RyanDwyer" + "avatar": "https://avatars.githubusercontent.com/u/53147200?s=40&v=4", + "name": "VenusGirl", + "url": "https://github.com/VenusGirl" }, { - "avatar": "https://avatars.githubusercontent.com/u/2997061?s=40&v=4", - "name": "RedSoxFan", - "url": "https://github.com/RedSoxFan" + "avatar": "https://avatars.githubusercontent.com/u/54566818?s=40&v=4", + "name": "crasadure", + "url": "https://github.com/crasadure" }, { - "avatar": "https://avatars.githubusercontent.com/u/128566?s=40&v=4", - "name": "mikkeloscar", - "url": "https://github.com/mikkeloscar" + "avatar": "https://avatars.githubusercontent.com/u/31486344?s=40&v=4", + "name": "AnomSanjaya", + "url": "https://github.com/AnomSanjaya" } ] }, { - "title": "libsdl-org/SDL", - "url": "https://github.com/libsdl-org/SDL", - "description": "Simple Directmedia Layer", + "title": "openwrt/openwrt", + "url": "https://github.com/openwrt/openwrt", + "description": "This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git.", "language": "C", "languageColor": "#555555", - "stars": "10,169", - "forks": "1,857", - "addStars": "15", + "stars": "20,463", + "forks": "10,545", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", - "name": "slouken", - "url": "https://github.com/slouken" + "avatar": "https://avatars.githubusercontent.com/u/19352056?s=40&v=4", + "name": "juhosg", + "url": "https://github.com/juhosg" }, { - "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", - "name": "icculus", - "url": "https://github.com/icculus" + "avatar": "https://avatars.githubusercontent.com/u/1110044?s=40&v=4", + "name": "ffainelli", + "url": "https://github.com/ffainelli" }, { - "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", - "name": "sezero", - "url": "https://github.com/sezero" + "avatar": "https://avatars.githubusercontent.com/u/2528802?s=40&v=4", + "name": "jow-", + "url": "https://github.com/jow-" }, { - "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", - "name": "1bsyl", - "url": "https://github.com/1bsyl" + "avatar": "https://avatars.githubusercontent.com/u/78494?s=40&v=4", + "name": "hauke", + "url": "https://github.com/hauke" }, { - "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", - "name": "madebr", - "url": "https://github.com/madebr" + "avatar": "https://avatars.githubusercontent.com/u/2529314?s=40&v=4", + "name": "nbd168", + "url": "https://github.com/nbd168" } ] }, { - "title": "bellard/quickjs", - "url": "https://github.com/bellard/quickjs", - "description": "Public repository of the QuickJS Javascript Engine.", + "title": "Klipper3d/klipper", + "url": "https://github.com/Klipper3d/klipper", + "description": "Klipper is a 3d-printer firmware", "language": "C", "languageColor": "#555555", - "stars": "8,539", - "forks": "894", - "addStars": "8", + "stars": "9,566", + "forks": "5,337", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20602538?s=40&v=4", - "name": "chqrlie", - "url": "https://github.com/chqrlie" + "avatar": "https://avatars.githubusercontent.com/u/3004890?s=40&v=4", + "name": "KevinOConnor", + "url": "https://github.com/KevinOConnor" }, { - "avatar": "https://avatars.githubusercontent.com/u/6490144?s=40&v=4", - "name": "bellard", - "url": "https://github.com/bellard" + "avatar": "https://avatars.githubusercontent.com/u/9563098?s=40&v=4", + "name": "Arksine", + "url": "https://github.com/Arksine" }, { - "avatar": "https://avatars.githubusercontent.com/u/275871?s=40&v=4", - "name": "bnoordhuis", - "url": "https://github.com/bnoordhuis" + "avatar": "https://avatars.githubusercontent.com/u/53491797?s=40&v=4", + "name": "dmbutyugin", + "url": "https://github.com/dmbutyugin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1174718?s=40&v=4", - "name": "kasperisager", - "url": "https://github.com/kasperisager" + "avatar": "https://avatars.githubusercontent.com/u/1433580?s=40&v=4", + "name": "mcmatrix", + "url": "https://github.com/mcmatrix" }, { - "avatar": "https://avatars.githubusercontent.com/u/1129322?s=40&v=4", - "name": "pinotree", - "url": "https://github.com/pinotree" + "avatar": "https://avatars.githubusercontent.com/u/4352664?s=40&v=4", + "name": "FHeilmann", + "url": "https://github.com/FHeilmann" } ] }, { - "title": "redis/redis", - "url": "https://github.com/redis/redis", - "description": "Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps.", + "title": "acidanthera/OpenCorePkg", + "url": "https://github.com/acidanthera/OpenCorePkg", + "description": "OpenCore bootloader", "language": "C", "languageColor": "#555555", - "stars": "67,153", - "forks": "23,813", - "addStars": "15", + "stars": "13,470", + "forks": "2,117", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/65632?s=40&v=4", - "name": "antirez", - "url": "https://github.com/antirez" + "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", + "name": "vit9696", + "url": "https://github.com/vit9696" }, { - "avatar": "https://avatars.githubusercontent.com/u/7045099?s=40&v=4", - "name": "oranagra", - "url": "https://github.com/oranagra" + "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", + "name": "mhaeuser", + "url": "https://github.com/mhaeuser" }, { - "avatar": "https://avatars.githubusercontent.com/u/9845?s=40&v=4", - "name": "pietern", - "url": "https://github.com/pietern" + "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", + "name": "Andrey1970AppleLife", + "url": "https://github.com/Andrey1970AppleLife" }, { - "avatar": "https://avatars.githubusercontent.com/u/22811481?s=40&v=4", - "name": "enjoy-binbin", - "url": "https://github.com/enjoy-binbin" + "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", + "name": "mikebeaton", + "url": "https://github.com/mikebeaton" }, { - "avatar": "https://avatars.githubusercontent.com/u/1481195?s=40&v=4", - "name": "yossigo", - "url": "https://github.com/yossigo" + "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", + "name": "PMheart", + "url": "https://github.com/PMheart" } ] }, { - "title": "nginx/nginx", - "url": "https://github.com/nginx/nginx", - "description": "The official NGINX Open Source repository.", + "title": "OnionUI/Onion", + "url": "https://github.com/OnionUI/Onion", + "description": "OS overhaul for Miyoo Mini and Mini+", "language": "C", "languageColor": "#555555", - "stars": "25,277", - "forks": "7,001", - "addStars": "9", + "stars": "3,466", + "forks": "218", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/201187?s=40&v=4", - "name": "mdounin", - "url": "https://github.com/mdounin" + "avatar": "https://avatars.githubusercontent.com/u/44569252?s=40&v=4", + "name": "Aemiii91", + "url": "https://github.com/Aemiii91" }, { - "avatar": "https://avatars.githubusercontent.com/u/11629712?s=40&v=4", - "name": "vl-homutov", - "url": "https://github.com/vl-homutov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1236368?s=40&v=4", - "name": "arut", - "url": "https://github.com/arut" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2750827?s=40&v=4", - "name": "VBart", - "url": "https://github.com/VBart" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/190297?s=40&v=4", - "name": "PiotrSikora", - "url": "https://github.com/PiotrSikora" - } - ] - }, - { - "title": "sandboxie-plus/Sandboxie", - "url": "https://github.com/sandboxie-plus/Sandboxie", - "description": "Sandboxie Plus & Classic", - "language": "C", - "languageColor": "#555555", - "stars": "13,931", - "forks": "1,547", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3890945?s=40&v=4", - "name": "DavidXanatos", - "url": "https://github.com/DavidXanatos" + "avatar": "https://avatars.githubusercontent.com/u/16885275?s=40&v=4", + "name": "Sichroteph", + "url": "https://github.com/Sichroteph" }, { - "avatar": "https://avatars.githubusercontent.com/u/12372772?s=40&v=4", - "name": "isaak654", - "url": "https://github.com/isaak654" + "avatar": "https://avatars.githubusercontent.com/u/7110113?s=40&v=4", + "name": "schmurtzm", + "url": "https://github.com/schmurtzm" }, { - "avatar": "https://avatars.githubusercontent.com/u/92030377?s=40&v=4", - "name": "love-code-yeyixiao", - "url": "https://github.com/love-code-yeyixiao" + "avatar": "https://avatars.githubusercontent.com/u/47260768?s=40&v=4", + "name": "XK9274", + "url": "https://github.com/XK9274" }, { - "avatar": "https://avatars.githubusercontent.com/u/6871698?s=40&v=4", - "name": "offhub", - "url": "https://github.com/offhub" + "avatar": "https://avatars.githubusercontent.com/u/85693713?s=40&v=4", + "name": "jimgraygit", + "url": "https://github.com/jimgraygit" } ] } diff --git a/data/daily/c.xml b/data/daily/c.xml index 4e7300e90626..a7140ae8bc34 100644 --- a/data/daily/c.xml +++ b/data/daily/c.xml @@ -3,288 +3,293 @@ GitHub C Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of C Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - xmrig/xmrig - https://github.com/xmrig/xmrig - RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark - https://github.com/xmrig/xmrig + capstone-engine/capstone + https://github.com/capstone-engine/capstone + Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86. + https://github.com/capstone-engine/capstone C #555555 - 8,793 - 3,462 - 5 + 7,623 + 1,556 + 4 - https://avatars.githubusercontent.com/u/27528955?s=40&v=4 - xmrig - https://github.com/xmrig + https://avatars.githubusercontent.com/u/5965536?s=40&v=4 + aquynh + https://github.com/aquynh - https://avatars.githubusercontent.com/u/15806605?s=40&v=4 - SChernykh - https://github.com/SChernykh + https://avatars.githubusercontent.com/u/34511237?s=40&v=4 + kabeor + https://github.com/kabeor - https://avatars.githubusercontent.com/u/2391234?s=40&v=4 - Spudz76 - https://github.com/Spudz76 + https://avatars.githubusercontent.com/u/24983369?s=40&v=4 + imbillow + https://github.com/imbillow - https://avatars.githubusercontent.com/u/8947059?s=40&v=4 - Foudge - https://github.com/Foudge + https://avatars.githubusercontent.com/u/45763064?s=40&v=4 + Rot127 + https://github.com/Rot127 - https://avatars.githubusercontent.com/u/490176?s=40&v=4 - pdxwebdev - https://github.com/pdxwebdev + https://avatars.githubusercontent.com/u/35799796?s=40&v=4 + catenacyber + https://github.com/catenacyber - RfidResearchGroup/proxmark3 - https://github.com/RfidResearchGroup/proxmark3 - Iceman Fork - Proxmark3 - https://github.com/RfidResearchGroup/proxmark3 + bol-van/zapret + https://github.com/bol-van/zapret + DPI bypass multi platform + https://github.com/bol-van/zapret C #555555 - 4,056 - 1,066 - 7 + 8,501 + 639 + 25 - https://avatars.githubusercontent.com/u/8577004?s=40&v=4 - iceman1001 - https://github.com/iceman1001 + https://avatars.githubusercontent.com/u/9076680?s=40&v=4 + bol-van + https://github.com/bol-van - https://avatars.githubusercontent.com/u/60773?s=40&v=4 - doegox - https://github.com/doegox + https://avatars.githubusercontent.com/u/29492157?s=40&v=4 + maximilionus + https://github.com/maximilionus - https://avatars.githubusercontent.com/u/807634?s=40&v=4 - merlokk - https://github.com/merlokk + https://avatars.githubusercontent.com/u/21102535?s=40&v=4 + anphsw + https://github.com/anphsw - https://avatars.githubusercontent.com/u/61853685?s=40&v=4 - tharexde - https://github.com/tharexde + https://avatars.githubusercontent.com/u/24498484?s=40&v=4 + SashaXser + https://github.com/SashaXser - https://avatars.githubusercontent.com/u/51802811?s=40&v=4 - mwalker33 - https://github.com/mwalker33 + https://avatars.githubusercontent.com/u/4177942?s=40&v=4 + ataniazov + https://github.com/ataniazov - git/git - https://github.com/git/git - Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements. - https://github.com/git/git + ValdikSS/GoodbyeDPI + https://github.com/ValdikSS/GoodbyeDPI + GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) + https://github.com/ValdikSS/GoodbyeDPI C #555555 - 52,653 - 25,667 - 13 + 25,589 + 1,873 + 22 - https://avatars.githubusercontent.com/u/54884?s=40&v=4 - gitster - https://github.com/gitster + https://avatars.githubusercontent.com/u/3054729?s=40&v=4 + ValdikSS + https://github.com/ValdikSS - https://avatars.githubusercontent.com/u/45925?s=40&v=4 - peff - https://github.com/peff + https://avatars.githubusercontent.com/u/157705588?s=40&v=4 + EgorWeders + https://github.com/EgorWeders - https://avatars.githubusercontent.com/u/127790?s=40&v=4 - dscho - https://github.com/dscho + https://avatars.githubusercontent.com/u/240344?s=40&v=4 + KOLANICH + https://github.com/KOLANICH - https://avatars.githubusercontent.com/u/45301?s=40&v=4 - avar - https://github.com/avar + https://avatars.githubusercontent.com/u/2335215?s=40&v=4 + pash7ka + https://github.com/pash7ka - https://avatars.githubusercontent.com/u/720?s=40&v=4 - pclouds - https://github.com/pclouds + https://avatars.githubusercontent.com/u/22633385?s=40&v=4 + eltociear + https://github.com/eltociear - mpv-player/mpv - https://github.com/mpv-player/mpv - 🎥 Command line video player - https://github.com/mpv-player/mpv + xmrig/xmrig + https://github.com/xmrig/xmrig + RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark + https://github.com/xmrig/xmrig C #555555 - 28,703 - 2,927 - 17 + 8,801 + 3,464 + 50 - https://avatars.githubusercontent.com/u/1126053?s=40&v=4 - kasper93 - https://github.com/kasper93 + https://avatars.githubusercontent.com/u/27528955?s=40&v=4 + xmrig + https://github.com/xmrig - https://avatars.githubusercontent.com/u/5105515?s=40&v=4 - Dudemanguy - https://github.com/Dudemanguy + https://avatars.githubusercontent.com/u/15806605?s=40&v=4 + SChernykh + https://github.com/SChernykh - https://avatars.githubusercontent.com/u/1149047?s=40&v=4 - haasn - https://github.com/haasn + https://avatars.githubusercontent.com/u/2391234?s=40&v=4 + Spudz76 + https://github.com/Spudz76 - https://avatars.githubusercontent.com/u/24681?s=40&v=4 - pigoz - https://github.com/pigoz + https://avatars.githubusercontent.com/u/8947059?s=40&v=4 + Foudge + https://github.com/Foudge - https://avatars.githubusercontent.com/u/680386?s=40&v=4 - Akemi - https://github.com/Akemi + https://avatars.githubusercontent.com/u/490176?s=40&v=4 + pdxwebdev + https://github.com/pdxwebdev - acidanthera/OpenCorePkg - https://github.com/acidanthera/OpenCorePkg - OpenCore bootloader - https://github.com/acidanthera/OpenCorePkg + brunodev85/winlator + https://github.com/brunodev85/winlator + Android application for running Windows applications with Wine and Box86/Box64 + https://github.com/brunodev85/winlator C #555555 - 13,470 - 2,116 - 7 + 9,236 + 457 + 21 - https://avatars.githubusercontent.com/u/4348897?s=40&v=4 - vit9696 - https://github.com/vit9696 + https://avatars.githubusercontent.com/u/11407071?s=40&v=4 + brunodev85 + https://github.com/brunodev85 - https://avatars.githubusercontent.com/u/8659494?s=40&v=4 - mhaeuser - https://github.com/mhaeuser + https://avatars.githubusercontent.com/u/88626244?s=40&v=4 + kazimaruf991 + https://github.com/kazimaruf991 - https://avatars.githubusercontent.com/u/17758753?s=40&v=4 - Andrey1970AppleLife - https://github.com/Andrey1970AppleLife + https://avatars.githubusercontent.com/u/100040786?s=40&v=4 + Kashinathpat + https://github.com/Kashinathpat - https://avatars.githubusercontent.com/u/11946605?s=40&v=4 - mikebeaton - https://github.com/mikebeaton + https://avatars.githubusercontent.com/u/518438?s=40&v=4 + hackcasual + https://github.com/hackcasual - https://avatars.githubusercontent.com/u/17109513?s=40&v=4 - PMheart - https://github.com/PMheart + https://avatars.githubusercontent.com/u/6472545?s=40&v=4 + lvonasek + https://github.com/lvonasek - Genymobile/scrcpy - https://github.com/Genymobile/scrcpy - Display and control your Android device - https://github.com/Genymobile/scrcpy + glfw/glfw + https://github.com/glfw/glfw + A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input + https://github.com/glfw/glfw C #555555 - 112,588 - 10,767 - 48 + 13,128 + 5,271 + 5 - https://avatars.githubusercontent.com/u/543275?s=40&v=4 - rom1v - https://github.com/rom1v + https://avatars.githubusercontent.com/u/133714?s=40&v=4 + elmindreda + https://github.com/elmindreda - https://avatars.githubusercontent.com/u/1330321?s=40&v=4 - yume-chan - https://github.com/yume-chan + https://avatars.githubusercontent.com/u/7755816?s=40&v=4 + linkmauve + https://github.com/linkmauve - https://avatars.githubusercontent.com/u/5494432?s=40&v=4 - npes87184 - https://github.com/npes87184 + https://avatars.githubusercontent.com/u/872946?s=40&v=4 + dougbinks + https://github.com/dougbinks - https://avatars.githubusercontent.com/u/639467?s=40&v=4 - brunoais - https://github.com/brunoais + https://avatars.githubusercontent.com/u/84891?s=40&v=4 + adrianbroher + https://github.com/adrianbroher - https://avatars.githubusercontent.com/u/2694559?s=40&v=4 - rootkea - https://github.com/rootkea + https://avatars.githubusercontent.com/u/1075690?s=40&v=4 + siavashserver + https://github.com/siavashserver - bol-van/zapret - https://github.com/bol-van/zapret - DPI bypass multi platform - https://github.com/bol-van/zapret + HandBrake/HandBrake + https://github.com/HandBrake/HandBrake + HandBrake's main development repository + https://github.com/HandBrake/HandBrake C #555555 - 8,491 - 639 - 25 + 17,939 + 1,345 + 12 - https://avatars.githubusercontent.com/u/9076680?s=40&v=4 - bol-van - https://github.com/bol-van + https://avatars.githubusercontent.com/u/628593?s=40&v=4 + sr55 + https://github.com/sr55 - https://avatars.githubusercontent.com/u/29492157?s=40&v=4 - maximilionus - https://github.com/maximilionus + https://avatars.githubusercontent.com/u/709720?s=40&v=4 + jstebbins + https://github.com/jstebbins - https://avatars.githubusercontent.com/u/21102535?s=40&v=4 - anphsw - https://github.com/anphsw + https://avatars.githubusercontent.com/u/4305519?s=40&v=4 + galad87 + https://github.com/galad87 - https://avatars.githubusercontent.com/u/24498484?s=40&v=4 - SashaXser - https://github.com/SashaXser + https://avatars.githubusercontent.com/u/70239?s=40&v=4 + bradleysepos + https://github.com/bradleysepos - https://avatars.githubusercontent.com/u/4177942?s=40&v=4 - ataniazov - https://github.com/ataniazov + https://avatars.githubusercontent.com/u/374913?s=40&v=4 + jbrjake + https://github.com/jbrjake - Immediate-Mode-UI/Nuklear - https://github.com/Immediate-Mode-UI/Nuklear - A single-header ANSI C immediate mode cross-platform GUI library - https://github.com/Immediate-Mode-UI/Nuklear + raysan5/raygui + https://github.com/raysan5/raygui + A simple and easy-to-use immediate-mode gui library + https://github.com/raysan5/raygui C #555555 - 9,335 - 571 - 7 + 3,597 + 304 + 11 - https://avatars.githubusercontent.com/u/8057201?s=40&v=4 - vurtun - https://github.com/vurtun + https://avatars.githubusercontent.com/u/5766837?s=40&v=4 + raysan5 + https://github.com/raysan5 + + + https://avatars.githubusercontent.com/u/9116727?s=40&v=4 + anidealgift + https://github.com/anidealgift https://avatars.githubusercontent.com/u/25086?s=40&v=4 @@ -292,584 +297,508 @@ https://github.com/RobLoach - https://avatars.githubusercontent.com/u/2451044?s=40&v=4 - dumblob - https://github.com/dumblob + https://avatars.githubusercontent.com/u/27865535?s=40&v=4 + Demizdor + https://github.com/Demizdor - https://avatars.githubusercontent.com/u/176167?s=40&v=4 - riri - https://github.com/riri - - - https://avatars.githubusercontent.com/u/4019495?s=40&v=4 - DeXP - https://github.com/DeXP + https://avatars.githubusercontent.com/u/87268284?s=40&v=4 + hanaxar + https://github.com/hanaxar - libretro/RetroArch - https://github.com/libretro/RetroArch - Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3. - https://github.com/libretro/RetroArch + qmk/qmk_firmware + https://github.com/qmk/qmk_firmware + Open-source keyboard firmware for Atmel AVR and Arm USB families + https://github.com/qmk/qmk_firmware C #555555 - 10,373 - 1,838 - 10 - - - https://avatars.githubusercontent.com/u/1075274?s=40&v=4 - inactive123 - https://github.com/inactive123 - - - https://avatars.githubusercontent.com/u/163683?s=40&v=4 - Themaister - https://github.com/Themaister - - - https://avatars.githubusercontent.com/u/105389611?s=40&v=4 - LibretroAdmin - https://github.com/LibretroAdmin - - - https://avatars.githubusercontent.com/u/1721040?s=40&v=4 - andres-asm - https://github.com/andres-asm - - - https://avatars.githubusercontent.com/u/442722?s=40&v=4 - kivutar - https://github.com/kivutar - - - - - floooh/sokol - https://github.com/floooh/sokol - minimal cross-platform standalone C headers - https://github.com/floooh/sokol - C - #555555 - 7,109 - 500 - 8 + 18,343 + 39,502 + 7 - https://avatars.githubusercontent.com/u/1699414?s=40&v=4 - floooh - https://github.com/floooh + https://avatars.githubusercontent.com/u/4781841?s=40&v=4 + fauxpark + https://github.com/fauxpark - https://avatars.githubusercontent.com/u/178582?s=40&v=4 - waywardmonkeys - https://github.com/waywardmonkeys + https://avatars.githubusercontent.com/u/26984769?s=40&v=4 + qmk-bot + https://github.com/qmk-bot - https://avatars.githubusercontent.com/u/66876057?s=40&v=4 - jakubtomsu - https://github.com/jakubtomsu + https://avatars.githubusercontent.com/u/2584963?s=40&v=4 + drashna + https://github.com/drashna - https://avatars.githubusercontent.com/u/1472250?s=40&v=4 - danielchasehooper - https://github.com/danielchasehooper + https://avatars.githubusercontent.com/u/18669334?s=40&v=4 + noroadsleft + https://github.com/noroadsleft - https://avatars.githubusercontent.com/u/322030?s=40&v=4 - edubart - https://github.com/edubart + https://avatars.githubusercontent.com/u/141431?s=40&v=4 + jackhumbert + https://github.com/jackhumbert - aircrack-ng/aircrack-ng - https://github.com/aircrack-ng/aircrack-ng - WiFi security auditing tools suite - https://github.com/aircrack-ng/aircrack-ng + curl/curl + https://github.com/curl/curl + A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features + https://github.com/curl/curl C #555555 - 5,500 - 953 - 1 + 36,015 + 6,446 + 13 - https://avatars.githubusercontent.com/u/3520734?s=40&v=4 - Mister-X- - https://github.com/Mister-X- + https://avatars.githubusercontent.com/u/177011?s=40&v=4 + bagder + https://github.com/bagder - https://avatars.githubusercontent.com/u/73767?s=40&v=4 - jbenden - https://github.com/jbenden + https://avatars.githubusercontent.com/u/242954?s=40&v=4 + yangtse + https://github.com/yangtse - https://avatars.githubusercontent.com/u/59890178?s=40&v=4 - gemesa - https://github.com/gemesa + https://avatars.githubusercontent.com/u/1523871?s=40&v=4 + captain-caveman2k + https://github.com/captain-caveman2k - https://avatars.githubusercontent.com/u/1670905?s=40&v=4 - ZeroChaos- - https://github.com/ZeroChaos- + https://avatars.githubusercontent.com/u/228259?s=40&v=4 + dfandrich + https://github.com/dfandrich - https://avatars.githubusercontent.com/u/5159728?s=40&v=4 - jmberg - https://github.com/jmberg + https://avatars.githubusercontent.com/u/1446897?s=40&v=4 + vszakats + https://github.com/vszakats - apache/cloudberry - https://github.com/apache/cloudberry - One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database. - https://github.com/apache/cloudberry + flipperdevices/flipperzero-firmware + https://github.com/flipperdevices/flipperzero-firmware + Flipper Zero firmware source code + https://github.com/flipperdevices/flipperzero-firmware C #555555 - 463 - 107 + 12,961 + 2,743 8 - https://avatars.githubusercontent.com/u/17311022?s=40&v=4 - avamingli - https://github.com/avamingli + https://avatars.githubusercontent.com/u/1633132?s=40&v=4 + skotopes + https://github.com/skotopes - https://avatars.githubusercontent.com/u/37101401?s=40&v=4 - gfphoenix78 - https://github.com/gfphoenix78 + https://avatars.githubusercontent.com/u/277532?s=40&v=4 + hedger + https://github.com/hedger - https://avatars.githubusercontent.com/u/53178068?s=40&v=4 - wenchaozhang-123 - https://github.com/wenchaozhang-123 + https://avatars.githubusercontent.com/u/4784169?s=40&v=4 + DrZlo13 + https://github.com/DrZlo13 - https://avatars.githubusercontent.com/u/30709931?s=40&v=4 - SmartKeyerror - https://github.com/SmartKeyerror + https://avatars.githubusercontent.com/u/44112859?s=40&v=4 + gornekich + https://github.com/gornekich - https://avatars.githubusercontent.com/u/1284465?s=40&v=4 - tuhaihe - https://github.com/tuhaihe + https://avatars.githubusercontent.com/u/12886640?s=40&v=4 + glitchcore + https://github.com/glitchcore - espressif/esp-idf - https://github.com/espressif/esp-idf - Espressif IoT Development Framework. Official development framework for Espressif SoCs. - https://github.com/espressif/esp-idf + libsdl-org/SDL + https://github.com/libsdl-org/SDL + Simple Directmedia Layer + https://github.com/libsdl-org/SDL C #555555 - 13,827 - 7,318 - 4 + 10,171 + 1,858 + 15 - https://avatars.githubusercontent.com/u/4349050?s=40&v=4 - igrr - https://github.com/igrr + https://avatars.githubusercontent.com/u/2100061?s=40&v=4 + slouken + https://github.com/slouken - https://avatars.githubusercontent.com/u/205573?s=40&v=4 - projectgus - https://github.com/projectgus + https://avatars.githubusercontent.com/u/673562?s=40&v=4 + icculus + https://github.com/icculus - https://avatars.githubusercontent.com/u/21117421?s=40&v=4 - jack0c - https://github.com/jack0c + https://avatars.githubusercontent.com/u/4222725?s=40&v=4 + sezero + https://github.com/sezero - https://avatars.githubusercontent.com/u/8869469?s=40&v=4 - suda-morris - https://github.com/suda-morris + https://avatars.githubusercontent.com/u/818728?s=40&v=4 + 1bsyl + https://github.com/1bsyl - https://avatars.githubusercontent.com/u/902446?s=40&v=4 - mahavirj - https://github.com/mahavirj + https://avatars.githubusercontent.com/u/4138939?s=40&v=4 + madebr + https://github.com/madebr - pbatard/rufus - https://github.com/pbatard/rufus - The Reliable USB Formatting Utility - https://github.com/pbatard/rufus + betaflight/betaflight + https://github.com/betaflight/betaflight + Open Source Flight Controller Firmware + https://github.com/betaflight/betaflight C #555555 - 29,397 - 2,606 - 11 + 8,649 + 3,051 + 3 - https://avatars.githubusercontent.com/u/1206968?s=40&v=4 - pbatard - https://github.com/pbatard + https://avatars.githubusercontent.com/u/4742747?s=40&v=4 + mikeller + https://github.com/mikeller - https://avatars.githubusercontent.com/u/1262554?s=40&v=4 - bovirus - https://github.com/bovirus + https://avatars.githubusercontent.com/u/57075?s=40&v=4 + hydra + https://github.com/hydra - https://avatars.githubusercontent.com/u/2923889?s=40&v=4 - ThiloL - https://github.com/ThiloL + https://avatars.githubusercontent.com/u/194586?s=40&v=4 + martinbudden + https://github.com/martinbudden - https://avatars.githubusercontent.com/u/5827053?s=40&v=4 - MehmetaliKuran - https://github.com/MehmetaliKuran + https://avatars.githubusercontent.com/u/10757508?s=40&v=4 + borisbstyle + https://github.com/borisbstyle - https://avatars.githubusercontent.com/u/5789283?s=40&v=4 - Sopor - https://github.com/Sopor + https://avatars.githubusercontent.com/u/6168871?s=40&v=4 + blckmn + https://github.com/blckmn - EdgeTX/edgetx - https://github.com/EdgeTX/edgetx - EdgeTX is the cutting edge open source firmware for your R/C radio - https://github.com/EdgeTX/edgetx + Ysurac/openmptcprouter + https://github.com/Ysurac/openmptcprouter + OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt + https://github.com/Ysurac/openmptcprouter C #555555 - 1,629 - 343 - 3 + 1,910 + 273 + 9 - https://avatars.githubusercontent.com/u/5603504?s=40&v=4 - bsongis - https://github.com/bsongis + https://avatars.githubusercontent.com/u/6342954?s=40&v=4 + Ysurac + https://github.com/Ysurac - https://avatars.githubusercontent.com/u/1050031?s=40&v=4 - raphaelcoeffic - https://github.com/raphaelcoeffic + https://avatars.githubusercontent.com/u/19888555?s=40&v=4 + WelterRocks + https://github.com/WelterRocks - https://avatars.githubusercontent.com/u/5167938?s=40&v=4 - 3djc - https://github.com/3djc + https://avatars.githubusercontent.com/u/25760697?s=40&v=4 + user747 + https://github.com/user747 - https://avatars.githubusercontent.com/u/6065069?s=40&v=4 - kilrah - https://github.com/kilrah + https://avatars.githubusercontent.com/u/221256?s=40&v=4 + asmodehn + https://github.com/asmodehn - https://avatars.githubusercontent.com/u/5950438?s=40&v=4 - projectkk2glider - https://github.com/projectkk2glider + https://avatars.githubusercontent.com/u/873469?s=40&v=4 + anaelorlinski + https://github.com/anaelorlinski - ValdikSS/GoodbyeDPI - https://github.com/ValdikSS/GoodbyeDPI - GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) - https://github.com/ValdikSS/GoodbyeDPI + greatscottgadgets/hackrf + https://github.com/greatscottgadgets/hackrf + low cost software radio platform + https://github.com/greatscottgadgets/hackrf C #555555 - 25,587 - 1,873 - 22 + 6,629 + 1,537 + 5 - https://avatars.githubusercontent.com/u/3054729?s=40&v=4 - ValdikSS - https://github.com/ValdikSS + https://avatars.githubusercontent.com/u/1195107?s=40&v=4 + mossmann + https://github.com/mossmann - https://avatars.githubusercontent.com/u/157705588?s=40&v=4 - EgorWeders - https://github.com/EgorWeders + https://avatars.githubusercontent.com/u/778248?s=40&v=4 + jboone + https://github.com/jboone - https://avatars.githubusercontent.com/u/240344?s=40&v=4 - KOLANICH - https://github.com/KOLANICH + https://avatars.githubusercontent.com/u/91747?s=40&v=4 + dominicgs + https://github.com/dominicgs - https://avatars.githubusercontent.com/u/2335215?s=40&v=4 - pash7ka - https://github.com/pash7ka + https://avatars.githubusercontent.com/u/673823?s=40&v=4 + martinling + https://github.com/martinling - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear + https://avatars.githubusercontent.com/u/432709?s=40&v=4 + bvernoux + https://github.com/bvernoux - nothings/stb - https://github.com/nothings/stb - stb single-file public domain libraries for C/C++ - https://github.com/nothings/stb + erincatto/box2d + https://github.com/erincatto/box2d + Box2D is a 2D physics engine for games + https://github.com/erincatto/box2d C #555555 - 27,037 - 7,721 - 12 + 8,347 + 1,548 + 3 - https://avatars.githubusercontent.com/u/7525026?s=40&v=4 - nothings - https://github.com/nothings + https://avatars.githubusercontent.com/u/7284063?s=40&v=4 + erincatto + https://github.com/erincatto - https://avatars.githubusercontent.com/u/1077496?s=40&v=4 - rygorous - https://github.com/rygorous + https://avatars.githubusercontent.com/u/427410?s=40&v=4 + wub + https://github.com/wub - https://avatars.githubusercontent.com/u/815310?s=40&v=4 - BSVino - https://github.com/BSVino + https://avatars.githubusercontent.com/u/1216696?s=40&v=4 + flyover + https://github.com/flyover - https://avatars.githubusercontent.com/u/8225057?s=40&v=4 - ocornut - https://github.com/ocornut + https://avatars.githubusercontent.com/u/1635228?s=40&v=4 + sbeca + https://github.com/sbeca - https://avatars.githubusercontent.com/u/7214365?s=40&v=4 - rwhitworth - https://github.com/rwhitworth + https://avatars.githubusercontent.com/u/1213082?s=40&v=4 + zammitjames + https://github.com/zammitjames - swaywm/sway - https://github.com/swaywm/sway - i3-compatible Wayland compositor - https://github.com/swaywm/sway + ventoy/Ventoy + https://github.com/ventoy/Ventoy + A new bootable USB solution. + https://github.com/ventoy/Ventoy C #555555 - 14,725 - 1,111 - 2 + 63,108 + 4,104 + 19 - https://avatars.githubusercontent.com/u/1310872?s=40&v=4 - ddevault - https://github.com/ddevault + https://avatars.githubusercontent.com/u/59477474?s=40&v=4 + ventoy + https://github.com/ventoy - https://avatars.githubusercontent.com/u/506932?s=40&v=4 - emersion - https://github.com/emersion + https://avatars.githubusercontent.com/u/67432394?s=40&v=4 + wiz64 + https://github.com/wiz64 - https://avatars.githubusercontent.com/u/2436833?s=40&v=4 - RyanDwyer - https://github.com/RyanDwyer + https://avatars.githubusercontent.com/u/53147200?s=40&v=4 + VenusGirl + https://github.com/VenusGirl - https://avatars.githubusercontent.com/u/2997061?s=40&v=4 - RedSoxFan - https://github.com/RedSoxFan + https://avatars.githubusercontent.com/u/54566818?s=40&v=4 + crasadure + https://github.com/crasadure - https://avatars.githubusercontent.com/u/128566?s=40&v=4 - mikkeloscar - https://github.com/mikkeloscar + https://avatars.githubusercontent.com/u/31486344?s=40&v=4 + AnomSanjaya + https://github.com/AnomSanjaya - libsdl-org/SDL - https://github.com/libsdl-org/SDL - Simple Directmedia Layer - https://github.com/libsdl-org/SDL + openwrt/openwrt + https://github.com/openwrt/openwrt + This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git. + https://github.com/openwrt/openwrt C #555555 - 10,169 - 1,857 - 15 + 20,463 + 10,545 + 19 - https://avatars.githubusercontent.com/u/2100061?s=40&v=4 - slouken - https://github.com/slouken + https://avatars.githubusercontent.com/u/19352056?s=40&v=4 + juhosg + https://github.com/juhosg - https://avatars.githubusercontent.com/u/673562?s=40&v=4 - icculus - https://github.com/icculus + https://avatars.githubusercontent.com/u/1110044?s=40&v=4 + ffainelli + https://github.com/ffainelli - https://avatars.githubusercontent.com/u/4222725?s=40&v=4 - sezero - https://github.com/sezero + https://avatars.githubusercontent.com/u/2528802?s=40&v=4 + jow- + https://github.com/jow- - https://avatars.githubusercontent.com/u/818728?s=40&v=4 - 1bsyl - https://github.com/1bsyl + https://avatars.githubusercontent.com/u/78494?s=40&v=4 + hauke + https://github.com/hauke - https://avatars.githubusercontent.com/u/4138939?s=40&v=4 - madebr - https://github.com/madebr + https://avatars.githubusercontent.com/u/2529314?s=40&v=4 + nbd168 + https://github.com/nbd168 - bellard/quickjs - https://github.com/bellard/quickjs - Public repository of the QuickJS Javascript Engine. - https://github.com/bellard/quickjs + Klipper3d/klipper + https://github.com/Klipper3d/klipper + Klipper is a 3d-printer firmware + https://github.com/Klipper3d/klipper C #555555 - 8,539 - 894 - 8 + 9,566 + 5,337 + 3 - https://avatars.githubusercontent.com/u/20602538?s=40&v=4 - chqrlie - https://github.com/chqrlie + https://avatars.githubusercontent.com/u/3004890?s=40&v=4 + KevinOConnor + https://github.com/KevinOConnor - https://avatars.githubusercontent.com/u/6490144?s=40&v=4 - bellard - https://github.com/bellard + https://avatars.githubusercontent.com/u/9563098?s=40&v=4 + Arksine + https://github.com/Arksine - https://avatars.githubusercontent.com/u/275871?s=40&v=4 - bnoordhuis - https://github.com/bnoordhuis + https://avatars.githubusercontent.com/u/53491797?s=40&v=4 + dmbutyugin + https://github.com/dmbutyugin - https://avatars.githubusercontent.com/u/1174718?s=40&v=4 - kasperisager - https://github.com/kasperisager + https://avatars.githubusercontent.com/u/1433580?s=40&v=4 + mcmatrix + https://github.com/mcmatrix - https://avatars.githubusercontent.com/u/1129322?s=40&v=4 - pinotree - https://github.com/pinotree + https://avatars.githubusercontent.com/u/4352664?s=40&v=4 + FHeilmann + https://github.com/FHeilmann - redis/redis - https://github.com/redis/redis - Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps. - https://github.com/redis/redis + acidanthera/OpenCorePkg + https://github.com/acidanthera/OpenCorePkg + OpenCore bootloader + https://github.com/acidanthera/OpenCorePkg C #555555 - 67,153 - 23,813 - 15 + 13,470 + 2,117 + 7 - https://avatars.githubusercontent.com/u/65632?s=40&v=4 - antirez - https://github.com/antirez + https://avatars.githubusercontent.com/u/4348897?s=40&v=4 + vit9696 + https://github.com/vit9696 - https://avatars.githubusercontent.com/u/7045099?s=40&v=4 - oranagra - https://github.com/oranagra + https://avatars.githubusercontent.com/u/8659494?s=40&v=4 + mhaeuser + https://github.com/mhaeuser - https://avatars.githubusercontent.com/u/9845?s=40&v=4 - pietern - https://github.com/pietern + https://avatars.githubusercontent.com/u/17758753?s=40&v=4 + Andrey1970AppleLife + https://github.com/Andrey1970AppleLife - https://avatars.githubusercontent.com/u/22811481?s=40&v=4 - enjoy-binbin - https://github.com/enjoy-binbin + https://avatars.githubusercontent.com/u/11946605?s=40&v=4 + mikebeaton + https://github.com/mikebeaton - https://avatars.githubusercontent.com/u/1481195?s=40&v=4 - yossigo - https://github.com/yossigo + https://avatars.githubusercontent.com/u/17109513?s=40&v=4 + PMheart + https://github.com/PMheart - nginx/nginx - https://github.com/nginx/nginx - The official NGINX Open Source repository. - https://github.com/nginx/nginx + OnionUI/Onion + https://github.com/OnionUI/Onion + OS overhaul for Miyoo Mini and Mini+ + https://github.com/OnionUI/Onion C #555555 - 25,277 - 7,001 - 9 + 3,466 + 218 + 3 - https://avatars.githubusercontent.com/u/201187?s=40&v=4 - mdounin - https://github.com/mdounin - - - https://avatars.githubusercontent.com/u/11629712?s=40&v=4 - vl-homutov - https://github.com/vl-homutov - - - https://avatars.githubusercontent.com/u/1236368?s=40&v=4 - arut - https://github.com/arut - - - https://avatars.githubusercontent.com/u/2750827?s=40&v=4 - VBart - https://github.com/VBart - - - https://avatars.githubusercontent.com/u/190297?s=40&v=4 - PiotrSikora - https://github.com/PiotrSikora + https://avatars.githubusercontent.com/u/44569252?s=40&v=4 + Aemiii91 + https://github.com/Aemiii91 - - - - sandboxie-plus/Sandboxie - https://github.com/sandboxie-plus/Sandboxie - Sandboxie Plus & Classic - https://github.com/sandboxie-plus/Sandboxie - C - #555555 - 13,931 - 1,547 - 7 - - https://avatars.githubusercontent.com/u/3890945?s=40&v=4 - DavidXanatos - https://github.com/DavidXanatos + https://avatars.githubusercontent.com/u/16885275?s=40&v=4 + Sichroteph + https://github.com/Sichroteph - https://avatars.githubusercontent.com/u/12372772?s=40&v=4 - isaak654 - https://github.com/isaak654 + https://avatars.githubusercontent.com/u/7110113?s=40&v=4 + schmurtzm + https://github.com/schmurtzm - https://avatars.githubusercontent.com/u/92030377?s=40&v=4 - love-code-yeyixiao - https://github.com/love-code-yeyixiao + https://avatars.githubusercontent.com/u/47260768?s=40&v=4 + XK9274 + https://github.com/XK9274 - https://avatars.githubusercontent.com/u/6871698?s=40&v=4 - offhub - https://github.com/offhub + https://avatars.githubusercontent.com/u/85693713?s=40&v=4 + jimgraygit + https://github.com/jimgraygit diff --git a/data/daily/c2hs-haskell.json b/data/daily/c2hs-haskell.json index 22bec1193794..18c3db318fc7 100644 --- a/data/daily/c2hs-haskell.json +++ b/data/daily/c2hs-haskell.json @@ -2,6 +2,6 @@ "title": "GitHub C2hs-haskell Languages Daily Trending", "description": "Daily Trending of C2hs-haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/c2hs-haskell.xml b/data/daily/c2hs-haskell.xml index d7ab8574c979..6372adbea1b3 100644 --- a/data/daily/c2hs-haskell.xml +++ b/data/daily/c2hs-haskell.xml @@ -3,6 +3,6 @@ GitHub C2hs-haskell Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of C2hs-haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cabal-config.json b/data/daily/cabal-config.json index a0f6c741f43f..031192dd21cd 100644 --- a/data/daily/cabal-config.json +++ b/data/daily/cabal-config.json @@ -2,6 +2,6 @@ "title": "GitHub Cabal-config Languages Daily Trending", "description": "Daily Trending of Cabal-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cabal-config.xml b/data/daily/cabal-config.xml index a434e430c713..2409e5094d8b 100644 --- a/data/daily/cabal-config.xml +++ b/data/daily/cabal-config.xml @@ -3,6 +3,6 @@ GitHub Cabal-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cabal-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/caddyfile.json b/data/daily/caddyfile.json index 00033ff8e197..600b80181c8c 100644 --- a/data/daily/caddyfile.json +++ b/data/daily/caddyfile.json @@ -2,6 +2,6 @@ "title": "GitHub Caddyfile Languages Daily Trending", "description": "Daily Trending of Caddyfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/caddyfile.xml b/data/daily/caddyfile.xml index f9805b27b49c..571e2ea867ec 100644 --- a/data/daily/caddyfile.xml +++ b/data/daily/caddyfile.xml @@ -3,6 +3,6 @@ GitHub Caddyfile Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Caddyfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cadence.json b/data/daily/cadence.json index 2d90f1c2b525..d8bf9e783c36 100644 --- a/data/daily/cadence.json +++ b/data/daily/cadence.json @@ -2,6 +2,6 @@ "title": "GitHub Cadence Languages Daily Trending", "description": "Daily Trending of Cadence Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cadence.xml b/data/daily/cadence.xml index bda8290de45f..0b99f286cdaa 100644 --- a/data/daily/cadence.xml +++ b/data/daily/cadence.xml @@ -3,6 +3,6 @@ GitHub Cadence Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cadence Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cairo.json b/data/daily/cairo.json index 5258c047471e..a2b49958f7c9 100644 --- a/data/daily/cairo.json +++ b/data/daily/cairo.json @@ -2,7 +2,7 @@ "title": "GitHub Cairo Languages Daily Trending", "description": "Daily Trending of Cairo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "kkrt-labs/kakarot", diff --git a/data/daily/cairo.xml b/data/daily/cairo.xml index d645a13fb6e5..e443b2515071 100644 --- a/data/daily/cairo.xml +++ b/data/daily/cairo.xml @@ -3,7 +3,7 @@ GitHub Cairo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cairo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT kkrt-labs/kakarot https://github.com/kkrt-labs/kakarot diff --git a/data/daily/cameligo.json b/data/daily/cameligo.json index ce6cdc0f3a65..6d8ca88ddd86 100644 --- a/data/daily/cameligo.json +++ b/data/daily/cameligo.json @@ -2,6 +2,6 @@ "title": "GitHub Cameligo Languages Daily Trending", "description": "Daily Trending of Cameligo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cameligo.xml b/data/daily/cameligo.xml index b0606dd3b290..fe3c9822059c 100644 --- a/data/daily/cameligo.xml +++ b/data/daily/cameligo.xml @@ -3,6 +3,6 @@ GitHub Cameligo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cameligo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cap'n-proto.json b/data/daily/cap'n-proto.json index 6b96abcbf60d..3781dcbf42e5 100644 --- a/data/daily/cap'n-proto.json +++ b/data/daily/cap'n-proto.json @@ -2,6 +2,6 @@ "title": "GitHub Cap'n-proto Languages Daily Trending", "description": "Daily Trending of Cap'n-proto Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cap'n-proto.xml b/data/daily/cap'n-proto.xml index bd51128d928d..d5be6492bd15 100644 --- a/data/daily/cap'n-proto.xml +++ b/data/daily/cap'n-proto.xml @@ -3,6 +3,6 @@ GitHub Cap'n-proto Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cap'n-proto Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cap-cds.json b/data/daily/cap-cds.json index e35dcbf14d0f..abde0d01c5b5 100644 --- a/data/daily/cap-cds.json +++ b/data/daily/cap-cds.json @@ -2,6 +2,6 @@ "title": "GitHub Cap-cds Languages Daily Trending", "description": "Daily Trending of Cap-cds Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cap-cds.xml b/data/daily/cap-cds.xml index c8ab2acdefdc..bd15b742c017 100644 --- a/data/daily/cap-cds.xml +++ b/data/daily/cap-cds.xml @@ -3,6 +3,6 @@ GitHub Cap-cds Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cap-cds Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cartocss.json b/data/daily/cartocss.json index 6ca3810f9d85..7fdaf90d104e 100644 --- a/data/daily/cartocss.json +++ b/data/daily/cartocss.json @@ -2,6 +2,6 @@ "title": "GitHub Cartocss Languages Daily Trending", "description": "Daily Trending of Cartocss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cartocss.xml b/data/daily/cartocss.xml index 57037397f892..4cd07958d68e 100644 --- a/data/daily/cartocss.xml +++ b/data/daily/cartocss.xml @@ -3,6 +3,6 @@ GitHub Cartocss Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cartocss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ceylon.json b/data/daily/ceylon.json index 71c8d0a62d68..6482cd1a7e26 100644 --- a/data/daily/ceylon.json +++ b/data/daily/ceylon.json @@ -2,6 +2,6 @@ "title": "GitHub Ceylon Languages Daily Trending", "description": "Daily Trending of Ceylon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ceylon.xml b/data/daily/ceylon.xml index 7e15af20ee62..cba938b4a95f 100644 --- a/data/daily/ceylon.xml +++ b/data/daily/ceylon.xml @@ -3,6 +3,6 @@ GitHub Ceylon Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ceylon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/chapel.json b/data/daily/chapel.json index 6abbcb721e46..b2687a4ac6a1 100644 --- a/data/daily/chapel.json +++ b/data/daily/chapel.json @@ -2,6 +2,6 @@ "title": "GitHub Chapel Languages Daily Trending", "description": "Daily Trending of Chapel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/chapel.xml b/data/daily/chapel.xml index 3db51ed64a48..e5d15609fb91 100644 --- a/data/daily/chapel.xml +++ b/data/daily/chapel.xml @@ -3,6 +3,6 @@ GitHub Chapel Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Chapel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/charity.json b/data/daily/charity.json index 1cc4e5730ef7..318ee171e623 100644 --- a/data/daily/charity.json +++ b/data/daily/charity.json @@ -2,6 +2,6 @@ "title": "GitHub Charity Languages Daily Trending", "description": "Daily Trending of Charity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/charity.xml b/data/daily/charity.xml index bd2cae1f534d..852a9ff3e612 100644 --- a/data/daily/charity.xml +++ b/data/daily/charity.xml @@ -3,6 +3,6 @@ GitHub Charity Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Charity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/checksums.json b/data/daily/checksums.json index 1f9ee8e270de..d467b6fc739a 100644 --- a/data/daily/checksums.json +++ b/data/daily/checksums.json @@ -2,6 +2,6 @@ "title": "GitHub Checksums Languages Daily Trending", "description": "Daily Trending of Checksums Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/checksums.xml b/data/daily/checksums.xml index 47ecbd12f67b..286ab4059760 100644 --- a/data/daily/checksums.xml +++ b/data/daily/checksums.xml @@ -3,6 +3,6 @@ GitHub Checksums Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Checksums Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/chuck.json b/data/daily/chuck.json index f6dc081233d3..59d69d0b0d04 100644 --- a/data/daily/chuck.json +++ b/data/daily/chuck.json @@ -2,6 +2,6 @@ "title": "GitHub Chuck Languages Daily Trending", "description": "Daily Trending of Chuck Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/chuck.xml b/data/daily/chuck.xml index 54327f39ec38..ff9b79058043 100644 --- a/data/daily/chuck.xml +++ b/data/daily/chuck.xml @@ -3,6 +3,6 @@ GitHub Chuck Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Chuck Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cil.json b/data/daily/cil.json index 0a7d7f0b2700..f5be6db0fa99 100644 --- a/data/daily/cil.json +++ b/data/daily/cil.json @@ -2,6 +2,6 @@ "title": "GitHub Cil Languages Daily Trending", "description": "Daily Trending of Cil Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cil.xml b/data/daily/cil.xml index b2833e5d2170..b04c58d3ad7e 100644 --- a/data/daily/cil.xml +++ b/data/daily/cil.xml @@ -3,6 +3,6 @@ GitHub Cil Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cil Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/circom.json b/data/daily/circom.json index 4f0dbd09adfb..3ea39bb850d7 100644 --- a/data/daily/circom.json +++ b/data/daily/circom.json @@ -2,44 +2,6 @@ "title": "GitHub Circom Languages Daily Trending", "description": "Daily Trending of Circom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "iden3/circomlib", - "url": "https://github.com/iden3/circomlib", - "description": "Library of basic circuits for circom", - "language": "Circom", - "languageColor": "#707575", - "stars": "623", - "forks": "213", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4180156?s=40&v=4", - "name": "jbaylina", - "url": "https://github.com/jbaylina" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3520024?s=40&v=4", - "name": "kobigurk", - "url": "https://github.com/kobigurk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34064782?s=40&v=4", - "name": "alrubio", - "url": "https://github.com/alrubio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17317030?s=40&v=4", - "name": "arnaucube", - "url": "https://github.com/arnaucube" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4456749?s=40&v=4", - "name": "brickpop", - "url": "https://github.com/brickpop" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/circom.xml b/data/daily/circom.xml index 7ea802af6e3a..50511355cda8 100644 --- a/data/daily/circom.xml +++ b/data/daily/circom.xml @@ -3,44 +3,6 @@ GitHub Circom Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Circom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - iden3/circomlib - https://github.com/iden3/circomlib - Library of basic circuits for circom - https://github.com/iden3/circomlib - Circom - #707575 - 623 - 213 - 0 - - - https://avatars.githubusercontent.com/u/4180156?s=40&v=4 - jbaylina - https://github.com/jbaylina - - - https://avatars.githubusercontent.com/u/3520024?s=40&v=4 - kobigurk - https://github.com/kobigurk - - - https://avatars.githubusercontent.com/u/34064782?s=40&v=4 - alrubio - https://github.com/alrubio - - - https://avatars.githubusercontent.com/u/17317030?s=40&v=4 - arnaucube - https://github.com/arnaucube - - - https://avatars.githubusercontent.com/u/4456749?s=40&v=4 - brickpop - https://github.com/brickpop - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cirru.json b/data/daily/cirru.json index 677805a564ce..48bf499400fb 100644 --- a/data/daily/cirru.json +++ b/data/daily/cirru.json @@ -2,6 +2,6 @@ "title": "GitHub Cirru Languages Daily Trending", "description": "Daily Trending of Cirru Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cirru.xml b/data/daily/cirru.xml index df87529cc5e8..74495ce68836 100644 --- a/data/daily/cirru.xml +++ b/data/daily/cirru.xml @@ -3,6 +3,6 @@ GitHub Cirru Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cirru Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/clarion.json b/data/daily/clarion.json index 072f9f56b431..7186100542e5 100644 --- a/data/daily/clarion.json +++ b/data/daily/clarion.json @@ -2,6 +2,6 @@ "title": "GitHub Clarion Languages Daily Trending", "description": "Daily Trending of Clarion Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/clarion.xml b/data/daily/clarion.xml index 76128c9fbe48..c3df9a851232 100644 --- a/data/daily/clarion.xml +++ b/data/daily/clarion.xml @@ -3,6 +3,6 @@ GitHub Clarion Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Clarion Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/clarity.json b/data/daily/clarity.json index e1a63b4deac8..7fea8f85478d 100644 --- a/data/daily/clarity.json +++ b/data/daily/clarity.json @@ -2,6 +2,6 @@ "title": "GitHub Clarity Languages Daily Trending", "description": "Daily Trending of Clarity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/clarity.xml b/data/daily/clarity.xml index d718f27e3f2e..a42c7914e5b7 100644 --- a/data/daily/clarity.xml +++ b/data/daily/clarity.xml @@ -3,6 +3,6 @@ GitHub Clarity Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Clarity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/classic-asp.json b/data/daily/classic-asp.json index e794f8bca5c3..26164daacfa4 100644 --- a/data/daily/classic-asp.json +++ b/data/daily/classic-asp.json @@ -2,6 +2,6 @@ "title": "GitHub Classic-asp Languages Daily Trending", "description": "Daily Trending of Classic-asp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/classic-asp.xml b/data/daily/classic-asp.xml index 5fdc4d6ec48d..c06a5b36efa6 100644 --- a/data/daily/classic-asp.xml +++ b/data/daily/classic-asp.xml @@ -3,6 +3,6 @@ GitHub Classic-asp Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Classic-asp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/clean.json b/data/daily/clean.json index 6f627e3d3996..3799fd4eff4b 100644 --- a/data/daily/clean.json +++ b/data/daily/clean.json @@ -2,6 +2,6 @@ "title": "GitHub Clean Languages Daily Trending", "description": "Daily Trending of Clean Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/clean.xml b/data/daily/clean.xml index 71da5a4e3136..c9170fa1f22a 100644 --- a/data/daily/clean.xml +++ b/data/daily/clean.xml @@ -3,6 +3,6 @@ GitHub Clean Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Clean Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/click.json b/data/daily/click.json index 7bb38ee6c2f4..4c8b7af3e63e 100644 --- a/data/daily/click.json +++ b/data/daily/click.json @@ -2,6 +2,6 @@ "title": "GitHub Click Languages Daily Trending", "description": "Daily Trending of Click Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/click.xml b/data/daily/click.xml index a999697eea7e..a24063984025 100644 --- a/data/daily/click.xml +++ b/data/daily/click.xml @@ -3,6 +3,6 @@ GitHub Click Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Click Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/clips.json b/data/daily/clips.json index e7b9f0e3751b..cc97e4f35602 100644 --- a/data/daily/clips.json +++ b/data/daily/clips.json @@ -2,6 +2,6 @@ "title": "GitHub Clips Languages Daily Trending", "description": "Daily Trending of Clips Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/clips.xml b/data/daily/clips.xml index ab60a3099291..a4fd8a4b6f91 100644 --- a/data/daily/clips.xml +++ b/data/daily/clips.xml @@ -3,6 +3,6 @@ GitHub Clips Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Clips Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/clojure.json b/data/daily/clojure.json index 93d9834b91b5..fcae50c7731e 100644 --- a/data/daily/clojure.json +++ b/data/daily/clojure.json @@ -2,7 +2,7 @@ "title": "GitHub Clojure Languages Daily Trending", "description": "Daily Trending of Clojure Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "babashka/babashka", @@ -42,76 +42,39 @@ ] }, { - "title": "clj-kondo/clj-kondo", - "url": "https://github.com/clj-kondo/clj-kondo", - "description": "Static analyzer and linter for Clojure code that sparks joy", - "language": "Clojure", - "languageColor": "#db5855", - "stars": "1,714", - "forks": "291", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/284934?s=40&v=4", - "name": "borkdude", - "url": "https://github.com/borkdude" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7820865?s=40&v=4", - "name": "ericdallo", - "url": "https://github.com/ericdallo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/967328?s=40&v=4", - "name": "lread", - "url": "https://github.com/lread" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29078?s=40&v=4", - "name": "mknoszlig", - "url": "https://github.com/mknoszlig" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/603677?s=40&v=4", - "name": "NoahTheDuke", - "url": "https://github.com/NoahTheDuke" - } - ] - }, - { - "title": "cognitect-labs/test-runner", - "url": "https://github.com/cognitect-labs/test-runner", - "description": "A test runner for clojure.test", + "title": "logseq/logseq", + "url": "https://github.com/logseq/logseq", + "description": "A privacy-first, open-source platform for knowledge management and collaboration. Download link: http://github.com/logseq/logseq/releases. roadmap: http://trello.com/b/8txSM12G/roadmap", "language": "Clojure", "languageColor": "#db5855", - "stars": "288", - "forks": "32", - "addStars": "0", + "stars": "33,199", + "forks": "1,941", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/171129?s=40&v=4", - "name": "puredanger", - "url": "https://github.com/puredanger" + "avatar": "https://avatars.githubusercontent.com/u/479169?s=40&v=4", + "name": "tiensonqin", + "url": "https://github.com/tiensonqin" }, { - "avatar": "https://avatars.githubusercontent.com/u/70788?s=40&v=4", - "name": "levand", - "url": "https://github.com/levand" + "avatar": "https://avatars.githubusercontent.com/u/1779837?s=40&v=4", + "name": "xyhp915", + "url": "https://github.com/xyhp915" }, { - "avatar": "https://avatars.githubusercontent.com/u/6774?s=40&v=4", - "name": "mainej", - "url": "https://github.com/mainej" + "avatar": "https://avatars.githubusercontent.com/u/72891?s=40&v=4", + "name": "andelf", + "url": "https://github.com/andelf" }, { - "avatar": "https://avatars.githubusercontent.com/u/829803?s=40&v=4", - "name": "ghadishayban", - "url": "https://github.com/ghadishayban" + "avatar": "https://avatars.githubusercontent.com/u/10744960?s=40&v=4", + "name": "sprocketc", + "url": "https://github.com/sprocketc" }, { - "avatar": "https://avatars.githubusercontent.com/u/953000?s=40&v=4", - "name": "enaeher", - "url": "https://github.com/enaeher" + "avatar": "https://avatars.githubusercontent.com/u/584378?s=40&v=4", + "name": "pengx17", + "url": "https://github.com/pengx17" } ] }, @@ -121,7 +84,7 @@ "description": "Penpot: The open-source design tool for design and code collaboration", "language": "Clojure", "languageColor": "#db5855", - "stars": "33,895", + "stars": "33,898", "forks": "1,715", "addStars": "22", "contributors": [ @@ -152,51 +115,14 @@ } ] }, - { - "title": "logseq/logseq", - "url": "https://github.com/logseq/logseq", - "description": "A privacy-first, open-source platform for knowledge management and collaboration. Download link: http://github.com/logseq/logseq/releases. roadmap: http://trello.com/b/8txSM12G/roadmap", - "language": "Clojure", - "languageColor": "#db5855", - "stars": "33,193", - "forks": "1,940", - "addStars": "16", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/479169?s=40&v=4", - "name": "tiensonqin", - "url": "https://github.com/tiensonqin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1779837?s=40&v=4", - "name": "xyhp915", - "url": "https://github.com/xyhp915" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/72891?s=40&v=4", - "name": "andelf", - "url": "https://github.com/andelf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10744960?s=40&v=4", - "name": "sprocketc", - "url": "https://github.com/sprocketc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/584378?s=40&v=4", - "name": "pengx17", - "url": "https://github.com/pengx17" - } - ] - }, { "title": "metabase/metabase", "url": "https://github.com/metabase/metabase", "description": "The simplest, fastest way to get business intelligence and analytics to everyone in your company 😋", "language": "Clojure", "languageColor": "#db5855", - "stars": "38,884", - "forks": "5,167", + "stars": "38,890", + "forks": "5,168", "addStars": "9", "contributors": [ { @@ -227,39 +153,224 @@ ] }, { - "title": "clojure/clojurescript", - "url": "https://github.com/clojure/clojurescript", - "description": "Clojure to JS compiler", + "title": "babashka/pods", + "url": "https://github.com/babashka/pods", + "description": "Pods support for JVM and babashka", "language": "Clojure", "languageColor": "#db5855", - "stars": "9,237", - "forks": "788", + "stars": "122", + "forks": "12", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13516?s=40&v=4", - "name": "swannodette", - "url": "https://github.com/swannodette" + "avatar": "https://avatars.githubusercontent.com/u/284934?s=40&v=4", + "name": "borkdude", + "url": "https://github.com/borkdude" }, { - "avatar": "https://avatars.githubusercontent.com/u/1723464?s=40&v=4", - "name": "mfikes", - "url": "https://github.com/mfikes" + "avatar": "https://avatars.githubusercontent.com/u/59748?s=40&v=4", + "name": "cap10morgan", + "url": "https://github.com/cap10morgan" }, { - "avatar": "https://avatars.githubusercontent.com/u/661909?s=40&v=4", - "name": "anmonteiro", - "url": "https://github.com/anmonteiro" + "avatar": "https://avatars.githubusercontent.com/u/358875?s=40&v=4", + "name": "quoll", + "url": "https://github.com/quoll" }, { - "avatar": "https://avatars.githubusercontent.com/u/161217?s=40&v=4", - "name": "brentonashworth", - "url": "https://github.com/brentonashworth" + "avatar": "https://avatars.githubusercontent.com/u/1840522?s=40&v=4", + "name": "prestancedesign", + "url": "https://github.com/prestancedesign" }, { - "avatar": "https://avatars.githubusercontent.com/u/12632?s=40&v=4", - "name": "fogus", - "url": "https://github.com/fogus" + "avatar": "https://avatars.githubusercontent.com/u/8082?s=40&v=4", + "name": "justone", + "url": "https://github.com/justone" + } + ] + }, + { + "title": "tonsky/FiraCode", + "url": "https://github.com/tonsky/FiraCode", + "description": "Free monospaced font with programming ligatures", + "language": "Clojure", + "languageColor": "#db5855", + "stars": "77,388", + "forks": "3,106", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/285292?s=40&v=4", + "name": "tonsky", + "url": "https://github.com/tonsky" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7355414?s=40&v=4", + "name": "thundernixon", + "url": "https://github.com/thundernixon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2119212?s=40&v=4", + "name": "jsoref", + "url": "https://github.com/jsoref" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/381361?s=40&v=4", + "name": "joshka", + "url": "https://github.com/joshka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/261579?s=40&v=4", + "name": "davelab6", + "url": "https://github.com/davelab6" + } + ] + }, + { + "title": "instantdb/instant", + "url": "https://github.com/instantdb/instant", + "description": "Instant is a modern Firebase. We make you productive by giving your frontend a real-time database.", + "language": "Clojure", + "languageColor": "#db5855", + "stars": "6,670", + "forks": "184", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/476818?s=40&v=4", + "name": "dwwoelfel", + "url": "https://github.com/dwwoelfel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/984574?s=40&v=4", + "name": "stopachka", + "url": "https://github.com/stopachka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1624703?s=40&v=4", + "name": "markyfyi", + "url": "https://github.com/markyfyi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4055971?s=40&v=4", + "name": "nezaj", + "url": "https://github.com/nezaj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5264279?s=40&v=4", + "name": "reichert621", + "url": "https://github.com/reichert621" + } + ] + }, + { + "title": "status-im/status-mobile", + "url": "https://github.com/status-im/status-mobile", + "description": "a free (libre) open source, mobile OS for Ethereum", + "language": "Clojure", + "languageColor": "#db5855", + "stars": "3,914", + "forks": "984", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2364994?s=40&v=4", + "name": "rasom", + "url": "https://github.com/rasom" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11790366?s=40&v=4", + "name": "flexsurfer", + "url": "https://github.com/flexsurfer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2212681?s=40&v=4", + "name": "jakubgs", + "url": "https://github.com/jakubgs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1017008?s=40&v=4", + "name": "cammellos", + "url": "https://github.com/cammellos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4557972?s=40&v=4", + "name": "churik", + "url": "https://github.com/churik" + } + ] + }, + { + "title": "cognitect-labs/test-runner", + "url": "https://github.com/cognitect-labs/test-runner", + "description": "A test runner for clojure.test", + "language": "Clojure", + "languageColor": "#db5855", + "stars": "288", + "forks": "32", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/171129?s=40&v=4", + "name": "puredanger", + "url": "https://github.com/puredanger" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70788?s=40&v=4", + "name": "levand", + "url": "https://github.com/levand" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6774?s=40&v=4", + "name": "mainej", + "url": "https://github.com/mainej" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/829803?s=40&v=4", + "name": "ghadishayban", + "url": "https://github.com/ghadishayban" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/953000?s=40&v=4", + "name": "enaeher", + "url": "https://github.com/enaeher" + } + ] + }, + { + "title": "wilkerlucio/pathom3", + "url": "https://github.com/wilkerlucio/pathom3", + "description": "Interface with complex data via graph mapping.", + "language": "Clojure", + "languageColor": "#db5855", + "stars": "377", + "forks": "31", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25736?s=40&v=4", + "name": "wilkerlucio", + "url": "https://github.com/wilkerlucio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1674699?s=40&v=4", + "name": "rodolfo42", + "url": "https://github.com/rodolfo42" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5856011?s=40&v=4", + "name": "dehli", + "url": "https://github.com/dehli" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/333974?s=40&v=4", + "name": "eneroth", + "url": "https://github.com/eneroth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3241703?s=40&v=4", + "name": "souenzzo", + "url": "https://github.com/souenzzo" } ] }, @@ -301,39 +412,39 @@ ] }, { - "title": "tonsky/FiraCode", - "url": "https://github.com/tonsky/FiraCode", - "description": "Free monospaced font with programming ligatures", + "title": "clj-kondo/clj-kondo", + "url": "https://github.com/clj-kondo/clj-kondo", + "description": "Static analyzer and linter for Clojure code that sparks joy", "language": "Clojure", "languageColor": "#db5855", - "stars": "77,385", - "forks": "3,106", - "addStars": "8", + "stars": "1,714", + "forks": "292", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/285292?s=40&v=4", - "name": "tonsky", - "url": "https://github.com/tonsky" + "avatar": "https://avatars.githubusercontent.com/u/284934?s=40&v=4", + "name": "borkdude", + "url": "https://github.com/borkdude" }, { - "avatar": "https://avatars.githubusercontent.com/u/7355414?s=40&v=4", - "name": "thundernixon", - "url": "https://github.com/thundernixon" + "avatar": "https://avatars.githubusercontent.com/u/7820865?s=40&v=4", + "name": "ericdallo", + "url": "https://github.com/ericdallo" }, { - "avatar": "https://avatars.githubusercontent.com/u/2119212?s=40&v=4", - "name": "jsoref", - "url": "https://github.com/jsoref" + "avatar": "https://avatars.githubusercontent.com/u/967328?s=40&v=4", + "name": "lread", + "url": "https://github.com/lread" }, { - "avatar": "https://avatars.githubusercontent.com/u/381361?s=40&v=4", - "name": "joshka", - "url": "https://github.com/joshka" + "avatar": "https://avatars.githubusercontent.com/u/29078?s=40&v=4", + "name": "mknoszlig", + "url": "https://github.com/mknoszlig" }, { - "avatar": "https://avatars.githubusercontent.com/u/261579?s=40&v=4", - "name": "davelab6", - "url": "https://github.com/davelab6" + "avatar": "https://avatars.githubusercontent.com/u/603677?s=40&v=4", + "name": "NoahTheDuke", + "url": "https://github.com/NoahTheDuke" } ] } diff --git a/data/daily/clojure.xml b/data/daily/clojure.xml index 790884307665..c6fd4f711afd 100644 --- a/data/daily/clojure.xml +++ b/data/daily/clojure.xml @@ -3,7 +3,7 @@ GitHub Clojure Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Clojure Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT babashka/babashka https://github.com/babashka/babashka @@ -43,78 +43,40 @@ - clj-kondo/clj-kondo - https://github.com/clj-kondo/clj-kondo - Static analyzer and linter for Clojure code that sparks joy - https://github.com/clj-kondo/clj-kondo - Clojure - #db5855 - 1,714 - 291 - 0 - - - https://avatars.githubusercontent.com/u/284934?s=40&v=4 - borkdude - https://github.com/borkdude - - - https://avatars.githubusercontent.com/u/7820865?s=40&v=4 - ericdallo - https://github.com/ericdallo - - - https://avatars.githubusercontent.com/u/967328?s=40&v=4 - lread - https://github.com/lread - - - https://avatars.githubusercontent.com/u/29078?s=40&v=4 - mknoszlig - https://github.com/mknoszlig - - - https://avatars.githubusercontent.com/u/603677?s=40&v=4 - NoahTheDuke - https://github.com/NoahTheDuke - - - - - cognitect-labs/test-runner - https://github.com/cognitect-labs/test-runner - A test runner for clojure.test - https://github.com/cognitect-labs/test-runner + logseq/logseq + https://github.com/logseq/logseq + A privacy-first, open-source platform for knowledge management and collaboration. Download link: http://github.com/logseq/logseq/releases. roadmap: http://trello.com/b/8txSM12G/roadmap + https://github.com/logseq/logseq Clojure #db5855 - 288 - 32 - 0 + 33,199 + 1,941 + 16 - https://avatars.githubusercontent.com/u/171129?s=40&v=4 - puredanger - https://github.com/puredanger + https://avatars.githubusercontent.com/u/479169?s=40&v=4 + tiensonqin + https://github.com/tiensonqin - https://avatars.githubusercontent.com/u/70788?s=40&v=4 - levand - https://github.com/levand + https://avatars.githubusercontent.com/u/1779837?s=40&v=4 + xyhp915 + https://github.com/xyhp915 - https://avatars.githubusercontent.com/u/6774?s=40&v=4 - mainej - https://github.com/mainej + https://avatars.githubusercontent.com/u/72891?s=40&v=4 + andelf + https://github.com/andelf - https://avatars.githubusercontent.com/u/829803?s=40&v=4 - ghadishayban - https://github.com/ghadishayban + https://avatars.githubusercontent.com/u/10744960?s=40&v=4 + sprocketc + https://github.com/sprocketc - https://avatars.githubusercontent.com/u/953000?s=40&v=4 - enaeher - https://github.com/enaeher + https://avatars.githubusercontent.com/u/584378?s=40&v=4 + pengx17 + https://github.com/pengx17 @@ -125,7 +87,7 @@ https://github.com/penpot/penpot Clojure #db5855 - 33,895 + 33,898 1,715 22 @@ -156,44 +118,6 @@ - - logseq/logseq - https://github.com/logseq/logseq - A privacy-first, open-source platform for knowledge management and collaboration. Download link: http://github.com/logseq/logseq/releases. roadmap: http://trello.com/b/8txSM12G/roadmap - https://github.com/logseq/logseq - Clojure - #db5855 - 33,193 - 1,940 - 16 - - - https://avatars.githubusercontent.com/u/479169?s=40&v=4 - tiensonqin - https://github.com/tiensonqin - - - https://avatars.githubusercontent.com/u/1779837?s=40&v=4 - xyhp915 - https://github.com/xyhp915 - - - https://avatars.githubusercontent.com/u/72891?s=40&v=4 - andelf - https://github.com/andelf - - - https://avatars.githubusercontent.com/u/10744960?s=40&v=4 - sprocketc - https://github.com/sprocketc - - - https://avatars.githubusercontent.com/u/584378?s=40&v=4 - pengx17 - https://github.com/pengx17 - - - metabase/metabase https://github.com/metabase/metabase @@ -201,8 +125,8 @@ https://github.com/metabase/metabase Clojure #db5855 - 38,884 - 5,167 + 38,890 + 5,168 9 @@ -233,40 +157,230 @@ - clojure/clojurescript - https://github.com/clojure/clojurescript - Clojure to JS compiler - https://github.com/clojure/clojurescript + babashka/pods + https://github.com/babashka/pods + Pods support for JVM and babashka + https://github.com/babashka/pods Clojure #db5855 - 9,237 - 788 + 122 + 12 0 - https://avatars.githubusercontent.com/u/13516?s=40&v=4 - swannodette - https://github.com/swannodette + https://avatars.githubusercontent.com/u/284934?s=40&v=4 + borkdude + https://github.com/borkdude - https://avatars.githubusercontent.com/u/1723464?s=40&v=4 - mfikes - https://github.com/mfikes + https://avatars.githubusercontent.com/u/59748?s=40&v=4 + cap10morgan + https://github.com/cap10morgan - https://avatars.githubusercontent.com/u/661909?s=40&v=4 - anmonteiro - https://github.com/anmonteiro + https://avatars.githubusercontent.com/u/358875?s=40&v=4 + quoll + https://github.com/quoll - https://avatars.githubusercontent.com/u/161217?s=40&v=4 - brentonashworth - https://github.com/brentonashworth + https://avatars.githubusercontent.com/u/1840522?s=40&v=4 + prestancedesign + https://github.com/prestancedesign - https://avatars.githubusercontent.com/u/12632?s=40&v=4 - fogus - https://github.com/fogus + https://avatars.githubusercontent.com/u/8082?s=40&v=4 + justone + https://github.com/justone + + + + + tonsky/FiraCode + https://github.com/tonsky/FiraCode + Free monospaced font with programming ligatures + https://github.com/tonsky/FiraCode + Clojure + #db5855 + 77,388 + 3,106 + 8 + + + https://avatars.githubusercontent.com/u/285292?s=40&v=4 + tonsky + https://github.com/tonsky + + + https://avatars.githubusercontent.com/u/7355414?s=40&v=4 + thundernixon + https://github.com/thundernixon + + + https://avatars.githubusercontent.com/u/2119212?s=40&v=4 + jsoref + https://github.com/jsoref + + + https://avatars.githubusercontent.com/u/381361?s=40&v=4 + joshka + https://github.com/joshka + + + https://avatars.githubusercontent.com/u/261579?s=40&v=4 + davelab6 + https://github.com/davelab6 + + + + + instantdb/instant + https://github.com/instantdb/instant + Instant is a modern Firebase. We make you productive by giving your frontend a real-time database. + https://github.com/instantdb/instant + Clojure + #db5855 + 6,670 + 184 + 1 + + + https://avatars.githubusercontent.com/u/476818?s=40&v=4 + dwwoelfel + https://github.com/dwwoelfel + + + https://avatars.githubusercontent.com/u/984574?s=40&v=4 + stopachka + https://github.com/stopachka + + + https://avatars.githubusercontent.com/u/1624703?s=40&v=4 + markyfyi + https://github.com/markyfyi + + + https://avatars.githubusercontent.com/u/4055971?s=40&v=4 + nezaj + https://github.com/nezaj + + + https://avatars.githubusercontent.com/u/5264279?s=40&v=4 + reichert621 + https://github.com/reichert621 + + + + + status-im/status-mobile + https://github.com/status-im/status-mobile + a free (libre) open source, mobile OS for Ethereum + https://github.com/status-im/status-mobile + Clojure + #db5855 + 3,914 + 984 + 2 + + + https://avatars.githubusercontent.com/u/2364994?s=40&v=4 + rasom + https://github.com/rasom + + + https://avatars.githubusercontent.com/u/11790366?s=40&v=4 + flexsurfer + https://github.com/flexsurfer + + + https://avatars.githubusercontent.com/u/2212681?s=40&v=4 + jakubgs + https://github.com/jakubgs + + + https://avatars.githubusercontent.com/u/1017008?s=40&v=4 + cammellos + https://github.com/cammellos + + + https://avatars.githubusercontent.com/u/4557972?s=40&v=4 + churik + https://github.com/churik + + + + + cognitect-labs/test-runner + https://github.com/cognitect-labs/test-runner + A test runner for clojure.test + https://github.com/cognitect-labs/test-runner + Clojure + #db5855 + 288 + 32 + 0 + + + https://avatars.githubusercontent.com/u/171129?s=40&v=4 + puredanger + https://github.com/puredanger + + + https://avatars.githubusercontent.com/u/70788?s=40&v=4 + levand + https://github.com/levand + + + https://avatars.githubusercontent.com/u/6774?s=40&v=4 + mainej + https://github.com/mainej + + + https://avatars.githubusercontent.com/u/829803?s=40&v=4 + ghadishayban + https://github.com/ghadishayban + + + https://avatars.githubusercontent.com/u/953000?s=40&v=4 + enaeher + https://github.com/enaeher + + + + + wilkerlucio/pathom3 + https://github.com/wilkerlucio/pathom3 + Interface with complex data via graph mapping. + https://github.com/wilkerlucio/pathom3 + Clojure + #db5855 + 377 + 31 + 0 + + + https://avatars.githubusercontent.com/u/25736?s=40&v=4 + wilkerlucio + https://github.com/wilkerlucio + + + https://avatars.githubusercontent.com/u/1674699?s=40&v=4 + rodolfo42 + https://github.com/rodolfo42 + + + https://avatars.githubusercontent.com/u/5856011?s=40&v=4 + dehli + https://github.com/dehli + + + https://avatars.githubusercontent.com/u/333974?s=40&v=4 + eneroth + https://github.com/eneroth + + + https://avatars.githubusercontent.com/u/3241703?s=40&v=4 + souenzzo + https://github.com/souenzzo @@ -309,40 +423,40 @@ - tonsky/FiraCode - https://github.com/tonsky/FiraCode - Free monospaced font with programming ligatures - https://github.com/tonsky/FiraCode + clj-kondo/clj-kondo + https://github.com/clj-kondo/clj-kondo + Static analyzer and linter for Clojure code that sparks joy + https://github.com/clj-kondo/clj-kondo Clojure #db5855 - 77,385 - 3,106 - 8 + 1,714 + 292 + 0 - https://avatars.githubusercontent.com/u/285292?s=40&v=4 - tonsky - https://github.com/tonsky + https://avatars.githubusercontent.com/u/284934?s=40&v=4 + borkdude + https://github.com/borkdude - https://avatars.githubusercontent.com/u/7355414?s=40&v=4 - thundernixon - https://github.com/thundernixon + https://avatars.githubusercontent.com/u/7820865?s=40&v=4 + ericdallo + https://github.com/ericdallo - https://avatars.githubusercontent.com/u/2119212?s=40&v=4 - jsoref - https://github.com/jsoref + https://avatars.githubusercontent.com/u/967328?s=40&v=4 + lread + https://github.com/lread - https://avatars.githubusercontent.com/u/381361?s=40&v=4 - joshka - https://github.com/joshka + https://avatars.githubusercontent.com/u/29078?s=40&v=4 + mknoszlig + https://github.com/mknoszlig - https://avatars.githubusercontent.com/u/261579?s=40&v=4 - davelab6 - https://github.com/davelab6 + https://avatars.githubusercontent.com/u/603677?s=40&v=4 + NoahTheDuke + https://github.com/NoahTheDuke diff --git a/data/daily/closure-templates.json b/data/daily/closure-templates.json index 686c5e2a8592..66e6cfe3d608 100644 --- a/data/daily/closure-templates.json +++ b/data/daily/closure-templates.json @@ -2,6 +2,6 @@ "title": "GitHub Closure-templates Languages Daily Trending", "description": "Daily Trending of Closure-templates Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/closure-templates.xml b/data/daily/closure-templates.xml index 673cf80e7224..58139a343d80 100644 --- a/data/daily/closure-templates.xml +++ b/data/daily/closure-templates.xml @@ -3,6 +3,6 @@ GitHub Closure-templates Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Closure-templates Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cloud-firestore-security-rules.json b/data/daily/cloud-firestore-security-rules.json index 38aa35858a6f..6274f1092e9c 100644 --- a/data/daily/cloud-firestore-security-rules.json +++ b/data/daily/cloud-firestore-security-rules.json @@ -2,6 +2,6 @@ "title": "GitHub Cloud-firestore-security-rules Languages Daily Trending", "description": "Daily Trending of Cloud-firestore-security-rules Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cloud-firestore-security-rules.xml b/data/daily/cloud-firestore-security-rules.xml index dd084c5d0643..f97f27447eb2 100644 --- a/data/daily/cloud-firestore-security-rules.xml +++ b/data/daily/cloud-firestore-security-rules.xml @@ -3,6 +3,6 @@ GitHub Cloud-firestore-security-rules Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cloud-firestore-security-rules Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cmake.json b/data/daily/cmake.json index 9e707daae2d7..ae915b1a6ed5 100644 --- a/data/daily/cmake.json +++ b/data/daily/cmake.json @@ -2,16 +2,48 @@ "title": "GitHub Cmake Languages Daily Trending", "description": "Daily Trending of Cmake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "TheRobotStudio/SO-ARM100", + "url": "https://github.com/TheRobotStudio/SO-ARM100", + "description": "Standard Open Arm 100", + "language": "CMake", + "languageColor": "#DA3434", + "stars": "405", + "forks": "29", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5095811?s=40&v=4", + "name": "TheRobotStudio", + "url": "https://github.com/TheRobotStudio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65085638?s=40&v=4", + "name": "jess-moss", + "url": "https://github.com/jess-moss" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4681518?s=40&v=4", + "name": "Cadene", + "url": "https://github.com/Cadene" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/75076266?s=40&v=4", + "name": "aliberts", + "url": "https://github.com/aliberts" + } + ] + }, { "title": "microsoft/vcpkg", "url": "https://github.com/microsoft/vcpkg", "description": "C++ Library Manager for Windows, Linux, and MacOS", "language": "CMake", "languageColor": "#DA3434", - "stars": "23,330", - "forks": "6,445", + "stars": "23,332", + "forks": "6,447", "addStars": "4", "contributors": [ { @@ -42,135 +74,187 @@ ] }, { - "title": "shinchiro/mpv-winbuild-cmake", - "url": "https://github.com/shinchiro/mpv-winbuild-cmake", - "description": "CMake-based MinGW-w64 Cross Toolchain", + "title": "abdes/cryptopp-cmake", + "url": "https://github.com/abdes/cryptopp-cmake", + "description": "A modern CMake build project for Crypto++ (https://github.com/weidai11/cryptopp).", "language": "CMake", "languageColor": "#DA3434", - "stars": "661", - "forks": "118", - "addStars": "1", + "stars": "120", + "forks": "43", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9623615?s=40&v=4", - "name": "shinchiro", - "url": "https://github.com/shinchiro" + "avatar": "https://avatars.githubusercontent.com/u/457645?s=40&v=4", + "name": "abdes", + "url": "https://github.com/abdes" }, { - "avatar": "https://avatars.githubusercontent.com/u/652892?s=40&v=4", - "name": "mia-0", - "url": "https://github.com/mia-0" + "avatar": "https://avatars.githubusercontent.com/u/17051197?s=40&v=4", + "name": "Vollstrecker", + "url": "https://github.com/Vollstrecker" }, { - "avatar": "https://avatars.githubusercontent.com/u/144242044?s=40&v=4", - "name": "Andarwinux", - "url": "https://github.com/Andarwinux" + "avatar": "https://avatars.githubusercontent.com/u/15180557?s=40&v=4", + "name": "chausner", + "url": "https://github.com/chausner" }, { - "avatar": "https://avatars.githubusercontent.com/u/11155705?s=40&v=4", - "name": "zhongfly", - "url": "https://github.com/zhongfly" + "avatar": "https://avatars.githubusercontent.com/u/752322?s=40&v=4", + "name": "botanegg", + "url": "https://github.com/botanegg" }, { - "avatar": "https://avatars.githubusercontent.com/u/17702502?s=40&v=4", - "name": "myfreeer", - "url": "https://github.com/myfreeer" + "avatar": "https://avatars.githubusercontent.com/u/489494?s=40&v=4", + "name": "fwosar", + "url": "https://github.com/fwosar" } ] }, { - "title": "TheRobotStudio/SO-ARM100", - "url": "https://github.com/TheRobotStudio/SO-ARM100", - "description": "Standard Open Arm 100", + "title": "ament/ament_cmake", + "url": "https://github.com/ament/ament_cmake", + "description": "Supporting CMake packages for working with ament", "language": "CMake", "languageColor": "#DA3434", - "stars": "403", - "forks": "28", - "addStars": "7", + "stars": "103", + "forks": "126", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5095811?s=40&v=4", - "name": "TheRobotStudio", - "url": "https://github.com/TheRobotStudio" + "avatar": "https://avatars.githubusercontent.com/u/1335366?s=40&v=4", + "name": "dirk-thomas", + "url": "https://github.com/dirk-thomas" }, { - "avatar": "https://avatars.githubusercontent.com/u/65085638?s=40&v=4", - "name": "jess-moss", - "url": "https://github.com/jess-moss" + "avatar": "https://avatars.githubusercontent.com/u/163000?s=40&v=4", + "name": "clalancette", + "url": "https://github.com/clalancette" }, { - "avatar": "https://avatars.githubusercontent.com/u/4681518?s=40&v=4", - "name": "Cadene", - "url": "https://github.com/Cadene" + "avatar": "https://avatars.githubusercontent.com/u/100427?s=40&v=4", + "name": "wjwwood", + "url": "https://github.com/wjwwood" }, { - "avatar": "https://avatars.githubusercontent.com/u/75076266?s=40&v=4", - "name": "aliberts", - "url": "https://github.com/aliberts" + "avatar": "https://avatars.githubusercontent.com/u/1144588?s=40&v=4", + "name": "cottsay", + "url": "https://github.com/cottsay" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13500507?s=40&v=4", + "name": "hidmic", + "url": "https://github.com/hidmic" + } + ] + }, + { + "title": "flathub/shared-modules", + "url": "https://github.com/flathub/shared-modules", + "description": "Common Flatpak modules that can be used as a git submodule", + "language": "CMake", + "languageColor": "#DA3434", + "stars": "115", + "forks": "89", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/114441?s=40&v=4", + "name": "hfiguiere", + "url": "https://github.com/hfiguiere" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33983090?s=40&v=4", + "name": "Eonfge", + "url": "https://github.com/Eonfge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/798838?s=40&v=4", + "name": "TingPing", + "url": "https://github.com/TingPing" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/380403?s=40&v=4", + "name": "barthalion", + "url": "https://github.com/barthalion" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/62639087?s=40&v=4", + "name": "bbhtt", + "url": "https://github.com/bbhtt" } ] }, { - "title": "steinbergmedia/vst3sdk", - "url": "https://github.com/steinbergmedia/vst3sdk", - "description": "VST 3 Plug-In SDK", + "title": "shinchiro/mpv-winbuild-cmake", + "url": "https://github.com/shinchiro/mpv-winbuild-cmake", + "description": "CMake-based MinGW-w64 Cross Toolchain", "language": "CMake", "languageColor": "#DA3434", - "stars": "1,649", - "forks": "162", + "stars": "661", + "forks": "118", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16340258?s=40&v=4", - "name": "ygrabit", - "url": "https://github.com/ygrabit" + "avatar": "https://avatars.githubusercontent.com/u/9623615?s=40&v=4", + "name": "shinchiro", + "url": "https://github.com/shinchiro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/652892?s=40&v=4", + "name": "mia-0", + "url": "https://github.com/mia-0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/144242044?s=40&v=4", + "name": "Andarwinux", + "url": "https://github.com/Andarwinux" }, { - "avatar": "https://avatars.githubusercontent.com/u/5485512?s=40&v=4", - "name": "scheffle", - "url": "https://github.com/scheffle" + "avatar": "https://avatars.githubusercontent.com/u/11155705?s=40&v=4", + "name": "zhongfly", + "url": "https://github.com/zhongfly" }, { - "avatar": "https://avatars.githubusercontent.com/u/22274925?s=40&v=4", - "name": "Msixty7", - "url": "https://github.com/Msixty7" + "avatar": "https://avatars.githubusercontent.com/u/17702502?s=40&v=4", + "name": "myfreeer", + "url": "https://github.com/myfreeer" } ] }, { - "title": "arsenm/sanitizers-cmake", - "url": "https://github.com/arsenm/sanitizers-cmake", - "description": "CMake modules to help use sanitizers", + "title": "ros-planning/navigation_msgs", + "url": "https://github.com/ros-planning/navigation_msgs", + "description": "Message packages required by the navigation stack", "language": "CMake", "languageColor": "#DA3434", - "stars": "378", - "forks": "65", + "stars": "42", + "forks": "53", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6250567?s=40&v=4", - "name": "alehaa", - "url": "https://github.com/alehaa" + "avatar": "https://avatars.githubusercontent.com/u/899410?s=40&v=4", + "name": "mikeferguson", + "url": "https://github.com/mikeferguson" }, { - "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", - "name": "arsenm", - "url": "https://github.com/arsenm" + "avatar": "https://avatars.githubusercontent.com/u/390278?s=40&v=4", + "name": "stephanemagnenat", + "url": "https://github.com/stephanemagnenat" }, { - "avatar": "https://avatars.githubusercontent.com/u/45819833?s=40&v=4", - "name": "MiguelBarro", - "url": "https://github.com/MiguelBarro" + "avatar": "https://avatars.githubusercontent.com/u/1016143?s=40&v=4", + "name": "DLu", + "url": "https://github.com/DLu" }, { - "avatar": "https://avatars.githubusercontent.com/u/775309?s=40&v=4", - "name": "smspillaz", - "url": "https://github.com/smspillaz" + "avatar": "https://avatars.githubusercontent.com/u/100427?s=40&v=4", + "name": "wjwwood", + "url": "https://github.com/wjwwood" }, { - "avatar": "https://avatars.githubusercontent.com/u/661636?s=40&v=4", - "name": "LeSpocky", - "url": "https://github.com/LeSpocky" + "avatar": "https://avatars.githubusercontent.com/u/4010770?s=40&v=4", + "name": "PeterMitrano", + "url": "https://github.com/PeterMitrano" } ] }, @@ -212,145 +296,76 @@ ] }, { - "title": "editorconfig/editorconfig-core-test", - "url": "https://github.com/editorconfig/editorconfig-core-test", - "description": "Testings for EditorConfig Core", - "language": "CMake", - "languageColor": "#DA3434", - "stars": "28", - "forks": "22", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/325476?s=40&v=4", - "name": "xuhdev", - "url": "https://github.com/xuhdev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/285352?s=40&v=4", - "name": "treyhunner", - "url": "https://github.com/treyhunner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/137?s=40&v=4", - "name": "josh", - "url": "https://github.com/josh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1826249?s=40&v=4", - "name": "ppalaga", - "url": "https://github.com/ppalaga" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1058243?s=40&v=4", - "name": "jednano", - "url": "https://github.com/jednano" - } - ] - }, - { - "title": "minecraft-linux/mcpelauncher-manifest", - "url": "https://github.com/minecraft-linux/mcpelauncher-manifest", - "description": "The main repository for the Linux and Mac OS Bedrock edition Minecraft launcher.", + "title": "corrosion-rs/corrosion", + "url": "https://github.com/corrosion-rs/corrosion", + "description": "Marrying Rust and CMake - Easy Rust and C/C++ Integration!", "language": "CMake", "languageColor": "#DA3434", - "stars": "981", - "forks": "100", + "stars": "1,102", + "forks": "106", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5191659?s=40&v=4", - "name": "MCMrARM", - "url": "https://github.com/MCMrARM" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44845461?s=40&v=4", - "name": "ChristopherHX", - "url": "https://github.com/ChristopherHX" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/85067619?s=40&v=4", - "name": "GameParrot", - "url": "https://github.com/GameParrot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42083774?s=40&v=4", - "name": "0xCatPKG", - "url": "https://github.com/0xCatPKG" - } - ] - }, - { - "title": "ttroy50/cmake-examples", - "url": "https://github.com/ttroy50/cmake-examples", - "description": "Useful CMake Examples", - "language": "CMake", - "languageColor": "#DA3434", - "stars": "12,432", - "forks": "2,495", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2407079?s=40&v=4", - "name": "ttroy50", - "url": "https://github.com/ttroy50" + "avatar": "https://avatars.githubusercontent.com/u/55576758?s=40&v=4", + "name": "jschwe", + "url": "https://github.com/jschwe" }, { - "avatar": "https://avatars.githubusercontent.com/u/3665590?s=40&v=4", - "name": "playgithub", - "url": "https://github.com/playgithub" + "avatar": "https://avatars.githubusercontent.com/u/2292643?s=40&v=4", + "name": "AndrewGaspar", + "url": "https://github.com/AndrewGaspar" }, { - "avatar": "https://avatars.githubusercontent.com/u/7579804?s=40&v=4", - "name": "aaronang", - "url": "https://github.com/aaronang" + "avatar": "https://avatars.githubusercontent.com/u/1486?s=40&v=4", + "name": "tronical", + "url": "https://github.com/tronical" }, { - "avatar": "https://avatars.githubusercontent.com/u/7891852?s=40&v=4", - "name": "henrytien", - "url": "https://github.com/henrytien" + "avatar": "https://avatars.githubusercontent.com/u/959326?s=40&v=4", + "name": "ogoffart", + "url": "https://github.com/ogoffart" }, { - "avatar": "https://avatars.githubusercontent.com/u/319796?s=40&v=4", - "name": "panovr", - "url": "https://github.com/panovr" + "avatar": "https://avatars.githubusercontent.com/u/60313747?s=40&v=4", + "name": "yuval-nextsilicon", + "url": "https://github.com/yuval-nextsilicon" } ] }, { - "title": "flathub/shared-modules", - "url": "https://github.com/flathub/shared-modules", - "description": "Common Flatpak modules that can be used as a git submodule", + "title": "arsenm/sanitizers-cmake", + "url": "https://github.com/arsenm/sanitizers-cmake", + "description": "CMake modules to help use sanitizers", "language": "CMake", "languageColor": "#DA3434", - "stars": "115", - "forks": "89", + "stars": "378", + "forks": "65", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/114441?s=40&v=4", - "name": "hfiguiere", - "url": "https://github.com/hfiguiere" + "avatar": "https://avatars.githubusercontent.com/u/6250567?s=40&v=4", + "name": "alehaa", + "url": "https://github.com/alehaa" }, { - "avatar": "https://avatars.githubusercontent.com/u/33983090?s=40&v=4", - "name": "Eonfge", - "url": "https://github.com/Eonfge" + "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", + "name": "arsenm", + "url": "https://github.com/arsenm" }, { - "avatar": "https://avatars.githubusercontent.com/u/798838?s=40&v=4", - "name": "TingPing", - "url": "https://github.com/TingPing" + "avatar": "https://avatars.githubusercontent.com/u/45819833?s=40&v=4", + "name": "MiguelBarro", + "url": "https://github.com/MiguelBarro" }, { - "avatar": "https://avatars.githubusercontent.com/u/380403?s=40&v=4", - "name": "barthalion", - "url": "https://github.com/barthalion" + "avatar": "https://avatars.githubusercontent.com/u/775309?s=40&v=4", + "name": "smspillaz", + "url": "https://github.com/smspillaz" }, { - "avatar": "https://avatars.githubusercontent.com/u/62639087?s=40&v=4", - "name": "bbhtt", - "url": "https://github.com/bbhtt" + "avatar": "https://avatars.githubusercontent.com/u/661636?s=40&v=4", + "name": "LeSpocky", + "url": "https://github.com/LeSpocky" } ] } diff --git a/data/daily/cmake.xml b/data/daily/cmake.xml index 570036a106a2..60e3b07d113b 100644 --- a/data/daily/cmake.xml +++ b/data/daily/cmake.xml @@ -3,7 +3,40 @@ GitHub Cmake Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cmake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + TheRobotStudio/SO-ARM100 + https://github.com/TheRobotStudio/SO-ARM100 + Standard Open Arm 100 + https://github.com/TheRobotStudio/SO-ARM100 + CMake + #DA3434 + 405 + 29 + 7 + + + https://avatars.githubusercontent.com/u/5095811?s=40&v=4 + TheRobotStudio + https://github.com/TheRobotStudio + + + https://avatars.githubusercontent.com/u/65085638?s=40&v=4 + jess-moss + https://github.com/jess-moss + + + https://avatars.githubusercontent.com/u/4681518?s=40&v=4 + Cadene + https://github.com/Cadene + + + https://avatars.githubusercontent.com/u/75076266?s=40&v=4 + aliberts + https://github.com/aliberts + + + microsoft/vcpkg https://github.com/microsoft/vcpkg @@ -11,8 +44,8 @@ https://github.com/microsoft/vcpkg CMake #DA3434 - 23,330 - 6,445 + 23,332 + 6,447 4 @@ -43,139 +76,192 @@ - shinchiro/mpv-winbuild-cmake - https://github.com/shinchiro/mpv-winbuild-cmake - CMake-based MinGW-w64 Cross Toolchain - https://github.com/shinchiro/mpv-winbuild-cmake + abdes/cryptopp-cmake + https://github.com/abdes/cryptopp-cmake + A modern CMake build project for Crypto++ (https://github.com/weidai11/cryptopp). + https://github.com/abdes/cryptopp-cmake CMake #DA3434 - 661 - 118 - 1 + 120 + 43 + 0 - https://avatars.githubusercontent.com/u/9623615?s=40&v=4 - shinchiro - https://github.com/shinchiro + https://avatars.githubusercontent.com/u/457645?s=40&v=4 + abdes + https://github.com/abdes - https://avatars.githubusercontent.com/u/652892?s=40&v=4 - mia-0 - https://github.com/mia-0 + https://avatars.githubusercontent.com/u/17051197?s=40&v=4 + Vollstrecker + https://github.com/Vollstrecker - https://avatars.githubusercontent.com/u/144242044?s=40&v=4 - Andarwinux - https://github.com/Andarwinux + https://avatars.githubusercontent.com/u/15180557?s=40&v=4 + chausner + https://github.com/chausner - https://avatars.githubusercontent.com/u/11155705?s=40&v=4 - zhongfly - https://github.com/zhongfly + https://avatars.githubusercontent.com/u/752322?s=40&v=4 + botanegg + https://github.com/botanegg - https://avatars.githubusercontent.com/u/17702502?s=40&v=4 - myfreeer - https://github.com/myfreeer + https://avatars.githubusercontent.com/u/489494?s=40&v=4 + fwosar + https://github.com/fwosar - TheRobotStudio/SO-ARM100 - https://github.com/TheRobotStudio/SO-ARM100 - Standard Open Arm 100 - https://github.com/TheRobotStudio/SO-ARM100 + ament/ament_cmake + https://github.com/ament/ament_cmake + Supporting CMake packages for working with ament + https://github.com/ament/ament_cmake CMake #DA3434 - 403 - 28 - 7 + 103 + 126 + 0 - https://avatars.githubusercontent.com/u/5095811?s=40&v=4 - TheRobotStudio - https://github.com/TheRobotStudio + https://avatars.githubusercontent.com/u/1335366?s=40&v=4 + dirk-thomas + https://github.com/dirk-thomas - https://avatars.githubusercontent.com/u/65085638?s=40&v=4 - jess-moss - https://github.com/jess-moss + https://avatars.githubusercontent.com/u/163000?s=40&v=4 + clalancette + https://github.com/clalancette - https://avatars.githubusercontent.com/u/4681518?s=40&v=4 - Cadene - https://github.com/Cadene + https://avatars.githubusercontent.com/u/100427?s=40&v=4 + wjwwood + https://github.com/wjwwood - https://avatars.githubusercontent.com/u/75076266?s=40&v=4 - aliberts - https://github.com/aliberts + https://avatars.githubusercontent.com/u/1144588?s=40&v=4 + cottsay + https://github.com/cottsay + + + https://avatars.githubusercontent.com/u/13500507?s=40&v=4 + hidmic + https://github.com/hidmic + + + + + flathub/shared-modules + https://github.com/flathub/shared-modules + Common Flatpak modules that can be used as a git submodule + https://github.com/flathub/shared-modules + CMake + #DA3434 + 115 + 89 + 0 + + + https://avatars.githubusercontent.com/u/114441?s=40&v=4 + hfiguiere + https://github.com/hfiguiere + + + https://avatars.githubusercontent.com/u/33983090?s=40&v=4 + Eonfge + https://github.com/Eonfge + + + https://avatars.githubusercontent.com/u/798838?s=40&v=4 + TingPing + https://github.com/TingPing + + + https://avatars.githubusercontent.com/u/380403?s=40&v=4 + barthalion + https://github.com/barthalion + + + https://avatars.githubusercontent.com/u/62639087?s=40&v=4 + bbhtt + https://github.com/bbhtt - steinbergmedia/vst3sdk - https://github.com/steinbergmedia/vst3sdk - VST 3 Plug-In SDK - https://github.com/steinbergmedia/vst3sdk + shinchiro/mpv-winbuild-cmake + https://github.com/shinchiro/mpv-winbuild-cmake + CMake-based MinGW-w64 Cross Toolchain + https://github.com/shinchiro/mpv-winbuild-cmake CMake #DA3434 - 1,649 - 162 + 661 + 118 1 - https://avatars.githubusercontent.com/u/16340258?s=40&v=4 - ygrabit - https://github.com/ygrabit + https://avatars.githubusercontent.com/u/9623615?s=40&v=4 + shinchiro + https://github.com/shinchiro - https://avatars.githubusercontent.com/u/5485512?s=40&v=4 - scheffle - https://github.com/scheffle + https://avatars.githubusercontent.com/u/652892?s=40&v=4 + mia-0 + https://github.com/mia-0 - https://avatars.githubusercontent.com/u/22274925?s=40&v=4 - Msixty7 - https://github.com/Msixty7 + https://avatars.githubusercontent.com/u/144242044?s=40&v=4 + Andarwinux + https://github.com/Andarwinux + + + https://avatars.githubusercontent.com/u/11155705?s=40&v=4 + zhongfly + https://github.com/zhongfly + + + https://avatars.githubusercontent.com/u/17702502?s=40&v=4 + myfreeer + https://github.com/myfreeer - arsenm/sanitizers-cmake - https://github.com/arsenm/sanitizers-cmake - CMake modules to help use sanitizers - https://github.com/arsenm/sanitizers-cmake + ros-planning/navigation_msgs + https://github.com/ros-planning/navigation_msgs + Message packages required by the navigation stack + https://github.com/ros-planning/navigation_msgs CMake #DA3434 - 378 - 65 + 42 + 53 0 - https://avatars.githubusercontent.com/u/6250567?s=40&v=4 - alehaa - https://github.com/alehaa + https://avatars.githubusercontent.com/u/899410?s=40&v=4 + mikeferguson + https://github.com/mikeferguson - https://avatars.githubusercontent.com/u/138339?s=40&v=4 - arsenm - https://github.com/arsenm + https://avatars.githubusercontent.com/u/390278?s=40&v=4 + stephanemagnenat + https://github.com/stephanemagnenat - https://avatars.githubusercontent.com/u/45819833?s=40&v=4 - MiguelBarro - https://github.com/MiguelBarro + https://avatars.githubusercontent.com/u/1016143?s=40&v=4 + DLu + https://github.com/DLu - https://avatars.githubusercontent.com/u/775309?s=40&v=4 - smspillaz - https://github.com/smspillaz + https://avatars.githubusercontent.com/u/100427?s=40&v=4 + wjwwood + https://github.com/wjwwood - https://avatars.githubusercontent.com/u/661636?s=40&v=4 - LeSpocky - https://github.com/LeSpocky + https://avatars.githubusercontent.com/u/4010770?s=40&v=4 + PeterMitrano + https://github.com/PeterMitrano @@ -218,149 +304,78 @@ - editorconfig/editorconfig-core-test - https://github.com/editorconfig/editorconfig-core-test - Testings for EditorConfig Core - https://github.com/editorconfig/editorconfig-core-test - CMake - #DA3434 - 28 - 22 - 0 - - - https://avatars.githubusercontent.com/u/325476?s=40&v=4 - xuhdev - https://github.com/xuhdev - - - https://avatars.githubusercontent.com/u/285352?s=40&v=4 - treyhunner - https://github.com/treyhunner - - - https://avatars.githubusercontent.com/u/137?s=40&v=4 - josh - https://github.com/josh - - - https://avatars.githubusercontent.com/u/1826249?s=40&v=4 - ppalaga - https://github.com/ppalaga - - - https://avatars.githubusercontent.com/u/1058243?s=40&v=4 - jednano - https://github.com/jednano - - - - - minecraft-linux/mcpelauncher-manifest - https://github.com/minecraft-linux/mcpelauncher-manifest - The main repository for the Linux and Mac OS Bedrock edition Minecraft launcher. - https://github.com/minecraft-linux/mcpelauncher-manifest + corrosion-rs/corrosion + https://github.com/corrosion-rs/corrosion + Marrying Rust and CMake - Easy Rust and C/C++ Integration! + https://github.com/corrosion-rs/corrosion CMake #DA3434 - 981 - 100 + 1,102 + 106 1 - https://avatars.githubusercontent.com/u/5191659?s=40&v=4 - MCMrARM - https://github.com/MCMrARM + https://avatars.githubusercontent.com/u/55576758?s=40&v=4 + jschwe + https://github.com/jschwe - https://avatars.githubusercontent.com/u/44845461?s=40&v=4 - ChristopherHX - https://github.com/ChristopherHX + https://avatars.githubusercontent.com/u/2292643?s=40&v=4 + AndrewGaspar + https://github.com/AndrewGaspar - https://avatars.githubusercontent.com/u/85067619?s=40&v=4 - GameParrot - https://github.com/GameParrot + https://avatars.githubusercontent.com/u/1486?s=40&v=4 + tronical + https://github.com/tronical - https://avatars.githubusercontent.com/u/42083774?s=40&v=4 - 0xCatPKG - https://github.com/0xCatPKG + https://avatars.githubusercontent.com/u/959326?s=40&v=4 + ogoffart + https://github.com/ogoffart - - - - ttroy50/cmake-examples - https://github.com/ttroy50/cmake-examples - Useful CMake Examples - https://github.com/ttroy50/cmake-examples - CMake - #DA3434 - 12,432 - 2,495 - 4 - - https://avatars.githubusercontent.com/u/2407079?s=40&v=4 - ttroy50 - https://github.com/ttroy50 - - - https://avatars.githubusercontent.com/u/3665590?s=40&v=4 - playgithub - https://github.com/playgithub - - - https://avatars.githubusercontent.com/u/7579804?s=40&v=4 - aaronang - https://github.com/aaronang - - - https://avatars.githubusercontent.com/u/7891852?s=40&v=4 - henrytien - https://github.com/henrytien - - - https://avatars.githubusercontent.com/u/319796?s=40&v=4 - panovr - https://github.com/panovr + https://avatars.githubusercontent.com/u/60313747?s=40&v=4 + yuval-nextsilicon + https://github.com/yuval-nextsilicon - flathub/shared-modules - https://github.com/flathub/shared-modules - Common Flatpak modules that can be used as a git submodule - https://github.com/flathub/shared-modules + arsenm/sanitizers-cmake + https://github.com/arsenm/sanitizers-cmake + CMake modules to help use sanitizers + https://github.com/arsenm/sanitizers-cmake CMake #DA3434 - 115 - 89 + 378 + 65 0 - https://avatars.githubusercontent.com/u/114441?s=40&v=4 - hfiguiere - https://github.com/hfiguiere + https://avatars.githubusercontent.com/u/6250567?s=40&v=4 + alehaa + https://github.com/alehaa - https://avatars.githubusercontent.com/u/33983090?s=40&v=4 - Eonfge - https://github.com/Eonfge + https://avatars.githubusercontent.com/u/138339?s=40&v=4 + arsenm + https://github.com/arsenm - https://avatars.githubusercontent.com/u/798838?s=40&v=4 - TingPing - https://github.com/TingPing + https://avatars.githubusercontent.com/u/45819833?s=40&v=4 + MiguelBarro + https://github.com/MiguelBarro - https://avatars.githubusercontent.com/u/380403?s=40&v=4 - barthalion - https://github.com/barthalion + https://avatars.githubusercontent.com/u/775309?s=40&v=4 + smspillaz + https://github.com/smspillaz - https://avatars.githubusercontent.com/u/62639087?s=40&v=4 - bbhtt - https://github.com/bbhtt + https://avatars.githubusercontent.com/u/661636?s=40&v=4 + LeSpocky + https://github.com/LeSpocky diff --git a/data/daily/cobol.json b/data/daily/cobol.json index 914c1e3c9737..fd8a0f0f559c 100644 --- a/data/daily/cobol.json +++ b/data/daily/cobol.json @@ -2,6 +2,6 @@ "title": "GitHub Cobol Languages Daily Trending", "description": "Daily Trending of Cobol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cobol.xml b/data/daily/cobol.xml index eaf776e35932..4291074c4a36 100644 --- a/data/daily/cobol.xml +++ b/data/daily/cobol.xml @@ -3,6 +3,6 @@ GitHub Cobol Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cobol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/codeowners.json b/data/daily/codeowners.json index 8bb0bf24365e..a23d9e97425a 100644 --- a/data/daily/codeowners.json +++ b/data/daily/codeowners.json @@ -2,6 +2,6 @@ "title": "GitHub Codeowners Languages Daily Trending", "description": "Daily Trending of Codeowners Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/codeowners.xml b/data/daily/codeowners.xml index 365774614df6..2c67c7361889 100644 --- a/data/daily/codeowners.xml +++ b/data/daily/codeowners.xml @@ -3,6 +3,6 @@ GitHub Codeowners Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Codeowners Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/codeql.json b/data/daily/codeql.json index a3210cef0dfe..2ffa6fd8a9c1 100644 --- a/data/daily/codeql.json +++ b/data/daily/codeql.json @@ -2,7 +2,7 @@ "title": "GitHub Codeql Languages Daily Trending", "description": "Daily Trending of Codeql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "github/codeql", @@ -10,7 +10,7 @@ "description": "CodeQL: the libraries and queries that power security researchers around the world, as well as code scanning in GitHub Advanced Security", "language": "CodeQL", "languageColor": "#140f46", - "stars": "7,725", + "stars": "7,726", "forks": "1,553", "addStars": "2", "contributors": [ diff --git a/data/daily/codeql.xml b/data/daily/codeql.xml index e20a569b845b..b3a8cb4732cd 100644 --- a/data/daily/codeql.xml +++ b/data/daily/codeql.xml @@ -3,7 +3,7 @@ GitHub Codeql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Codeql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT github/codeql https://github.com/github/codeql @@ -11,7 +11,7 @@ https://github.com/github/codeql CodeQL #140f46 - 7,725 + 7,726 1,553 2 diff --git a/data/daily/coffeescript.json b/data/daily/coffeescript.json index 9cf3a3960e7d..03996d4b718b 100644 --- a/data/daily/coffeescript.json +++ b/data/daily/coffeescript.json @@ -2,15 +2,52 @@ "title": "GitHub Coffeescript Languages Daily Trending", "description": "Daily Trending of Coffeescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "FelisCatus/SwitchyOmega", + "url": "https://github.com/FelisCatus/SwitchyOmega", + "description": "Manage and switch between multiple proxies quickly & easily.", + "language": "CoffeeScript", + "languageColor": "#244776", + "stars": "21,405", + "forks": "3,206", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/912649?s=40&v=4", + "name": "FelisCatus", + "url": "https://github.com/FelisCatus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9501406?s=40&v=4", + "name": "zhtw2013", + "url": "https://github.com/zhtw2013" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5321268?s=40&v=4", + "name": "MasoudRahmani", + "url": "https://github.com/MasoudRahmani" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25316928?s=40&v=4", + "name": "solokot", + "url": "https://github.com/solokot" + } + ] + }, { "title": "dropbox/zxcvbn", "url": "https://github.com/dropbox/zxcvbn", "description": "Low-Budget Password Strength Estimation", "language": "CoffeeScript", "languageColor": "#244776", - "stars": "15,160", + "stars": "15,161", "forks": "945", "addStars": "2", "contributors": [ @@ -40,43 +77,6 @@ "url": "https://github.com/luuuis" } ] - }, - { - "title": "ccd0/4chan-x", - "url": "https://github.com/ccd0/4chan-x", - "description": "Adds various features to anonymous imageboards.", - "language": "CoffeeScript", - "languageColor": "#244776", - "stars": "994", - "forks": "136", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5894749?s=40&v=4", - "name": "ccd0", - "url": "https://github.com/ccd0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/567105?s=40&v=4", - "name": "nstepien", - "url": "https://github.com/nstepien" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/438570?s=40&v=4", - "name": "zixaphir", - "url": "https://github.com/zixaphir" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/75317?s=40&v=4", - "name": "aeosynth", - "url": "https://github.com/aeosynth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/765015?s=40&v=4", - "name": "seaweedchan", - "url": "https://github.com/seaweedchan" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/coffeescript.xml b/data/daily/coffeescript.xml index 61a1078048f1..c84895b44fda 100644 --- a/data/daily/coffeescript.xml +++ b/data/daily/coffeescript.xml @@ -3,7 +3,45 @@ GitHub Coffeescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Coffeescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + FelisCatus/SwitchyOmega + https://github.com/FelisCatus/SwitchyOmega + Manage and switch between multiple proxies quickly & easily. + https://github.com/FelisCatus/SwitchyOmega + CoffeeScript + #244776 + 21,405 + 3,206 + 5 + + + https://avatars.githubusercontent.com/u/912649?s=40&v=4 + FelisCatus + https://github.com/FelisCatus + + + https://avatars.githubusercontent.com/u/9501406?s=40&v=4 + zhtw2013 + https://github.com/zhtw2013 + + + https://avatars.githubusercontent.com/u/5321268?s=40&v=4 + MasoudRahmani + https://github.com/MasoudRahmani + + + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate + + + https://avatars.githubusercontent.com/u/25316928?s=40&v=4 + solokot + https://github.com/solokot + + + dropbox/zxcvbn https://github.com/dropbox/zxcvbn @@ -11,7 +49,7 @@ https://github.com/dropbox/zxcvbn CoffeeScript #244776 - 15,160 + 15,161 945 2 @@ -42,43 +80,5 @@ - - ccd0/4chan-x - https://github.com/ccd0/4chan-x - Adds various features to anonymous imageboards. - https://github.com/ccd0/4chan-x - CoffeeScript - #244776 - 994 - 136 - 3 - - - https://avatars.githubusercontent.com/u/5894749?s=40&v=4 - ccd0 - https://github.com/ccd0 - - - https://avatars.githubusercontent.com/u/567105?s=40&v=4 - nstepien - https://github.com/nstepien - - - https://avatars.githubusercontent.com/u/438570?s=40&v=4 - zixaphir - https://github.com/zixaphir - - - https://avatars.githubusercontent.com/u/75317?s=40&v=4 - aeosynth - https://github.com/aeosynth - - - https://avatars.githubusercontent.com/u/765015?s=40&v=4 - seaweedchan - https://github.com/seaweedchan - - - \ No newline at end of file diff --git a/data/daily/coldfusion-cfc.json b/data/daily/coldfusion-cfc.json index 15821c3b2be0..fede22dd78c8 100644 --- a/data/daily/coldfusion-cfc.json +++ b/data/daily/coldfusion-cfc.json @@ -2,6 +2,6 @@ "title": "GitHub Coldfusion-cfc Languages Daily Trending", "description": "Daily Trending of Coldfusion-cfc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/coldfusion-cfc.xml b/data/daily/coldfusion-cfc.xml index 0fe049b8eadf..984205e9ed95 100644 --- a/data/daily/coldfusion-cfc.xml +++ b/data/daily/coldfusion-cfc.xml @@ -3,6 +3,6 @@ GitHub Coldfusion-cfc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Coldfusion-cfc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/coldfusion.json b/data/daily/coldfusion.json index 12838958b4de..23e0c1e5817f 100644 --- a/data/daily/coldfusion.json +++ b/data/daily/coldfusion.json @@ -2,6 +2,6 @@ "title": "GitHub Coldfusion Languages Daily Trending", "description": "Daily Trending of Coldfusion Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/coldfusion.xml b/data/daily/coldfusion.xml index 00a70f358abd..b9b6bf43e77d 100644 --- a/data/daily/coldfusion.xml +++ b/data/daily/coldfusion.xml @@ -3,6 +3,6 @@ GitHub Coldfusion Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Coldfusion Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/collada.json b/data/daily/collada.json index f413ecc0bb71..45e493f889cd 100644 --- a/data/daily/collada.json +++ b/data/daily/collada.json @@ -2,6 +2,6 @@ "title": "GitHub Collada Languages Daily Trending", "description": "Daily Trending of Collada Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/collada.xml b/data/daily/collada.xml index 73022cff7d99..5b8c38ec79bb 100644 --- a/data/daily/collada.xml +++ b/data/daily/collada.xml @@ -3,6 +3,6 @@ GitHub Collada Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Collada Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/common-lisp.json b/data/daily/common-lisp.json index e7a94895036c..8909e7d6f167 100644 --- a/data/daily/common-lisp.json +++ b/data/daily/common-lisp.json @@ -2,45 +2,8 @@ "title": "GitHub Common-lisp Languages Daily Trending", "description": "Daily Trending of Common-lisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "joaotavora/sly", - "url": "https://github.com/joaotavora/sly", - "description": "Sylvester the Cat's Common Lisp IDE", - "language": "Common Lisp", - "languageColor": "#3fb68b", - "stars": "1,272", - "forks": "145", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/387011?s=40&v=4", - "name": "joaotavora", - "url": "https://github.com/joaotavora" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7063009?s=40&v=4", - "name": "trittweiler", - "url": "https://github.com/trittweiler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10473?s=40&v=4", - "name": "stassats", - "url": "https://github.com/stassats" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1506702?s=40&v=4", - "name": "ellerh", - "url": "https://github.com/ellerh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45759?s=40&v=4", - "name": "luismbo", - "url": "https://github.com/luismbo" - } - ] - }, { "title": "open-goal/jak-project", "url": "https://github.com/open-goal/jak-project", @@ -73,65 +36,6 @@ } ] }, - { - "title": "atlas-engineer/nyxt", - "url": "https://github.com/atlas-engineer/nyxt", - "description": "Nyxt - the hacker's browser.", - "language": "Common Lisp", - "languageColor": "#3fb68b", - "stars": "9,894", - "forks": "413", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1489143?s=40&v=4", - "name": "Ambrevar", - "url": "https://github.com/Ambrevar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1691662?s=40&v=4", - "name": "jmercouris", - "url": "https://github.com/jmercouris" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/57838654?s=40&v=4", - "name": "aartaka", - "url": "https://github.com/aartaka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45483512?s=40&v=4", - "name": "aadcg", - "url": "https://github.com/aadcg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3721004?s=40&v=4", - "name": "vindarel", - "url": "https://github.com/vindarel" - } - ] - }, - { - "title": "neomacs-project/unix-in-lisp", - "url": "https://github.com/neomacs-project/unix-in-lisp", - "description": "Mount Unix system into Common Lisp image", - "language": "Common Lisp", - "languageColor": "#3fb68b", - "stars": "154", - "forks": "7", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6486990?s=40&v=4", - "name": "kchanqvq", - "url": "https://github.com/kchanqvq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/680742?s=40&v=4", - "name": "WillForan", - "url": "https://github.com/WillForan" - } - ] - }, { "title": "lem-project/lem", "url": "https://github.com/lem-project/lem", @@ -168,6 +72,43 @@ "url": "https://github.com/vindarel" } ] + }, + { + "title": "joaotavora/sly", + "url": "https://github.com/joaotavora/sly", + "description": "Sylvester the Cat's Common Lisp IDE", + "language": "Common Lisp", + "languageColor": "#3fb68b", + "stars": "1,272", + "forks": "145", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/387011?s=40&v=4", + "name": "joaotavora", + "url": "https://github.com/joaotavora" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7063009?s=40&v=4", + "name": "trittweiler", + "url": "https://github.com/trittweiler" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10473?s=40&v=4", + "name": "stassats", + "url": "https://github.com/stassats" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1506702?s=40&v=4", + "name": "ellerh", + "url": "https://github.com/ellerh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45759?s=40&v=4", + "name": "luismbo", + "url": "https://github.com/luismbo" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/common-lisp.xml b/data/daily/common-lisp.xml index a1a069648d37..118fb0dce639 100644 --- a/data/daily/common-lisp.xml +++ b/data/daily/common-lisp.xml @@ -3,45 +3,7 @@ GitHub Common-lisp Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Common-lisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - joaotavora/sly - https://github.com/joaotavora/sly - Sylvester the Cat's Common Lisp IDE - https://github.com/joaotavora/sly - Common Lisp - #3fb68b - 1,272 - 145 - 0 - - - https://avatars.githubusercontent.com/u/387011?s=40&v=4 - joaotavora - https://github.com/joaotavora - - - https://avatars.githubusercontent.com/u/7063009?s=40&v=4 - trittweiler - https://github.com/trittweiler - - - https://avatars.githubusercontent.com/u/10473?s=40&v=4 - stassats - https://github.com/stassats - - - https://avatars.githubusercontent.com/u/1506702?s=40&v=4 - ellerh - https://github.com/ellerh - - - https://avatars.githubusercontent.com/u/45759?s=40&v=4 - luismbo - https://github.com/luismbo - - - + Mon, 25 Nov 2024 13:30:36 GMT open-goal/jak-project https://github.com/open-goal/jak-project @@ -75,67 +37,6 @@ - - atlas-engineer/nyxt - https://github.com/atlas-engineer/nyxt - Nyxt - the hacker's browser. - https://github.com/atlas-engineer/nyxt - Common Lisp - #3fb68b - 9,894 - 413 - 1 - - - https://avatars.githubusercontent.com/u/1489143?s=40&v=4 - Ambrevar - https://github.com/Ambrevar - - - https://avatars.githubusercontent.com/u/1691662?s=40&v=4 - jmercouris - https://github.com/jmercouris - - - https://avatars.githubusercontent.com/u/57838654?s=40&v=4 - aartaka - https://github.com/aartaka - - - https://avatars.githubusercontent.com/u/45483512?s=40&v=4 - aadcg - https://github.com/aadcg - - - https://avatars.githubusercontent.com/u/3721004?s=40&v=4 - vindarel - https://github.com/vindarel - - - - - neomacs-project/unix-in-lisp - https://github.com/neomacs-project/unix-in-lisp - Mount Unix system into Common Lisp image - https://github.com/neomacs-project/unix-in-lisp - Common Lisp - #3fb68b - 154 - 7 - 0 - - - https://avatars.githubusercontent.com/u/6486990?s=40&v=4 - kchanqvq - https://github.com/kchanqvq - - - https://avatars.githubusercontent.com/u/680742?s=40&v=4 - WillForan - https://github.com/WillForan - - - lem-project/lem https://github.com/lem-project/lem @@ -174,5 +75,43 @@ + + joaotavora/sly + https://github.com/joaotavora/sly + Sylvester the Cat's Common Lisp IDE + https://github.com/joaotavora/sly + Common Lisp + #3fb68b + 1,272 + 145 + 0 + + + https://avatars.githubusercontent.com/u/387011?s=40&v=4 + joaotavora + https://github.com/joaotavora + + + https://avatars.githubusercontent.com/u/7063009?s=40&v=4 + trittweiler + https://github.com/trittweiler + + + https://avatars.githubusercontent.com/u/10473?s=40&v=4 + stassats + https://github.com/stassats + + + https://avatars.githubusercontent.com/u/1506702?s=40&v=4 + ellerh + https://github.com/ellerh + + + https://avatars.githubusercontent.com/u/45759?s=40&v=4 + luismbo + https://github.com/luismbo + + + \ No newline at end of file diff --git a/data/daily/common-workflow-language.json b/data/daily/common-workflow-language.json index 4e6c795c6bd9..0258da54a9a8 100644 --- a/data/daily/common-workflow-language.json +++ b/data/daily/common-workflow-language.json @@ -2,6 +2,6 @@ "title": "GitHub Common-workflow-language Languages Daily Trending", "description": "Daily Trending of Common-workflow-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/common-workflow-language.xml b/data/daily/common-workflow-language.xml index b8ef4621378b..0172715265d1 100644 --- a/data/daily/common-workflow-language.xml +++ b/data/daily/common-workflow-language.xml @@ -3,6 +3,6 @@ GitHub Common-workflow-language Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Common-workflow-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/component-pascal.json b/data/daily/component-pascal.json index cf86c141af1e..e9a56893a4e5 100644 --- a/data/daily/component-pascal.json +++ b/data/daily/component-pascal.json @@ -2,6 +2,6 @@ "title": "GitHub Component-pascal Languages Daily Trending", "description": "Daily Trending of Component-pascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/component-pascal.xml b/data/daily/component-pascal.xml index ed3cf617a5d6..98c93d57a7af 100644 --- a/data/daily/component-pascal.xml +++ b/data/daily/component-pascal.xml @@ -3,6 +3,6 @@ GitHub Component-pascal Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Component-pascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/conll-u.json b/data/daily/conll-u.json index 6ddc980578e3..6951ad3632d5 100644 --- a/data/daily/conll-u.json +++ b/data/daily/conll-u.json @@ -2,6 +2,6 @@ "title": "GitHub Conll-u Languages Daily Trending", "description": "Daily Trending of Conll-u Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/conll-u.xml b/data/daily/conll-u.xml index e6fd7b2507c9..c471c60623a5 100644 --- a/data/daily/conll-u.xml +++ b/data/daily/conll-u.xml @@ -3,6 +3,6 @@ GitHub Conll-u Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Conll-u Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cool.json b/data/daily/cool.json index 0da763332490..ac1cd44606c5 100644 --- a/data/daily/cool.json +++ b/data/daily/cool.json @@ -2,6 +2,6 @@ "title": "GitHub Cool Languages Daily Trending", "description": "Daily Trending of Cool Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cool.xml b/data/daily/cool.xml index bbd68e722225..502dd16da1e5 100644 --- a/data/daily/cool.xml +++ b/data/daily/cool.xml @@ -3,6 +3,6 @@ GitHub Cool Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cool Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/coq.json b/data/daily/coq.json index 5707e44ee3ea..e799b94ec27c 100644 --- a/data/daily/coq.json +++ b/data/daily/coq.json @@ -2,6 +2,6 @@ "title": "GitHub Coq Languages Daily Trending", "description": "Daily Trending of Coq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/coq.xml b/data/daily/coq.xml index 5a8c6ce8e956..24c96527fdeb 100644 --- a/data/daily/coq.xml +++ b/data/daily/coq.xml @@ -3,6 +3,6 @@ GitHub Coq Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Coq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cpp-objdump.json b/data/daily/cpp-objdump.json index 030556f8b00a..7f2d5056407f 100644 --- a/data/daily/cpp-objdump.json +++ b/data/daily/cpp-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub Cpp-objdump Languages Daily Trending", "description": "Daily Trending of Cpp-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cpp-objdump.xml b/data/daily/cpp-objdump.xml index 6e0c746edf24..e84e1fc37858 100644 --- a/data/daily/cpp-objdump.xml +++ b/data/daily/cpp-objdump.xml @@ -3,6 +3,6 @@ GitHub Cpp-objdump Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cpp-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/creole.json b/data/daily/creole.json index 3acb5d62d311..eab3f124ec23 100644 --- a/data/daily/creole.json +++ b/data/daily/creole.json @@ -2,6 +2,6 @@ "title": "GitHub Creole Languages Daily Trending", "description": "Daily Trending of Creole Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/creole.xml b/data/daily/creole.xml index d9a561eb11e0..308dd93a5eef 100644 --- a/data/daily/creole.xml +++ b/data/daily/creole.xml @@ -3,6 +3,6 @@ GitHub Creole Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Creole Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/crontab.json b/data/daily/crontab.json index 9b66ede7e0bf..1181c7854d00 100644 --- a/data/daily/crontab.json +++ b/data/daily/crontab.json @@ -2,6 +2,6 @@ "title": "GitHub Crontab Languages Daily Trending", "description": "Daily Trending of Crontab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/crontab.xml b/data/daily/crontab.xml index e8ecff726065..c524c750b4ec 100644 --- a/data/daily/crontab.xml +++ b/data/daily/crontab.xml @@ -3,6 +3,6 @@ GitHub Crontab Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Crontab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/crystal.json b/data/daily/crystal.json index 2a6d0f79f9c6..f6719baf0184 100644 --- a/data/daily/crystal.json +++ b/data/daily/crystal.json @@ -2,7 +2,7 @@ "title": "GitHub Crystal Languages Daily Trending", "description": "Daily Trending of Crystal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "iv-org/invidious", @@ -10,7 +10,7 @@ "description": "Invidious is an alternative front-end to YouTube", "language": "Crystal", "languageColor": "#000100", - "stars": "16,456", + "stars": "16,458", "forks": "1,852", "addStars": "7", "contributors": [ @@ -42,39 +42,39 @@ ] }, { - "title": "vitobotta/hetzner-k3s", - "url": "https://github.com/vitobotta/hetzner-k3s", - "description": "The easiest and fastest way to create and manage Kubernetes clusters in Hetzner Cloud using the lightweight distribution k3s by Rancher.", + "title": "kemalcr/kemal", + "url": "https://github.com/kemalcr/kemal", + "description": "Fast, Effective, Simple Web Framework", "language": "Crystal", "languageColor": "#000100", - "stars": "1,910", - "forks": "141", - "addStars": "1", + "stars": "3,643", + "forks": "188", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/283811?s=40&v=4", - "name": "vitobotta", - "url": "https://github.com/vitobotta" + "avatar": "https://avatars.githubusercontent.com/u/990485?s=40&v=4", + "name": "sdogruyol", + "url": "https://github.com/sdogruyol" }, { - "avatar": "https://avatars.githubusercontent.com/u/8493524?s=40&v=4", - "name": "derlinuxer", - "url": "https://github.com/derlinuxer" + "avatar": "https://avatars.githubusercontent.com/u/988?s=40&v=4", + "name": "Sija", + "url": "https://github.com/Sija" }, { - "avatar": "https://avatars.githubusercontent.com/u/171481?s=40&v=4", - "name": "jpetazzo", - "url": "https://github.com/jpetazzo" + "avatar": "https://avatars.githubusercontent.com/u/632820?s=40&v=4", + "name": "askn", + "url": "https://github.com/askn" }, { - "avatar": "https://avatars.githubusercontent.com/u/814249?s=40&v=4", - "name": "mgalesloot", - "url": "https://github.com/mgalesloot" + "avatar": "https://avatars.githubusercontent.com/u/196477?s=40&v=4", + "name": "f", + "url": "https://github.com/f" }, { - "avatar": "https://avatars.githubusercontent.com/u/9868?s=40&v=4", - "name": "jrudolph", - "url": "https://github.com/jrudolph" + "avatar": "https://avatars.githubusercontent.com/u/61285?s=40&v=4", + "name": "mamantoha", + "url": "https://github.com/mamantoha" } ] }, @@ -84,7 +84,7 @@ "description": "The Crystal Programming Language", "language": "Crystal", "languageColor": "#000100", - "stars": "19,496", + "stars": "19,497", "forks": "1,620", "addStars": "3", "contributors": [ diff --git a/data/daily/crystal.xml b/data/daily/crystal.xml index 57cbcc631dd0..1dd037f788c2 100644 --- a/data/daily/crystal.xml +++ b/data/daily/crystal.xml @@ -3,7 +3,7 @@ GitHub Crystal Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Crystal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT iv-org/invidious https://github.com/iv-org/invidious @@ -11,7 +11,7 @@ https://github.com/iv-org/invidious Crystal #000100 - 16,456 + 16,458 1,852 7 @@ -43,40 +43,40 @@ - vitobotta/hetzner-k3s - https://github.com/vitobotta/hetzner-k3s - The easiest and fastest way to create and manage Kubernetes clusters in Hetzner Cloud using the lightweight distribution k3s by Rancher. - https://github.com/vitobotta/hetzner-k3s + kemalcr/kemal + https://github.com/kemalcr/kemal + Fast, Effective, Simple Web Framework + https://github.com/kemalcr/kemal Crystal #000100 - 1,910 - 141 - 1 + 3,643 + 188 + 7 - https://avatars.githubusercontent.com/u/283811?s=40&v=4 - vitobotta - https://github.com/vitobotta + https://avatars.githubusercontent.com/u/990485?s=40&v=4 + sdogruyol + https://github.com/sdogruyol - https://avatars.githubusercontent.com/u/8493524?s=40&v=4 - derlinuxer - https://github.com/derlinuxer + https://avatars.githubusercontent.com/u/988?s=40&v=4 + Sija + https://github.com/Sija - https://avatars.githubusercontent.com/u/171481?s=40&v=4 - jpetazzo - https://github.com/jpetazzo + https://avatars.githubusercontent.com/u/632820?s=40&v=4 + askn + https://github.com/askn - https://avatars.githubusercontent.com/u/814249?s=40&v=4 - mgalesloot - https://github.com/mgalesloot + https://avatars.githubusercontent.com/u/196477?s=40&v=4 + f + https://github.com/f - https://avatars.githubusercontent.com/u/9868?s=40&v=4 - jrudolph - https://github.com/jrudolph + https://avatars.githubusercontent.com/u/61285?s=40&v=4 + mamantoha + https://github.com/mamantoha @@ -87,7 +87,7 @@ https://github.com/crystal-lang/crystal Crystal #000100 - 19,496 + 19,497 1,620 3 diff --git a/data/daily/cson.json b/data/daily/cson.json index 3919b03bc12b..75516d7e7bea 100644 --- a/data/daily/cson.json +++ b/data/daily/cson.json @@ -2,6 +2,6 @@ "title": "GitHub Cson Languages Daily Trending", "description": "Daily Trending of Cson Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cson.xml b/data/daily/cson.xml index 7fdefa176da9..b17077527b5d 100644 --- a/data/daily/cson.xml +++ b/data/daily/cson.xml @@ -3,6 +3,6 @@ GitHub Cson Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cson Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/csound-document.json b/data/daily/csound-document.json index d056848cdd22..b42b23a2d5c6 100644 --- a/data/daily/csound-document.json +++ b/data/daily/csound-document.json @@ -2,6 +2,6 @@ "title": "GitHub Csound-document Languages Daily Trending", "description": "Daily Trending of Csound-document Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/csound-document.xml b/data/daily/csound-document.xml index f54f245c5db6..9485504688b6 100644 --- a/data/daily/csound-document.xml +++ b/data/daily/csound-document.xml @@ -3,6 +3,6 @@ GitHub Csound-document Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Csound-document Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/csound-score.json b/data/daily/csound-score.json index afa48efea246..c8865daac481 100644 --- a/data/daily/csound-score.json +++ b/data/daily/csound-score.json @@ -2,6 +2,6 @@ "title": "GitHub Csound-score Languages Daily Trending", "description": "Daily Trending of Csound-score Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/csound-score.xml b/data/daily/csound-score.xml index 47ca5636e40e..3b7f75012e5d 100644 --- a/data/daily/csound-score.xml +++ b/data/daily/csound-score.xml @@ -3,6 +3,6 @@ GitHub Csound-score Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Csound-score Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/csound.json b/data/daily/csound.json index b18efb568d89..1d3502dcc3da 100644 --- a/data/daily/csound.json +++ b/data/daily/csound.json @@ -2,6 +2,6 @@ "title": "GitHub Csound Languages Daily Trending", "description": "Daily Trending of Csound Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/csound.xml b/data/daily/csound.xml index 079141902314..17a5953b2ba9 100644 --- a/data/daily/csound.xml +++ b/data/daily/csound.xml @@ -3,6 +3,6 @@ GitHub Csound Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Csound Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/css.json b/data/daily/css.json index 42a71ae54f57..85d5ca58a0cc 100644 --- a/data/daily/css.json +++ b/data/daily/css.json @@ -2,101 +2,79 @@ "title": "GitHub Css Languages Daily Trending", "description": "Daily Trending of Css Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "panr/hugo-theme-terminal", - "url": "https://github.com/panr/hugo-theme-terminal", - "description": "A simple, retro theme for Hugo", + "title": "primefaces/primereact", + "url": "https://github.com/primefaces/primereact", + "description": "The Most Complete React UI Component Library", "language": "CSS", "languageColor": "#563d7c", - "stars": "2,102", - "forks": "759", - "addStars": "0", + "stars": "6,950", + "forks": "1,054", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1303365?s=40&v=4", - "name": "panr", - "url": "https://github.com/panr" + "avatar": "https://avatars.githubusercontent.com/u/11868120?s=40&v=4", + "name": "mertsincan", + "url": "https://github.com/mertsincan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/686247?s=40&v=4", + "name": "cagataycivici", + "url": "https://github.com/cagataycivici" }, { - "avatar": "https://avatars.githubusercontent.com/u/43744514?s=40&v=4", - "name": "willstocks", - "url": "https://github.com/willstocks" + "avatar": "https://avatars.githubusercontent.com/u/4399574?s=40&v=4", + "name": "melloware", + "url": "https://github.com/melloware" }, { - "avatar": "https://avatars.githubusercontent.com/u/28514482?s=40&v=4", - "name": "robbienohra", - "url": "https://github.com/robbienohra" + "avatar": "https://avatars.githubusercontent.com/u/71140097?s=40&v=4", + "name": "habubey", + "url": "https://github.com/habubey" }, { - "avatar": "https://avatars.githubusercontent.com/u/17298529?s=40&v=4", - "name": "knightjoel", - "url": "https://github.com/knightjoel" + "avatar": "https://avatars.githubusercontent.com/u/56156254?s=40&v=4", + "name": "ulasturann", + "url": "https://github.com/ulasturann" } ] }, { - "title": "AnswerDotAI/fasthtml-example", - "url": "https://github.com/AnswerDotAI/fasthtml-example", - "description": "Example fasthtml applications demonstrating a range of web programming techniques", + "title": "devicons/devicon", + "url": "https://github.com/devicons/devicon", + "description": "Set of icons representing programming languages, designing & development tools", "language": "CSS", "languageColor": "#563d7c", - "stars": "663", - "forks": "100", - "addStars": "3", + "stars": "9,975", + "forks": "2,323", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/346999?s=40&v=4", - "name": "jph00", - "url": "https://github.com/jph00" + "avatar": "https://avatars.githubusercontent.com/u/43018778?s=40&v=4", + "name": "Thomas-Boi", + "url": "https://github.com/Thomas-Boi" }, { - "avatar": "https://avatars.githubusercontent.com/u/6575163?s=40&v=4", - "name": "johnowhitaker", - "url": "https://github.com/johnowhitaker" + "avatar": "https://avatars.githubusercontent.com/u/8781699?s=40&v=4", + "name": "amacado", + "url": "https://github.com/amacado" }, { - "avatar": "https://avatars.githubusercontent.com/u/7613470?s=40&v=4", - "name": "ncoop57", - "url": "https://github.com/ncoop57" + "avatar": "https://avatars.githubusercontent.com/u/51391473?s=40&v=4", + "name": "Panquesito7", + "url": "https://github.com/Panquesito7" }, { - "avatar": "https://avatars.githubusercontent.com/u/62857?s=40&v=4", - "name": "pydanny", - "url": "https://github.com/pydanny" + "avatar": "https://avatars.githubusercontent.com/u/43886029?s=40&v=4", + "name": "Snailedlt", + "url": "https://github.com/Snailedlt" }, { - "avatar": "https://avatars.githubusercontent.com/u/463317?s=40&v=4", - "name": "altryne", - "url": "https://github.com/altryne" - } - ] - }, - { - "title": "ryanoasis/nerd-fonts", - "url": "https://github.com/ryanoasis/nerd-fonts", - "description": "Iconic font aggregator, collection, & patcher. 3,600+ icons, 50+ patched fonts: Hack, Source Code Pro, more. Glyph collections: Font Awesome, Material Design Icons, Octicons, & more", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "55,007", - "forks": "3,648", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/16012374?s=40&v=4", - "name": "Finii", - "url": "https://github.com/Finii" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8083459?s=40&v=4", - "name": "ryanoasis", - "url": "https://github.com/ryanoasis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4368882?s=40&v=4", - "name": "sharkusk", - "url": "https://github.com/sharkusk" + "avatar": "https://avatars.githubusercontent.com/u/76992016?s=40&v=4", + "name": "lunatic-fox", + "url": "https://github.com/lunatic-fox" } ] }, @@ -133,113 +111,39 @@ ] }, { - "title": "MeiK2333/github-style", - "url": "https://github.com/MeiK2333/github-style", - "description": "", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "579", - "forks": "186", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20951666?s=40&v=4", - "name": "MeiK2333", - "url": "https://github.com/MeiK2333" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1250035?s=40&v=4", - "name": "pobtastic", - "url": "https://github.com/pobtastic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43537771?s=40&v=4", - "name": "yuweizzz", - "url": "https://github.com/yuweizzz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39823221?s=40&v=4", - "name": "kazukyakayashi", - "url": "https://github.com/kazukyakayashi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14863512?s=40&v=4", - "name": "ventusoon", - "url": "https://github.com/ventusoon" - } - ] - }, - { - "title": "rust-lang/nomicon", - "url": "https://github.com/rust-lang/nomicon", - "description": "The Dark Arts of Advanced and Unsafe Rust Programming", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "1,871", - "forks": "271", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1136864?s=40&v=4", - "name": "Gankra", - "url": "https://github.com/Gankra" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25030997?s=40&v=4", - "name": "JohnTitor", - "url": "https://github.com/JohnTitor" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43198?s=40&v=4", - "name": "ehuss", - "url": "https://github.com/ehuss" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/330628?s=40&v=4", - "name": "RalfJung", - "url": "https://github.com/RalfJung" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27786?s=40&v=4", - "name": "steveklabnik", - "url": "https://github.com/steveklabnik" - } - ] - }, - { - "title": "opera-gaming/gxmods", - "url": "https://github.com/opera-gaming/gxmods", - "description": "Documentation for GX mods", + "title": "spring-projects/spring-petclinic", + "url": "https://github.com/spring-projects/spring-petclinic", + "description": "A sample Spring-based application", "language": "CSS", "languageColor": "#563d7c", - "stars": "805", - "forks": "3,305", - "addStars": "1", + "stars": "7,784", + "forks": "23,948", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/124875542?s=40&v=4", - "name": "themaciekk", - "url": "https://github.com/themaciekk" + "avatar": "https://avatars.githubusercontent.com/u/124075?s=40&v=4", + "name": "dsyer", + "url": "https://github.com/dsyer" }, { - "avatar": "https://avatars.githubusercontent.com/u/21360237?s=40&v=4", - "name": "gurpreetsinghmatharoo", - "url": "https://github.com/gurpreetsinghmatharoo" + "avatar": "https://avatars.githubusercontent.com/u/838318?s=40&v=4", + "name": "arey", + "url": "https://github.com/arey" }, { - "avatar": "https://avatars.githubusercontent.com/u/950537?s=40&v=4", - "name": "emoller", - "url": "https://github.com/emoller" + "avatar": "https://avatars.githubusercontent.com/u/490484?s=40&v=4", + "name": "snicoll", + "url": "https://github.com/snicoll" }, { - "avatar": "https://avatars.githubusercontent.com/u/20278113?s=40&v=4", - "name": "opera-borist", - "url": "https://github.com/opera-borist" + "avatar": "https://avatars.githubusercontent.com/u/103264?s=40&v=4", + "name": "bclozel", + "url": "https://github.com/bclozel" }, { - "avatar": "https://avatars.githubusercontent.com/u/79467907?s=40&v=4", - "name": "Evryon75", - "url": "https://github.com/Evryon75" + "avatar": "https://avatars.githubusercontent.com/u/100741?s=40&v=4", + "name": "anyulled", + "url": "https://github.com/anyulled" } ] }, @@ -249,7 +153,7 @@ "description": "A collection of advanced CSS styles to create realistic-looking effects for the faces of Pokemon cards.", "language": "CSS", "languageColor": "#563d7c", - "stars": "5,534", + "stars": "5,536", "forks": "515", "addStars": "7", "contributors": [ @@ -280,6 +184,43 @@ } ] }, + { + "title": "jothepro/doxygen-awesome-css", + "url": "https://github.com/jothepro/doxygen-awesome-css", + "description": "Custom CSS theme for doxygen html-documentation with lots of customization parameters.", + "language": "CSS", + "languageColor": "#563d7c", + "stars": "1,050", + "forks": "118", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/21294002?s=40&v=4", + "name": "jothepro", + "url": "https://github.com/jothepro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4920542?s=40&v=4", + "name": "r0ckarong", + "url": "https://github.com/r0ckarong" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/165549?s=40&v=4", + "name": "comkieffer", + "url": "https://github.com/comkieffer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/362732?s=40&v=4", + "name": "ilg-ul", + "url": "https://github.com/ilg-ul" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/391371?s=40&v=4", + "name": "asmaloney", + "url": "https://github.com/asmaloney" + } + ] + }, { "title": "strues/retinajs", "url": "https://github.com/strues/retinajs", @@ -316,6 +257,70 @@ "url": "https://github.com/rtsmith" } ] + }, + { + "title": "poole/lanyon", + "url": "https://github.com/poole/lanyon", + "description": "A content-first, sliding sidebar theme for Jekyll.", + "language": "CSS", + "languageColor": "#563d7c", + "stars": "3,250", + "forks": "2,955", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/98681?s=40&v=4", + "name": "mdo", + "url": "https://github.com/mdo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3238500?s=40&v=4", + "name": "dimboiu", + "url": "https://github.com/dimboiu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7831?s=40&v=4", + "name": "paven", + "url": "https://github.com/paven" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1854569?s=40&v=4", + "name": "JakeHP", + "url": "https://github.com/JakeHP" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5502922?s=40&v=4", + "name": "fmichonneau", + "url": "https://github.com/fmichonneau" + } + ] + }, + { + "title": "stripe-samples/checkout-one-time-payments", + "url": "https://github.com/stripe-samples/checkout-one-time-payments", + "description": "Use Checkout to quickly collect one-time payments.", + "language": "CSS", + "languageColor": "#563d7c", + "stars": "859", + "forks": "449", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/51129155?s=40&v=4", + "name": "cjavilla-stripe", + "url": "https://github.com/cjavilla-stripe" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/100887638?s=40&v=4", + "name": "charliegerard-stripe", + "url": "https://github.com/charliegerard-stripe" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37303704?s=40&v=4", + "name": "adreyfus-stripe", + "url": "https://github.com/adreyfus-stripe" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/css.xml b/data/daily/css.xml index ccd4223dce1f..3f7ea17b9c57 100644 --- a/data/daily/css.xml +++ b/data/daily/css.xml @@ -3,103 +3,80 @@ GitHub Css Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Css Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - panr/hugo-theme-terminal - https://github.com/panr/hugo-theme-terminal - A simple, retro theme for Hugo - https://github.com/panr/hugo-theme-terminal + primefaces/primereact + https://github.com/primefaces/primereact + The Most Complete React UI Component Library + https://github.com/primefaces/primereact CSS #563d7c - 2,102 - 759 - 0 + 6,950 + 1,054 + 11 - https://avatars.githubusercontent.com/u/1303365?s=40&v=4 - panr - https://github.com/panr + https://avatars.githubusercontent.com/u/11868120?s=40&v=4 + mertsincan + https://github.com/mertsincan + + + https://avatars.githubusercontent.com/u/686247?s=40&v=4 + cagataycivici + https://github.com/cagataycivici - https://avatars.githubusercontent.com/u/43744514?s=40&v=4 - willstocks - https://github.com/willstocks + https://avatars.githubusercontent.com/u/4399574?s=40&v=4 + melloware + https://github.com/melloware - https://avatars.githubusercontent.com/u/28514482?s=40&v=4 - robbienohra - https://github.com/robbienohra + https://avatars.githubusercontent.com/u/71140097?s=40&v=4 + habubey + https://github.com/habubey - https://avatars.githubusercontent.com/u/17298529?s=40&v=4 - knightjoel - https://github.com/knightjoel + https://avatars.githubusercontent.com/u/56156254?s=40&v=4 + ulasturann + https://github.com/ulasturann - AnswerDotAI/fasthtml-example - https://github.com/AnswerDotAI/fasthtml-example - Example fasthtml applications demonstrating a range of web programming techniques - https://github.com/AnswerDotAI/fasthtml-example + devicons/devicon + https://github.com/devicons/devicon + Set of icons representing programming languages, designing & development tools + https://github.com/devicons/devicon CSS #563d7c - 663 - 100 - 3 + 9,975 + 2,323 + 9 - https://avatars.githubusercontent.com/u/346999?s=40&v=4 - jph00 - https://github.com/jph00 + https://avatars.githubusercontent.com/u/43018778?s=40&v=4 + Thomas-Boi + https://github.com/Thomas-Boi - https://avatars.githubusercontent.com/u/6575163?s=40&v=4 - johnowhitaker - https://github.com/johnowhitaker + https://avatars.githubusercontent.com/u/8781699?s=40&v=4 + amacado + https://github.com/amacado - https://avatars.githubusercontent.com/u/7613470?s=40&v=4 - ncoop57 - https://github.com/ncoop57 + https://avatars.githubusercontent.com/u/51391473?s=40&v=4 + Panquesito7 + https://github.com/Panquesito7 - https://avatars.githubusercontent.com/u/62857?s=40&v=4 - pydanny - https://github.com/pydanny + https://avatars.githubusercontent.com/u/43886029?s=40&v=4 + Snailedlt + https://github.com/Snailedlt - https://avatars.githubusercontent.com/u/463317?s=40&v=4 - altryne - https://github.com/altryne - - - - - ryanoasis/nerd-fonts - https://github.com/ryanoasis/nerd-fonts - Iconic font aggregator, collection, & patcher. 3,600+ icons, 50+ patched fonts: Hack, Source Code Pro, more. Glyph collections: Font Awesome, Material Design Icons, Octicons, & more - https://github.com/ryanoasis/nerd-fonts - CSS - #563d7c - 55,007 - 3,648 - 13 - - - https://avatars.githubusercontent.com/u/16012374?s=40&v=4 - Finii - https://github.com/Finii - - - https://avatars.githubusercontent.com/u/8083459?s=40&v=4 - ryanoasis - https://github.com/ryanoasis - - - https://avatars.githubusercontent.com/u/4368882?s=40&v=4 - sharkusk - https://github.com/sharkusk + https://avatars.githubusercontent.com/u/76992016?s=40&v=4 + lunatic-fox + https://github.com/lunatic-fox @@ -137,116 +114,40 @@ - MeiK2333/github-style - https://github.com/MeiK2333/github-style - - https://github.com/MeiK2333/github-style - CSS - #563d7c - 579 - 186 - 1 - - - https://avatars.githubusercontent.com/u/20951666?s=40&v=4 - MeiK2333 - https://github.com/MeiK2333 - - - https://avatars.githubusercontent.com/u/1250035?s=40&v=4 - pobtastic - https://github.com/pobtastic - - - https://avatars.githubusercontent.com/u/43537771?s=40&v=4 - yuweizzz - https://github.com/yuweizzz - - - https://avatars.githubusercontent.com/u/39823221?s=40&v=4 - kazukyakayashi - https://github.com/kazukyakayashi - - - https://avatars.githubusercontent.com/u/14863512?s=40&v=4 - ventusoon - https://github.com/ventusoon - - - - - rust-lang/nomicon - https://github.com/rust-lang/nomicon - The Dark Arts of Advanced and Unsafe Rust Programming - https://github.com/rust-lang/nomicon - CSS - #563d7c - 1,871 - 271 - 1 - - - https://avatars.githubusercontent.com/u/1136864?s=40&v=4 - Gankra - https://github.com/Gankra - - - https://avatars.githubusercontent.com/u/25030997?s=40&v=4 - JohnTitor - https://github.com/JohnTitor - - - https://avatars.githubusercontent.com/u/43198?s=40&v=4 - ehuss - https://github.com/ehuss - - - https://avatars.githubusercontent.com/u/330628?s=40&v=4 - RalfJung - https://github.com/RalfJung - - - https://avatars.githubusercontent.com/u/27786?s=40&v=4 - steveklabnik - https://github.com/steveklabnik - - - - - opera-gaming/gxmods - https://github.com/opera-gaming/gxmods - Documentation for GX mods - https://github.com/opera-gaming/gxmods + spring-projects/spring-petclinic + https://github.com/spring-projects/spring-petclinic + A sample Spring-based application + https://github.com/spring-projects/spring-petclinic CSS #563d7c - 805 - 3,305 - 1 + 7,784 + 23,948 + 3 - https://avatars.githubusercontent.com/u/124875542?s=40&v=4 - themaciekk - https://github.com/themaciekk + https://avatars.githubusercontent.com/u/124075?s=40&v=4 + dsyer + https://github.com/dsyer - https://avatars.githubusercontent.com/u/21360237?s=40&v=4 - gurpreetsinghmatharoo - https://github.com/gurpreetsinghmatharoo + https://avatars.githubusercontent.com/u/838318?s=40&v=4 + arey + https://github.com/arey - https://avatars.githubusercontent.com/u/950537?s=40&v=4 - emoller - https://github.com/emoller + https://avatars.githubusercontent.com/u/490484?s=40&v=4 + snicoll + https://github.com/snicoll - https://avatars.githubusercontent.com/u/20278113?s=40&v=4 - opera-borist - https://github.com/opera-borist + https://avatars.githubusercontent.com/u/103264?s=40&v=4 + bclozel + https://github.com/bclozel - https://avatars.githubusercontent.com/u/79467907?s=40&v=4 - Evryon75 - https://github.com/Evryon75 + https://avatars.githubusercontent.com/u/100741?s=40&v=4 + anyulled + https://github.com/anyulled @@ -257,7 +158,7 @@ https://github.com/simeydotme/pokemon-cards-css CSS #563d7c - 5,534 + 5,536 515 7 @@ -288,6 +189,44 @@ + + jothepro/doxygen-awesome-css + https://github.com/jothepro/doxygen-awesome-css + Custom CSS theme for doxygen html-documentation with lots of customization parameters. + https://github.com/jothepro/doxygen-awesome-css + CSS + #563d7c + 1,050 + 118 + 0 + + + https://avatars.githubusercontent.com/u/21294002?s=40&v=4 + jothepro + https://github.com/jothepro + + + https://avatars.githubusercontent.com/u/4920542?s=40&v=4 + r0ckarong + https://github.com/r0ckarong + + + https://avatars.githubusercontent.com/u/165549?s=40&v=4 + comkieffer + https://github.com/comkieffer + + + https://avatars.githubusercontent.com/u/362732?s=40&v=4 + ilg-ul + https://github.com/ilg-ul + + + https://avatars.githubusercontent.com/u/391371?s=40&v=4 + asmaloney + https://github.com/asmaloney + + + strues/retinajs https://github.com/strues/retinajs @@ -326,5 +265,71 @@ + + poole/lanyon + https://github.com/poole/lanyon + A content-first, sliding sidebar theme for Jekyll. + https://github.com/poole/lanyon + CSS + #563d7c + 3,250 + 2,955 + 1 + + + https://avatars.githubusercontent.com/u/98681?s=40&v=4 + mdo + https://github.com/mdo + + + https://avatars.githubusercontent.com/u/3238500?s=40&v=4 + dimboiu + https://github.com/dimboiu + + + https://avatars.githubusercontent.com/u/7831?s=40&v=4 + paven + https://github.com/paven + + + https://avatars.githubusercontent.com/u/1854569?s=40&v=4 + JakeHP + https://github.com/JakeHP + + + https://avatars.githubusercontent.com/u/5502922?s=40&v=4 + fmichonneau + https://github.com/fmichonneau + + + + + stripe-samples/checkout-one-time-payments + https://github.com/stripe-samples/checkout-one-time-payments + Use Checkout to quickly collect one-time payments. + https://github.com/stripe-samples/checkout-one-time-payments + CSS + #563d7c + 859 + 449 + 0 + + + https://avatars.githubusercontent.com/u/51129155?s=40&v=4 + cjavilla-stripe + https://github.com/cjavilla-stripe + + + https://avatars.githubusercontent.com/u/100887638?s=40&v=4 + charliegerard-stripe + https://github.com/charliegerard-stripe + + + https://avatars.githubusercontent.com/u/37303704?s=40&v=4 + adreyfus-stripe + https://github.com/adreyfus-stripe + + + \ No newline at end of file diff --git a/data/daily/csv.json b/data/daily/csv.json index 35167cf16064..f2da830a08c0 100644 --- a/data/daily/csv.json +++ b/data/daily/csv.json @@ -2,6 +2,6 @@ "title": "GitHub Csv Languages Daily Trending", "description": "Daily Trending of Csv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/csv.xml b/data/daily/csv.xml index 02a2af3988b8..d17fd1de7ff2 100644 --- a/data/daily/csv.xml +++ b/data/daily/csv.xml @@ -3,6 +3,6 @@ GitHub Csv Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Csv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cuda.json b/data/daily/cuda.json index 6c393b54146e..f993ebdf881b 100644 --- a/data/daily/cuda.json +++ b/data/daily/cuda.json @@ -2,45 +2,8 @@ "title": "GitHub Cuda Languages Daily Trending", "description": "Daily Trending of Cuda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "karpathy/llm.c", - "url": "https://github.com/karpathy/llm.c", - "description": "LLM training in simple, raw C/CUDA", - "language": "Cuda", - "languageColor": "#3A4E3A", - "stars": "24,536", - "forks": "2,780", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/241138?s=40&v=4", - "name": "karpathy", - "url": "https://github.com/karpathy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7938269?s=40&v=4", - "name": "ngc92", - "url": "https://github.com/ngc92" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29271842?s=40&v=4", - "name": "gordicaleksa", - "url": "https://github.com/gordicaleksa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7082233?s=40&v=4", - "name": "ademeure", - "url": "https://github.com/ademeure" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55313766?s=40&v=4", - "name": "rosslwheeler", - "url": "https://github.com/rosslwheeler" - } - ] - }, { "title": "NVlabs/instant-ngp", "url": "https://github.com/NVlabs/instant-ngp", @@ -78,13 +41,50 @@ } ] }, + { + "title": "karpathy/llm.c", + "url": "https://github.com/karpathy/llm.c", + "description": "LLM training in simple, raw C/CUDA", + "language": "Cuda", + "languageColor": "#3A4E3A", + "stars": "24,542", + "forks": "2,780", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/241138?s=40&v=4", + "name": "karpathy", + "url": "https://github.com/karpathy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7938269?s=40&v=4", + "name": "ngc92", + "url": "https://github.com/ngc92" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29271842?s=40&v=4", + "name": "gordicaleksa", + "url": "https://github.com/gordicaleksa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7082233?s=40&v=4", + "name": "ademeure", + "url": "https://github.com/ademeure" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/55313766?s=40&v=4", + "name": "rosslwheeler", + "url": "https://github.com/rosslwheeler" + } + ] + }, { "title": "rapidsai/cuvs", "url": "https://github.com/rapidsai/cuvs", "description": "cuVS - a library for vector search and clustering on the GPU", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "228", + "stars": "229", "forks": "68", "addStars": "1", "contributors": [ @@ -115,80 +115,6 @@ } ] }, - { - "title": "NVIDIA/CUDALibrarySamples", - "url": "https://github.com/NVIDIA/CUDALibrarySamples", - "description": "CUDA Library Samples", - "language": "Cuda", - "languageColor": "#3A4E3A", - "stars": "1,624", - "forks": "349", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/50021634?s=40&v=4", - "name": "mnicely", - "url": "https://github.com/mnicely" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50413820?s=40&v=4", - "name": "fbusato", - "url": "https://github.com/fbusato" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20576829?s=40&v=4", - "name": "almogsegal", - "url": "https://github.com/almogsegal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5178240?s=40&v=4", - "name": "springer13", - "url": "https://github.com/springer13" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1907101?s=40&v=4", - "name": "mrogowski", - "url": "https://github.com/mrogowski" - } - ] - }, - { - "title": "rapidsai/cugraph", - "url": "https://github.com/rapidsai/cugraph", - "description": "cuGraph - RAPIDS Graph Analytics Library", - "language": "Cuda", - "languageColor": "#3A4E3A", - "stars": "1,760", - "forks": "304", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/34135411?s=40&v=4", - "name": "BradReesWork", - "url": "https://github.com/BradReesWork" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45606510?s=40&v=4", - "name": "afender", - "url": "https://github.com/afender" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45364586?s=40&v=4", - "name": "ChuckHastings", - "url": "https://github.com/ChuckHastings" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45857425?s=40&v=4", - "name": "seunghwak", - "url": "https://github.com/seunghwak" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41401566?s=40&v=4", - "name": "Iroy30", - "url": "https://github.com/Iroy30" - } - ] - }, { "title": "rapidsai/raft", "url": "https://github.com/rapidsai/raft", @@ -227,98 +153,113 @@ ] }, { - "title": "rapidsai/wholegraph", - "url": "https://github.com/rapidsai/wholegraph", - "description": "WholeGraph - large scale Graph Neural Networks", + "title": "HazyResearch/ThunderKittens", + "url": "https://github.com/HazyResearch/ThunderKittens", + "description": "Tile primitives for speedy kernels", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "100", - "forks": "38", - "addStars": "0", + "stars": "1,671", + "forks": "71", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/38199262?s=40&v=4", - "name": "GPUtester", - "url": "https://github.com/GPUtester" + "avatar": "https://avatars.githubusercontent.com/u/11230781?s=40&v=4", + "name": "benjaminfspector", + "url": "https://github.com/benjaminfspector" }, { - "avatar": "https://avatars.githubusercontent.com/u/78518666?s=40&v=4", - "name": "dongxuy04", - "url": "https://github.com/dongxuy04" + "avatar": "https://avatars.githubusercontent.com/u/48185825?s=40&v=4", + "name": "Aaryan0404", + "url": "https://github.com/Aaryan0404" }, { - "avatar": "https://avatars.githubusercontent.com/u/3107146?s=40&v=4", - "name": "raydouglass", - "url": "https://github.com/raydouglass" + "avatar": "https://avatars.githubusercontent.com/u/29069240?s=40&v=4", + "name": "simran-arora", + "url": "https://github.com/simran-arora" }, { - "avatar": "https://avatars.githubusercontent.com/u/7608904?s=40&v=4", - "name": "jameslamb", - "url": "https://github.com/jameslamb" + "avatar": "https://avatars.githubusercontent.com/u/4600866?s=40&v=4", + "name": "DanFu09", + "url": "https://github.com/DanFu09" }, { - "avatar": "https://avatars.githubusercontent.com/u/141609318?s=40&v=4", - "name": "linhu-nv", - "url": "https://github.com/linhu-nv" + "avatar": "https://avatars.githubusercontent.com/u/32619669?s=40&v=4", + "name": "qamcintyre", + "url": "https://github.com/qamcintyre" } ] }, { - "title": "Tony-Tan/CUDA_Freshman", - "url": "https://github.com/Tony-Tan/CUDA_Freshman", - "description": "", + "title": "NVIDIA/nvbench", + "url": "https://github.com/NVIDIA/nvbench", + "description": "CUDA Kernel Benchmarking Library", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "2,211", - "forks": "440", + "stars": "521", + "forks": "66", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9390153?s=40&v=4", - "name": "Tony-Tan", - "url": "https://github.com/Tony-Tan" + "avatar": "https://avatars.githubusercontent.com/u/58744?s=40&v=4", + "name": "alliepiper", + "url": "https://github.com/alliepiper" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9890394?s=40&v=4", + "name": "gevtushenko", + "url": "https://github.com/gevtushenko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/215756?s=40&v=4", + "name": "robertmaynard", + "url": "https://github.com/robertmaynard" }, { - "avatar": "https://avatars.githubusercontent.com/u/60910989?s=40&v=4", - "name": "l1nkr", - "url": "https://github.com/l1nkr" + "avatar": "https://avatars.githubusercontent.com/u/12716979?s=40&v=4", + "name": "PointKernel", + "url": "https://github.com/PointKernel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1538165?s=40&v=4", + "name": "vyasr", + "url": "https://github.com/vyasr" } ] }, { - "title": "Dao-AILab/causal-conv1d", - "url": "https://github.com/Dao-AILab/causal-conv1d", - "description": "Causal depthwise conv1d in CUDA, with a PyTorch interface", + "title": "NVIDIA/cub", + "url": "https://github.com/NVIDIA/cub", + "description": "[ARCHIVED] Cooperative primitives for CUDA C++. See https://github.com/NVIDIA/cccl", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "333", - "forks": "61", + "stars": "1,683", + "forks": "447", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5616128?s=40&v=4", - "name": "tridao", - "url": "https://github.com/tridao" + "avatar": "https://avatars.githubusercontent.com/u/9890394?s=40&v=4", + "name": "gevtushenko", + "url": "https://github.com/gevtushenko" }, { - "avatar": "https://avatars.githubusercontent.com/u/164261418?s=40&v=4", - "name": "ajassani", - "url": "https://github.com/ajassani" + "avatar": "https://avatars.githubusercontent.com/u/58744?s=40&v=4", + "name": "alliepiper", + "url": "https://github.com/alliepiper" }, { - "avatar": "https://avatars.githubusercontent.com/u/158011354?s=40&v=4", - "name": "amoskvic", - "url": "https://github.com/amoskvic" + "avatar": "https://avatars.githubusercontent.com/u/1476032?s=40&v=4", + "name": "dumerrill", + "url": "https://github.com/dumerrill" }, { - "avatar": "https://avatars.githubusercontent.com/u/9017200?s=40&v=4", - "name": "havietisov", - "url": "https://github.com/havietisov" + "avatar": "https://avatars.githubusercontent.com/u/398194?s=40&v=4", + "name": "brycelelbach", + "url": "https://github.com/brycelelbach" }, { - "avatar": "https://avatars.githubusercontent.com/u/32878682?s=40&v=4", - "name": "IamShubhamGupto", - "url": "https://github.com/IamShubhamGupto" + "avatar": "https://avatars.githubusercontent.com/u/3958403?s=40&v=4", + "name": "elstehle", + "url": "https://github.com/elstehle" } ] }, @@ -328,8 +269,8 @@ "description": "FlashInfer: Kernel Library for LLM Serving", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "1,463", - "forks": "143", + "stars": "1,466", + "forks": "144", "addStars": "1", "contributors": [ { @@ -353,6 +294,80 @@ "url": "https://github.com/zhyncs" } ] + }, + { + "title": "NVIDIA/nccl-tests", + "url": "https://github.com/NVIDIA/nccl-tests", + "description": "NCCL Tests", + "language": "Cuda", + "languageColor": "#3A4E3A", + "stars": "904", + "forks": "241", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2538330?s=40&v=4", + "name": "AddyLaddy", + "url": "https://github.com/AddyLaddy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12857445?s=40&v=4", + "name": "sjeaugey", + "url": "https://github.com/sjeaugey" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/687269?s=40&v=4", + "name": "lukeyeager", + "url": "https://github.com/lukeyeager" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2293859?s=40&v=4", + "name": "jbachan", + "url": "https://github.com/jbachan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6690627?s=40&v=4", + "name": "jithinjosepkl", + "url": "https://github.com/jithinjosepkl" + } + ] + }, + { + "title": "NVIDIA/CUDALibrarySamples", + "url": "https://github.com/NVIDIA/CUDALibrarySamples", + "description": "CUDA Library Samples", + "language": "Cuda", + "languageColor": "#3A4E3A", + "stars": "1,624", + "forks": "349", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/50021634?s=40&v=4", + "name": "mnicely", + "url": "https://github.com/mnicely" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50413820?s=40&v=4", + "name": "fbusato", + "url": "https://github.com/fbusato" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20576829?s=40&v=4", + "name": "almogsegal", + "url": "https://github.com/almogsegal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5178240?s=40&v=4", + "name": "springer13", + "url": "https://github.com/springer13" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1907101?s=40&v=4", + "name": "mrogowski", + "url": "https://github.com/mrogowski" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/cuda.xml b/data/daily/cuda.xml index 31d6fc03ec19..378f1c1bf9a4 100644 --- a/data/daily/cuda.xml +++ b/data/daily/cuda.xml @@ -3,45 +3,7 @@ GitHub Cuda Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cuda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - karpathy/llm.c - https://github.com/karpathy/llm.c - LLM training in simple, raw C/CUDA - https://github.com/karpathy/llm.c - Cuda - #3A4E3A - 24,536 - 2,780 - 10 - - - https://avatars.githubusercontent.com/u/241138?s=40&v=4 - karpathy - https://github.com/karpathy - - - https://avatars.githubusercontent.com/u/7938269?s=40&v=4 - ngc92 - https://github.com/ngc92 - - - https://avatars.githubusercontent.com/u/29271842?s=40&v=4 - gordicaleksa - https://github.com/gordicaleksa - - - https://avatars.githubusercontent.com/u/7082233?s=40&v=4 - ademeure - https://github.com/ademeure - - - https://avatars.githubusercontent.com/u/55313766?s=40&v=4 - rosslwheeler - https://github.com/rosslwheeler - - - + Mon, 25 Nov 2024 13:30:36 GMT NVlabs/instant-ngp https://github.com/NVlabs/instant-ngp @@ -80,6 +42,44 @@ + + karpathy/llm.c + https://github.com/karpathy/llm.c + LLM training in simple, raw C/CUDA + https://github.com/karpathy/llm.c + Cuda + #3A4E3A + 24,542 + 2,780 + 10 + + + https://avatars.githubusercontent.com/u/241138?s=40&v=4 + karpathy + https://github.com/karpathy + + + https://avatars.githubusercontent.com/u/7938269?s=40&v=4 + ngc92 + https://github.com/ngc92 + + + https://avatars.githubusercontent.com/u/29271842?s=40&v=4 + gordicaleksa + https://github.com/gordicaleksa + + + https://avatars.githubusercontent.com/u/7082233?s=40&v=4 + ademeure + https://github.com/ademeure + + + https://avatars.githubusercontent.com/u/55313766?s=40&v=4 + rosslwheeler + https://github.com/rosslwheeler + + + rapidsai/cuvs https://github.com/rapidsai/cuvs @@ -87,7 +87,7 @@ https://github.com/rapidsai/cuvs Cuda #3A4E3A - 228 + 229 68 1 @@ -118,82 +118,6 @@ - - NVIDIA/CUDALibrarySamples - https://github.com/NVIDIA/CUDALibrarySamples - CUDA Library Samples - https://github.com/NVIDIA/CUDALibrarySamples - Cuda - #3A4E3A - 1,624 - 349 - 0 - - - https://avatars.githubusercontent.com/u/50021634?s=40&v=4 - mnicely - https://github.com/mnicely - - - https://avatars.githubusercontent.com/u/50413820?s=40&v=4 - fbusato - https://github.com/fbusato - - - https://avatars.githubusercontent.com/u/20576829?s=40&v=4 - almogsegal - https://github.com/almogsegal - - - https://avatars.githubusercontent.com/u/5178240?s=40&v=4 - springer13 - https://github.com/springer13 - - - https://avatars.githubusercontent.com/u/1907101?s=40&v=4 - mrogowski - https://github.com/mrogowski - - - - - rapidsai/cugraph - https://github.com/rapidsai/cugraph - cuGraph - RAPIDS Graph Analytics Library - https://github.com/rapidsai/cugraph - Cuda - #3A4E3A - 1,760 - 304 - 1 - - - https://avatars.githubusercontent.com/u/34135411?s=40&v=4 - BradReesWork - https://github.com/BradReesWork - - - https://avatars.githubusercontent.com/u/45606510?s=40&v=4 - afender - https://github.com/afender - - - https://avatars.githubusercontent.com/u/45364586?s=40&v=4 - ChuckHastings - https://github.com/ChuckHastings - - - https://avatars.githubusercontent.com/u/45857425?s=40&v=4 - seunghwak - https://github.com/seunghwak - - - https://avatars.githubusercontent.com/u/41401566?s=40&v=4 - Iroy30 - https://github.com/Iroy30 - - - rapidsai/raft https://github.com/rapidsai/raft @@ -233,101 +157,116 @@ - rapidsai/wholegraph - https://github.com/rapidsai/wholegraph - WholeGraph - large scale Graph Neural Networks - https://github.com/rapidsai/wholegraph + HazyResearch/ThunderKittens + https://github.com/HazyResearch/ThunderKittens + Tile primitives for speedy kernels + https://github.com/HazyResearch/ThunderKittens Cuda #3A4E3A - 100 - 38 - 0 + 1,671 + 71 + 4 - https://avatars.githubusercontent.com/u/38199262?s=40&v=4 - GPUtester - https://github.com/GPUtester + https://avatars.githubusercontent.com/u/11230781?s=40&v=4 + benjaminfspector + https://github.com/benjaminfspector - https://avatars.githubusercontent.com/u/78518666?s=40&v=4 - dongxuy04 - https://github.com/dongxuy04 + https://avatars.githubusercontent.com/u/48185825?s=40&v=4 + Aaryan0404 + https://github.com/Aaryan0404 - https://avatars.githubusercontent.com/u/3107146?s=40&v=4 - raydouglass - https://github.com/raydouglass + https://avatars.githubusercontent.com/u/29069240?s=40&v=4 + simran-arora + https://github.com/simran-arora - https://avatars.githubusercontent.com/u/7608904?s=40&v=4 - jameslamb - https://github.com/jameslamb + https://avatars.githubusercontent.com/u/4600866?s=40&v=4 + DanFu09 + https://github.com/DanFu09 - https://avatars.githubusercontent.com/u/141609318?s=40&v=4 - linhu-nv - https://github.com/linhu-nv + https://avatars.githubusercontent.com/u/32619669?s=40&v=4 + qamcintyre + https://github.com/qamcintyre - Tony-Tan/CUDA_Freshman - https://github.com/Tony-Tan/CUDA_Freshman - - https://github.com/Tony-Tan/CUDA_Freshman + NVIDIA/nvbench + https://github.com/NVIDIA/nvbench + CUDA Kernel Benchmarking Library + https://github.com/NVIDIA/nvbench Cuda #3A4E3A - 2,211 - 440 + 521 + 66 0 - https://avatars.githubusercontent.com/u/9390153?s=40&v=4 - Tony-Tan - https://github.com/Tony-Tan + https://avatars.githubusercontent.com/u/58744?s=40&v=4 + alliepiper + https://github.com/alliepiper + + + https://avatars.githubusercontent.com/u/9890394?s=40&v=4 + gevtushenko + https://github.com/gevtushenko + + + https://avatars.githubusercontent.com/u/215756?s=40&v=4 + robertmaynard + https://github.com/robertmaynard - https://avatars.githubusercontent.com/u/60910989?s=40&v=4 - l1nkr - https://github.com/l1nkr + https://avatars.githubusercontent.com/u/12716979?s=40&v=4 + PointKernel + https://github.com/PointKernel + + + https://avatars.githubusercontent.com/u/1538165?s=40&v=4 + vyasr + https://github.com/vyasr - Dao-AILab/causal-conv1d - https://github.com/Dao-AILab/causal-conv1d - Causal depthwise conv1d in CUDA, with a PyTorch interface - https://github.com/Dao-AILab/causal-conv1d + NVIDIA/cub + https://github.com/NVIDIA/cub + [ARCHIVED] Cooperative primitives for CUDA C++. See https://github.com/NVIDIA/cccl + https://github.com/NVIDIA/cub Cuda #3A4E3A - 333 - 61 + 1,683 + 447 0 - https://avatars.githubusercontent.com/u/5616128?s=40&v=4 - tridao - https://github.com/tridao + https://avatars.githubusercontent.com/u/9890394?s=40&v=4 + gevtushenko + https://github.com/gevtushenko - https://avatars.githubusercontent.com/u/164261418?s=40&v=4 - ajassani - https://github.com/ajassani + https://avatars.githubusercontent.com/u/58744?s=40&v=4 + alliepiper + https://github.com/alliepiper - https://avatars.githubusercontent.com/u/158011354?s=40&v=4 - amoskvic - https://github.com/amoskvic + https://avatars.githubusercontent.com/u/1476032?s=40&v=4 + dumerrill + https://github.com/dumerrill - https://avatars.githubusercontent.com/u/9017200?s=40&v=4 - havietisov - https://github.com/havietisov + https://avatars.githubusercontent.com/u/398194?s=40&v=4 + brycelelbach + https://github.com/brycelelbach - https://avatars.githubusercontent.com/u/32878682?s=40&v=4 - IamShubhamGupto - https://github.com/IamShubhamGupto + https://avatars.githubusercontent.com/u/3958403?s=40&v=4 + elstehle + https://github.com/elstehle @@ -338,8 +277,8 @@ https://github.com/flashinfer-ai/flashinfer Cuda #3A4E3A - 1,463 - 143 + 1,466 + 144 1 @@ -364,5 +303,81 @@ + + NVIDIA/nccl-tests + https://github.com/NVIDIA/nccl-tests + NCCL Tests + https://github.com/NVIDIA/nccl-tests + Cuda + #3A4E3A + 904 + 241 + 2 + + + https://avatars.githubusercontent.com/u/2538330?s=40&v=4 + AddyLaddy + https://github.com/AddyLaddy + + + https://avatars.githubusercontent.com/u/12857445?s=40&v=4 + sjeaugey + https://github.com/sjeaugey + + + https://avatars.githubusercontent.com/u/687269?s=40&v=4 + lukeyeager + https://github.com/lukeyeager + + + https://avatars.githubusercontent.com/u/2293859?s=40&v=4 + jbachan + https://github.com/jbachan + + + https://avatars.githubusercontent.com/u/6690627?s=40&v=4 + jithinjosepkl + https://github.com/jithinjosepkl + + + + + NVIDIA/CUDALibrarySamples + https://github.com/NVIDIA/CUDALibrarySamples + CUDA Library Samples + https://github.com/NVIDIA/CUDALibrarySamples + Cuda + #3A4E3A + 1,624 + 349 + 0 + + + https://avatars.githubusercontent.com/u/50021634?s=40&v=4 + mnicely + https://github.com/mnicely + + + https://avatars.githubusercontent.com/u/50413820?s=40&v=4 + fbusato + https://github.com/fbusato + + + https://avatars.githubusercontent.com/u/20576829?s=40&v=4 + almogsegal + https://github.com/almogsegal + + + https://avatars.githubusercontent.com/u/5178240?s=40&v=4 + springer13 + https://github.com/springer13 + + + https://avatars.githubusercontent.com/u/1907101?s=40&v=4 + mrogowski + https://github.com/mrogowski + + + \ No newline at end of file diff --git a/data/daily/cue-sheet.json b/data/daily/cue-sheet.json index 6fba3ec2300d..7275b85f1821 100644 --- a/data/daily/cue-sheet.json +++ b/data/daily/cue-sheet.json @@ -2,6 +2,6 @@ "title": "GitHub Cue-sheet Languages Daily Trending", "description": "Daily Trending of Cue-sheet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cue-sheet.xml b/data/daily/cue-sheet.xml index 22c6f0af8b04..856398882a65 100644 --- a/data/daily/cue-sheet.xml +++ b/data/daily/cue-sheet.xml @@ -3,6 +3,6 @@ GitHub Cue-sheet Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cue-sheet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cue.json b/data/daily/cue.json index cfd78dab053e..df9a7a745f13 100644 --- a/data/daily/cue.json +++ b/data/daily/cue.json @@ -2,6 +2,6 @@ "title": "GitHub Cue Languages Daily Trending", "description": "Daily Trending of Cue Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cue.xml b/data/daily/cue.xml index 17b6fffded29..e0a12e0d6c2c 100644 --- a/data/daily/cue.xml +++ b/data/daily/cue.xml @@ -3,6 +3,6 @@ GitHub Cue Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cue Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/curl-config.json b/data/daily/curl-config.json index b5969acbadf1..b120c1722bad 100644 --- a/data/daily/curl-config.json +++ b/data/daily/curl-config.json @@ -2,6 +2,6 @@ "title": "GitHub Curl-config Languages Daily Trending", "description": "Daily Trending of Curl-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/curl-config.xml b/data/daily/curl-config.xml index 05137240d82e..e591c308bbea 100644 --- a/data/daily/curl-config.xml +++ b/data/daily/curl-config.xml @@ -3,6 +3,6 @@ GitHub Curl-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Curl-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/curry.json b/data/daily/curry.json index 3a9fbfccb8b7..651803f1d318 100644 --- a/data/daily/curry.json +++ b/data/daily/curry.json @@ -2,6 +2,6 @@ "title": "GitHub Curry Languages Daily Trending", "description": "Daily Trending of Curry Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/curry.xml b/data/daily/curry.xml index 9200dc1fdb31..13e298e30368 100644 --- a/data/daily/curry.xml +++ b/data/daily/curry.xml @@ -3,6 +3,6 @@ GitHub Curry Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Curry Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cweb.json b/data/daily/cweb.json index ad918b026f6b..9d4c1dbb7d42 100644 --- a/data/daily/cweb.json +++ b/data/daily/cweb.json @@ -2,6 +2,6 @@ "title": "GitHub Cweb Languages Daily Trending", "description": "Daily Trending of Cweb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cweb.xml b/data/daily/cweb.xml index 6d768097000c..6ae08df24039 100644 --- a/data/daily/cweb.xml +++ b/data/daily/cweb.xml @@ -3,6 +3,6 @@ GitHub Cweb Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cweb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cycript.json b/data/daily/cycript.json index 02bb5a37457b..80b67ce63a2f 100644 --- a/data/daily/cycript.json +++ b/data/daily/cycript.json @@ -2,6 +2,6 @@ "title": "GitHub Cycript Languages Daily Trending", "description": "Daily Trending of Cycript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cycript.xml b/data/daily/cycript.xml index 59ba0d7006b4..e55d563841e3 100644 --- a/data/daily/cycript.xml +++ b/data/daily/cycript.xml @@ -3,6 +3,6 @@ GitHub Cycript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cycript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cypher.json b/data/daily/cypher.json index 000ee9013129..774b73930f4b 100644 --- a/data/daily/cypher.json +++ b/data/daily/cypher.json @@ -2,6 +2,6 @@ "title": "GitHub Cypher Languages Daily Trending", "description": "Daily Trending of Cypher Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/cypher.xml b/data/daily/cypher.xml index 05c39d43e177..0baf5a1062d7 100644 --- a/data/daily/cypher.xml +++ b/data/daily/cypher.xml @@ -3,6 +3,6 @@ GitHub Cypher Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cypher Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/cython.json b/data/daily/cython.json index b76e5af36812..0a1e32195016 100644 --- a/data/daily/cython.json +++ b/data/daily/cython.json @@ -2,15 +2,52 @@ "title": "GitHub Cython Languages Daily Trending", "description": "Daily Trending of Cython Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "MagicStack/uvloop", + "url": "https://github.com/MagicStack/uvloop", + "description": "Ultra fast asyncio event loop.", + "language": "Cython", + "languageColor": "#fedf5b", + "stars": "10,441", + "forks": "547", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/239003?s=40&v=4", + "name": "1st1", + "url": "https://github.com/1st1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1751601?s=40&v=4", + "name": "fantix", + "url": "https://github.com/fantix" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/347119?s=40&v=4", + "name": "elprans", + "url": "https://github.com/elprans" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1567624?s=40&v=4", + "name": "jlaine", + "url": "https://github.com/jlaine" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/413772?s=40&v=4", + "name": "graingert", + "url": "https://github.com/graingert" + } + ] + }, { "title": "TA-Lib/ta-lib-python", "url": "https://github.com/TA-Lib/ta-lib-python", "description": "Python wrapper for TA-Lib (http://ta-lib.org/).", "language": "Cython", "languageColor": "#fedf5b", - "stars": "9,774", + "stars": "9,778", "forks": "1,772", "addStars": "1", "contributors": [ @@ -40,80 +77,6 @@ "url": "https://github.com/mckelvin" } ] - }, - { - "title": "discord/erlpack", - "url": "https://github.com/discord/erlpack", - "description": "High Performance Erlang Term Format Packer", - "language": "Cython", - "languageColor": "#fedf5b", - "stars": "224", - "forks": "66", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/90868?s=40&v=4", - "name": "jasoncitron", - "url": "https://github.com/jasoncitron" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5489149?s=40&v=4", - "name": "jhgg", - "url": "https://github.com/jhgg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/361428?s=40&v=4", - "name": "zorkian", - "url": "https://github.com/zorkian" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/144914?s=40&v=4", - "name": "adill", - "url": "https://github.com/adill" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/724069?s=40&v=4", - "name": "birarda", - "url": "https://github.com/birarda" - } - ] - }, - { - "title": "MagicStack/uvloop", - "url": "https://github.com/MagicStack/uvloop", - "description": "Ultra fast asyncio event loop.", - "language": "Cython", - "languageColor": "#fedf5b", - "stars": "10,439", - "forks": "546", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/239003?s=40&v=4", - "name": "1st1", - "url": "https://github.com/1st1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1751601?s=40&v=4", - "name": "fantix", - "url": "https://github.com/fantix" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/347119?s=40&v=4", - "name": "elprans", - "url": "https://github.com/elprans" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1567624?s=40&v=4", - "name": "jlaine", - "url": "https://github.com/jlaine" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/413772?s=40&v=4", - "name": "graingert", - "url": "https://github.com/graingert" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/cython.xml b/data/daily/cython.xml index 752fb81ed38c..aee3e5c556d2 100644 --- a/data/daily/cython.xml +++ b/data/daily/cython.xml @@ -3,7 +3,45 @@ GitHub Cython Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Cython Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + MagicStack/uvloop + https://github.com/MagicStack/uvloop + Ultra fast asyncio event loop. + https://github.com/MagicStack/uvloop + Cython + #fedf5b + 10,441 + 547 + 3 + + + https://avatars.githubusercontent.com/u/239003?s=40&v=4 + 1st1 + https://github.com/1st1 + + + https://avatars.githubusercontent.com/u/1751601?s=40&v=4 + fantix + https://github.com/fantix + + + https://avatars.githubusercontent.com/u/347119?s=40&v=4 + elprans + https://github.com/elprans + + + https://avatars.githubusercontent.com/u/1567624?s=40&v=4 + jlaine + https://github.com/jlaine + + + https://avatars.githubusercontent.com/u/413772?s=40&v=4 + graingert + https://github.com/graingert + + + TA-Lib/ta-lib-python https://github.com/TA-Lib/ta-lib-python @@ -11,7 +49,7 @@ https://github.com/TA-Lib/ta-lib-python Cython #fedf5b - 9,774 + 9,778 1,772 1 @@ -42,81 +80,5 @@ - - discord/erlpack - https://github.com/discord/erlpack - High Performance Erlang Term Format Packer - https://github.com/discord/erlpack - Cython - #fedf5b - 224 - 66 - 0 - - - https://avatars.githubusercontent.com/u/90868?s=40&v=4 - jasoncitron - https://github.com/jasoncitron - - - https://avatars.githubusercontent.com/u/5489149?s=40&v=4 - jhgg - https://github.com/jhgg - - - https://avatars.githubusercontent.com/u/361428?s=40&v=4 - zorkian - https://github.com/zorkian - - - https://avatars.githubusercontent.com/u/144914?s=40&v=4 - adill - https://github.com/adill - - - https://avatars.githubusercontent.com/u/724069?s=40&v=4 - birarda - https://github.com/birarda - - - - - MagicStack/uvloop - https://github.com/MagicStack/uvloop - Ultra fast asyncio event loop. - https://github.com/MagicStack/uvloop - Cython - #fedf5b - 10,439 - 546 - 3 - - - https://avatars.githubusercontent.com/u/239003?s=40&v=4 - 1st1 - https://github.com/1st1 - - - https://avatars.githubusercontent.com/u/1751601?s=40&v=4 - fantix - https://github.com/fantix - - - https://avatars.githubusercontent.com/u/347119?s=40&v=4 - elprans - https://github.com/elprans - - - https://avatars.githubusercontent.com/u/1567624?s=40&v=4 - jlaine - https://github.com/jlaine - - - https://avatars.githubusercontent.com/u/413772?s=40&v=4 - graingert - https://github.com/graingert - - - \ No newline at end of file diff --git a/data/daily/d-objdump.json b/data/daily/d-objdump.json index b890484ccfd5..3758cae13a49 100644 --- a/data/daily/d-objdump.json +++ b/data/daily/d-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub D-objdump Languages Daily Trending", "description": "Daily Trending of D-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/d-objdump.xml b/data/daily/d-objdump.xml index eea989f91580..24d55bc8ccfe 100644 --- a/data/daily/d-objdump.xml +++ b/data/daily/d-objdump.xml @@ -3,6 +3,6 @@ GitHub D-objdump Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of D-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/d.json b/data/daily/d.json index f234e6c6af86..ec80dc629524 100644 --- a/data/daily/d.json +++ b/data/daily/d.json @@ -2,8 +2,45 @@ "title": "GitHub D Languages Daily Trending", "description": "Daily Trending of D Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "dlang/dmd", + "url": "https://github.com/dlang/dmd", + "description": "dmd D Programming Language compiler", + "language": "D", + "languageColor": "#ba595e", + "stars": "2,975", + "forks": "607", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/568298?s=40&v=4", + "name": "WalterBright", + "url": "https://github.com/WalterBright" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15698993?s=40&v=4", + "name": "dlang-bot", + "url": "https://github.com/dlang-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/580100?s=40&v=4", + "name": "yebblies", + "url": "https://github.com/yebblies" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/397929?s=40&v=4", + "name": "ibuclaw", + "url": "https://github.com/ibuclaw" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/288976?s=40&v=4", + "name": "MartinNowak", + "url": "https://github.com/MartinNowak" + } + ] + }, { "title": "ldc-developers/ldc", "url": "https://github.com/ldc-developers/ldc", @@ -42,76 +79,113 @@ ] }, { - "title": "dlang/dmd", - "url": "https://github.com/dlang/dmd", - "description": "dmd D Programming Language compiler", + "title": "Dadoum/anisette-v3-server", + "url": "https://github.com/Dadoum/anisette-v3-server", + "description": "sidestore's anisette-v3 compatible server", "language": "D", "languageColor": "#ba595e", - "stars": "2,974", - "forks": "607", + "stars": "204", + "forks": "1,303", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/24679280?s=40&v=4", + "name": "Dadoum", + "url": "https://github.com/Dadoum" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26381427?s=40&v=4", + "name": "Macleykun", + "url": "https://github.com/Macleykun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8869678?s=40&v=4", + "name": "rkreutz", + "url": "https://github.com/rkreutz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12706786?s=40&v=4", + "name": "kabiroberai", + "url": "https://github.com/kabiroberai" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/56937875?s=40&v=4", + "name": "shalva-an", + "url": "https://github.com/shalva-an" + } + ] + }, + { + "title": "abraunegg/onedrive", + "url": "https://github.com/abraunegg/onedrive", + "description": "OneDrive Client for Linux", + "language": "D", + "languageColor": "#ba595e", + "stars": "10,183", + "forks": "866", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/568298?s=40&v=4", - "name": "WalterBright", - "url": "https://github.com/WalterBright" + "avatar": "https://avatars.githubusercontent.com/u/4956234?s=40&v=4", + "name": "abraunegg", + "url": "https://github.com/abraunegg" }, { - "avatar": "https://avatars.githubusercontent.com/u/15698993?s=40&v=4", - "name": "dlang-bot", - "url": "https://github.com/dlang-bot" + "avatar": "https://avatars.githubusercontent.com/u/1735589?s=40&v=4", + "name": "norbusan", + "url": "https://github.com/norbusan" }, { - "avatar": "https://avatars.githubusercontent.com/u/580100?s=40&v=4", - "name": "yebblies", - "url": "https://github.com/yebblies" + "avatar": "https://avatars.githubusercontent.com/u/8294159?s=40&v=4", + "name": "Lyncredible", + "url": "https://github.com/Lyncredible" }, { - "avatar": "https://avatars.githubusercontent.com/u/397929?s=40&v=4", - "name": "ibuclaw", - "url": "https://github.com/ibuclaw" + "avatar": "https://avatars.githubusercontent.com/u/420848?s=40&v=4", + "name": "sbadia", + "url": "https://github.com/sbadia" }, { - "avatar": "https://avatars.githubusercontent.com/u/288976?s=40&v=4", - "name": "MartinNowak", - "url": "https://github.com/MartinNowak" + "avatar": "https://avatars.githubusercontent.com/u/5054407?s=40&v=4", + "name": "bmccafferty", + "url": "https://github.com/bmccafferty" } ] }, { - "title": "dlang/phobos", - "url": "https://github.com/dlang/phobos", - "description": "The standard library of the D programming language", + "title": "gnunn1/tilix", + "url": "https://github.com/gnunn1/tilix", + "description": "A tiling terminal emulator for Linux using GTK+ 3", "language": "D", "languageColor": "#ba595e", - "stars": "1,181", - "forks": "702", - "addStars": "1", + "stars": "5,424", + "forks": "292", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/566679?s=40&v=4", - "name": "andralex", - "url": "https://github.com/andralex" + "avatar": "https://avatars.githubusercontent.com/u/9358238?s=40&v=4", + "name": "gnunn1", + "url": "https://github.com/gnunn1" }, { - "avatar": "https://avatars.githubusercontent.com/u/15698993?s=40&v=4", - "name": "dlang-bot", - "url": "https://github.com/dlang-bot" + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" }, { - "avatar": "https://avatars.githubusercontent.com/u/579956?s=40&v=4", - "name": "jmdavis", - "url": "https://github.com/jmdavis" + "avatar": "https://avatars.githubusercontent.com/u/29852?s=40&v=4", + "name": "phw", + "url": "https://github.com/phw" }, { - "avatar": "https://avatars.githubusercontent.com/u/4370550?s=40&v=4", - "name": "wilzbach", - "url": "https://github.com/wilzbach" + "avatar": "https://avatars.githubusercontent.com/u/14939413?s=40&v=4", + "name": "f2404", + "url": "https://github.com/f2404" }, { - "avatar": "https://avatars.githubusercontent.com/u/568298?s=40&v=4", - "name": "WalterBright", - "url": "https://github.com/WalterBright" + "avatar": "https://avatars.githubusercontent.com/u/417468?s=40&v=4", + "name": "ximion", + "url": "https://github.com/ximion" } ] } diff --git a/data/daily/d.xml b/data/daily/d.xml index 1ada77c0cece..ccc2d07db867 100644 --- a/data/daily/d.xml +++ b/data/daily/d.xml @@ -3,7 +3,45 @@ GitHub D Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of D Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + dlang/dmd + https://github.com/dlang/dmd + dmd D Programming Language compiler + https://github.com/dlang/dmd + D + #ba595e + 2,975 + 607 + 2 + + + https://avatars.githubusercontent.com/u/568298?s=40&v=4 + WalterBright + https://github.com/WalterBright + + + https://avatars.githubusercontent.com/u/15698993?s=40&v=4 + dlang-bot + https://github.com/dlang-bot + + + https://avatars.githubusercontent.com/u/580100?s=40&v=4 + yebblies + https://github.com/yebblies + + + https://avatars.githubusercontent.com/u/397929?s=40&v=4 + ibuclaw + https://github.com/ibuclaw + + + https://avatars.githubusercontent.com/u/288976?s=40&v=4 + MartinNowak + https://github.com/MartinNowak + + + ldc-developers/ldc https://github.com/ldc-developers/ldc @@ -43,78 +81,116 @@ - dlang/dmd - https://github.com/dlang/dmd - dmd D Programming Language compiler - https://github.com/dlang/dmd + Dadoum/anisette-v3-server + https://github.com/Dadoum/anisette-v3-server + sidestore's anisette-v3 compatible server + https://github.com/Dadoum/anisette-v3-server D #ba595e - 2,974 - 607 + 204 + 1,303 + 1 + + + https://avatars.githubusercontent.com/u/24679280?s=40&v=4 + Dadoum + https://github.com/Dadoum + + + https://avatars.githubusercontent.com/u/26381427?s=40&v=4 + Macleykun + https://github.com/Macleykun + + + https://avatars.githubusercontent.com/u/8869678?s=40&v=4 + rkreutz + https://github.com/rkreutz + + + https://avatars.githubusercontent.com/u/12706786?s=40&v=4 + kabiroberai + https://github.com/kabiroberai + + + https://avatars.githubusercontent.com/u/56937875?s=40&v=4 + shalva-an + https://github.com/shalva-an + + + + + abraunegg/onedrive + https://github.com/abraunegg/onedrive + OneDrive Client for Linux + https://github.com/abraunegg/onedrive + D + #ba595e + 10,183 + 866 2 - https://avatars.githubusercontent.com/u/568298?s=40&v=4 - WalterBright - https://github.com/WalterBright + https://avatars.githubusercontent.com/u/4956234?s=40&v=4 + abraunegg + https://github.com/abraunegg - https://avatars.githubusercontent.com/u/15698993?s=40&v=4 - dlang-bot - https://github.com/dlang-bot + https://avatars.githubusercontent.com/u/1735589?s=40&v=4 + norbusan + https://github.com/norbusan - https://avatars.githubusercontent.com/u/580100?s=40&v=4 - yebblies - https://github.com/yebblies + https://avatars.githubusercontent.com/u/8294159?s=40&v=4 + Lyncredible + https://github.com/Lyncredible - https://avatars.githubusercontent.com/u/397929?s=40&v=4 - ibuclaw - https://github.com/ibuclaw + https://avatars.githubusercontent.com/u/420848?s=40&v=4 + sbadia + https://github.com/sbadia - https://avatars.githubusercontent.com/u/288976?s=40&v=4 - MartinNowak - https://github.com/MartinNowak + https://avatars.githubusercontent.com/u/5054407?s=40&v=4 + bmccafferty + https://github.com/bmccafferty - dlang/phobos - https://github.com/dlang/phobos - The standard library of the D programming language - https://github.com/dlang/phobos + gnunn1/tilix + https://github.com/gnunn1/tilix + A tiling terminal emulator for Linux using GTK+ 3 + https://github.com/gnunn1/tilix D #ba595e - 1,181 - 702 - 1 + 5,424 + 292 + 0 - https://avatars.githubusercontent.com/u/566679?s=40&v=4 - andralex - https://github.com/andralex + https://avatars.githubusercontent.com/u/9358238?s=40&v=4 + gnunn1 + https://github.com/gnunn1 - https://avatars.githubusercontent.com/u/15698993?s=40&v=4 - dlang-bot - https://github.com/dlang-bot + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate - https://avatars.githubusercontent.com/u/579956?s=40&v=4 - jmdavis - https://github.com/jmdavis + https://avatars.githubusercontent.com/u/29852?s=40&v=4 + phw + https://github.com/phw - https://avatars.githubusercontent.com/u/4370550?s=40&v=4 - wilzbach - https://github.com/wilzbach + https://avatars.githubusercontent.com/u/14939413?s=40&v=4 + f2404 + https://github.com/f2404 - https://avatars.githubusercontent.com/u/568298?s=40&v=4 - WalterBright - https://github.com/WalterBright + https://avatars.githubusercontent.com/u/417468?s=40&v=4 + ximion + https://github.com/ximion diff --git a/data/daily/d2.json b/data/daily/d2.json index 4c77ef6d0e1b..ff52aef1b739 100644 --- a/data/daily/d2.json +++ b/data/daily/d2.json @@ -2,6 +2,6 @@ "title": "GitHub D2 Languages Daily Trending", "description": "Daily Trending of D2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/d2.xml b/data/daily/d2.xml index 67f86b370066..956180ea1542 100644 --- a/data/daily/d2.xml +++ b/data/daily/d2.xml @@ -3,6 +3,6 @@ GitHub D2 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of D2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dafny.json b/data/daily/dafny.json index f02cca81974c..923c9c4b5e2d 100644 --- a/data/daily/dafny.json +++ b/data/daily/dafny.json @@ -2,7 +2,7 @@ "title": "GitHub Dafny Languages Daily Trending", "description": "Daily Trending of Dafny Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "aws/aws-encryption-sdk-dafny", diff --git a/data/daily/dafny.xml b/data/daily/dafny.xml index 5d114d863c05..bff9ee2f3759 100644 --- a/data/daily/dafny.xml +++ b/data/daily/dafny.xml @@ -3,7 +3,7 @@ GitHub Dafny Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dafny Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT aws/aws-encryption-sdk-dafny https://github.com/aws/aws-encryption-sdk-dafny diff --git a/data/daily/darcs-patch.json b/data/daily/darcs-patch.json index 84f018d481b0..609e659ee1a7 100644 --- a/data/daily/darcs-patch.json +++ b/data/daily/darcs-patch.json @@ -2,6 +2,6 @@ "title": "GitHub Darcs-patch Languages Daily Trending", "description": "Daily Trending of Darcs-patch Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/darcs-patch.xml b/data/daily/darcs-patch.xml index 2c8b61d43de6..ad5d9e35bbb6 100644 --- a/data/daily/darcs-patch.xml +++ b/data/daily/darcs-patch.xml @@ -3,6 +3,6 @@ GitHub Darcs-patch Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Darcs-patch Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dart.json b/data/daily/dart.json index 6cd6b6e12069..6595fc5b0ad8 100644 --- a/data/daily/dart.json +++ b/data/daily/dart.json @@ -2,79 +2,101 @@ "title": "GitHub Dart Languages Daily Trending", "description": "Daily Trending of Dart Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "GopeedLab/gopeed", - "url": "https://github.com/GopeedLab/gopeed", - "description": "A modern download manager that supports all platforms. Built with Golang and Flutter.", + "title": "ReVanced/revanced-manager", + "url": "https://github.com/ReVanced/revanced-manager", + "description": "💊 Application to use ReVanced on Android", "language": "Dart", "languageColor": "#00B4AB", - "stars": "17,021", - "forks": "1,198", - "addStars": "21", + "stars": "18,377", + "forks": "749", + "addStars": "25", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13160176?s=40&v=4", - "name": "monkeyWie", - "url": "https://github.com/monkeyWie" + "avatar": "https://avatars.githubusercontent.com/u/4687488?s=40&v=4", + "name": "ponces", + "url": "https://github.com/ponces" }, { - "avatar": "https://avatars.githubusercontent.com/u/19659202?s=40&v=4", - "name": "hugetiny", - "url": "https://github.com/hugetiny" + "avatar": "https://avatars.githubusercontent.com/u/93124920?s=40&v=4", + "name": "validcube", + "url": "https://github.com/validcube" }, { - "avatar": "https://avatars.githubusercontent.com/u/85693457?s=40&v=4", - "name": "pugaizai", - "url": "https://github.com/pugaizai" + "avatar": "https://avatars.githubusercontent.com/u/48486084?s=40&v=4", + "name": "Aunali321", + "url": "https://github.com/Aunali321" }, { - "avatar": "https://avatars.githubusercontent.com/u/109361374?s=40&v=4", - "name": "ElliotCHEN37", - "url": "https://github.com/ElliotCHEN37" + "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", + "name": "oSumAtrIX", + "url": "https://github.com/oSumAtrIX" }, { - "avatar": "https://avatars.githubusercontent.com/u/45711711?s=40&v=4", - "name": "MrGlp", - "url": "https://github.com/MrGlp" + "avatar": "https://avatars.githubusercontent.com/u/79272171?s=40&v=4", + "name": "Ushie", + "url": "https://github.com/Ushie" } ] }, { - "title": "hiddify/hiddify-app", - "url": "https://github.com/hiddify/hiddify-app", - "description": "Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free.", + "title": "academind/flutter-complete-guide-course-resources", + "url": "https://github.com/academind/flutter-complete-guide-course-resources", + "description": "Course resources (code snapshots & slides) for our complete Flutter & Dart course (https://acad.link/flutter).", "language": "Dart", "languageColor": "#00B4AB", - "stars": "17,733", - "forks": "1,589", - "addStars": "34", + "stars": "1,983", + "forks": "847", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/114227601?s=40&v=4", - "name": "hiddify-com", - "url": "https://github.com/hiddify-com" + "avatar": "https://avatars.githubusercontent.com/u/28806196?s=40&v=4", + "name": "maxschwarzmueller", + "url": "https://github.com/maxschwarzmueller" }, { - "avatar": "https://avatars.githubusercontent.com/u/132705824?s=40&v=4", - "name": "problematicconsumer", - "url": "https://github.com/problematicconsumer" + "avatar": "https://avatars.githubusercontent.com/u/26225174?s=40&v=4", + "name": "unsivilaudio", + "url": "https://github.com/unsivilaudio" + } + ] + }, + { + "title": "ente-io/ente", + "url": "https://github.com/ente-io/ente", + "description": "Fully open source, End to End Encrypted alternative to Google Photos and Apple Photos", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "16,235", + "forks": "837", + "addStars": "18", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/46242073?s=40&v=4", + "name": "abhinavkgrd", + "url": "https://github.com/abhinavkgrd" }, { - "avatar": "https://avatars.githubusercontent.com/u/125398461?s=40&v=4", - "name": "lymanjre", - "url": "https://github.com/lymanjre" + "avatar": "https://avatars.githubusercontent.com/u/24503581?s=40&v=4", + "name": "mnvr", + "url": "https://github.com/mnvr" }, { - "avatar": "https://avatars.githubusercontent.com/u/129010482?s=40&v=4", - "name": "HiddifyOfficial", - "url": "https://github.com/HiddifyOfficial" + "avatar": "https://avatars.githubusercontent.com/u/254676?s=40&v=4", + "name": "ua741", + "url": "https://github.com/ua741" }, { - "avatar": "https://avatars.githubusercontent.com/u/1331289?s=40&v=4", - "name": "amirsaam", - "url": "https://github.com/amirsaam" + "avatar": "https://avatars.githubusercontent.com/u/1161789?s=40&v=4", + "name": "vishnukvmd", + "url": "https://github.com/vishnukvmd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/77285023?s=40&v=4", + "name": "ashilkn", + "url": "https://github.com/ashilkn" } ] }, @@ -84,7 +106,7 @@ "description": "基于自定义规则的番剧采集APP,支持流媒体在线观看,支持弹幕。", "language": "Dart", "languageColor": "#00B4AB", - "stars": "5,054", + "stars": "5,065", "forks": "135", "addStars": "19", "contributors": [ @@ -116,98 +138,71 @@ ] }, { - "title": "lollipopkit/flutter_server_box", - "url": "https://github.com/lollipopkit/flutter_server_box", - "description": "ServerBox - server status & toolbox", + "title": "hiddify/hiddify-app", + "url": "https://github.com/hiddify/hiddify-app", + "description": "Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "4,880", - "forks": "309", - "addStars": "24", + "stars": "17,736", + "forks": "1,590", + "addStars": "34", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10864310?s=40&v=4", - "name": "lollipopkit", - "url": "https://github.com/lollipopkit" + "avatar": "https://avatars.githubusercontent.com/u/114227601?s=40&v=4", + "name": "hiddify-com", + "url": "https://github.com/hiddify-com" }, { - "avatar": "https://avatars.githubusercontent.com/u/7395735?s=40&v=4", - "name": "PaperCube", - "url": "https://github.com/PaperCube" + "avatar": "https://avatars.githubusercontent.com/u/132705824?s=40&v=4", + "name": "problematicconsumer", + "url": "https://github.com/problematicconsumer" }, { - "avatar": "https://avatars.githubusercontent.com/u/71180087?s=40&v=4", - "name": "Integral-Tech", - "url": "https://github.com/Integral-Tech" + "avatar": "https://avatars.githubusercontent.com/u/125398461?s=40&v=4", + "name": "lymanjre", + "url": "https://github.com/lymanjre" }, { - "avatar": "https://avatars.githubusercontent.com/u/72285529?s=40&v=4", - "name": "No06", - "url": "https://github.com/No06" + "avatar": "https://avatars.githubusercontent.com/u/129010482?s=40&v=4", + "name": "HiddifyOfficial", + "url": "https://github.com/HiddifyOfficial" }, { - "avatar": "https://avatars.githubusercontent.com/u/77213338?s=40&v=4", - "name": "its-tom", - "url": "https://github.com/its-tom" + "avatar": "https://avatars.githubusercontent.com/u/1331289?s=40&v=4", + "name": "amirsaam", + "url": "https://github.com/amirsaam" } ] }, { - "title": "guozhigq/pilipala", - "url": "https://github.com/guozhigq/pilipala", - "description": "PiliPala 是使用Flutter开发的BiliBili第三方客户端,感谢使用。", + "title": "flame-engine/flame", + "url": "https://github.com/flame-engine/flame", + "description": "A Flutter based game engine.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "7,503", - "forks": "309", - "addStars": "15", + "stars": "9,308", + "forks": "915", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25545225?s=40&v=4", - "name": "guozhigq", - "url": "https://github.com/guozhigq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17450420?s=40&v=4", - "name": "orz12", - "url": "https://github.com/orz12" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89803422?s=40&v=4", - "name": "VillagerTom", - "url": "https://github.com/VillagerTom" + "avatar": "https://avatars.githubusercontent.com/u/744771?s=40&v=4", + "name": "spydon", + "url": "https://github.com/spydon" }, { - "avatar": "https://avatars.githubusercontent.com/u/70067449?s=40&v=4", - "name": "Daydreamer-riri", - "url": "https://github.com/Daydreamer-riri" + "avatar": "https://avatars.githubusercontent.com/u/882703?s=40&v=4", + "name": "luanpotter", + "url": "https://github.com/luanpotter" }, { - "avatar": "https://avatars.githubusercontent.com/u/51787949?s=40&v=4", - "name": "KoolShow", - "url": "https://github.com/KoolShow" - } - ] - }, - { - "title": "KaringX/karing", - "url": "https://github.com/KaringX/karing", - "description": "Simple & Powerful proxy utility, Support routing rules for clash/sing-box", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "3,438", - "forks": "298", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/167741400?s=40&v=4", - "name": "GooRingX", - "url": "https://github.com/GooRingX" + "avatar": "https://avatars.githubusercontent.com/u/835641?s=40&v=4", + "name": "erickzanardo", + "url": "https://github.com/erickzanardo" }, { - "avatar": "https://avatars.githubusercontent.com/u/151888327?s=40&v=4", - "name": "ElonJunior", - "url": "https://github.com/ElonJunior" + "avatar": "https://avatars.githubusercontent.com/u/4231472?s=40&v=4", + "name": "st-pasha", + "url": "https://github.com/st-pasha" } ] }, @@ -249,209 +244,184 @@ ] }, { - "title": "chen08209/FlClash", - "url": "https://github.com/chen08209/FlClash", - "description": "A multi-platform proxy client based on ClashMeta,simple and easy to use, open-source and ad-free.", + "title": "canxin121/app_rhyme", + "url": "https://github.com/canxin121/app_rhyme", + "description": "使用Flutter和Rust构建的开源免费音乐软件", "language": "Dart", "languageColor": "#00B4AB", - "stars": "11,172", - "forks": "649", - "addStars": "41", + "stars": "1,713", + "forks": "89", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/66918471?s=40&v=4", - "name": "chen08209", - "url": "https://github.com/chen08209" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/69547456?s=40&v=4", + "name": "canxin121", + "url": "https://github.com/canxin121" }, { - "avatar": "https://avatars.githubusercontent.com/u/41893946?s=40&v=4", - "name": "txyyh", - "url": "https://github.com/txyyh" + "avatar": "https://avatars.githubusercontent.com/u/38352552?s=40&v=4", + "name": "nook4sh", + "url": "https://github.com/nook4sh" } ] }, { - "title": "ente-io/ente", - "url": "https://github.com/ente-io/ente", - "description": "Fully open source, End to End Encrypted alternative to Google Photos and Apple Photos", + "title": "KaringX/karing", + "url": "https://github.com/KaringX/karing", + "description": "Simple & Powerful proxy utility, Support routing rules for clash/sing-box", "language": "Dart", "languageColor": "#00B4AB", - "stars": "16,235", - "forks": "837", - "addStars": "18", + "stars": "3,439", + "forks": "298", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/46242073?s=40&v=4", - "name": "abhinavkgrd", - "url": "https://github.com/abhinavkgrd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24503581?s=40&v=4", - "name": "mnvr", - "url": "https://github.com/mnvr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/254676?s=40&v=4", - "name": "ua741", - "url": "https://github.com/ua741" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1161789?s=40&v=4", - "name": "vishnukvmd", - "url": "https://github.com/vishnukvmd" + "avatar": "https://avatars.githubusercontent.com/u/167741400?s=40&v=4", + "name": "GooRingX", + "url": "https://github.com/GooRingX" }, { - "avatar": "https://avatars.githubusercontent.com/u/77285023?s=40&v=4", - "name": "ashilkn", - "url": "https://github.com/ashilkn" + "avatar": "https://avatars.githubusercontent.com/u/151888327?s=40&v=4", + "name": "ElonJunior", + "url": "https://github.com/ElonJunior" } ] }, { - "title": "flame-engine/flame", - "url": "https://github.com/flame-engine/flame", - "description": "A Flutter based game engine.", + "title": "xiaoyaocz/dart_simple_live", + "url": "https://github.com/xiaoyaocz/dart_simple_live", + "description": "简简单单的看直播", "language": "Dart", "languageColor": "#00B4AB", - "stars": "9,305", - "forks": "915", - "addStars": "4", + "stars": "6,975", + "forks": "330", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/744771?s=40&v=4", - "name": "spydon", - "url": "https://github.com/spydon" + "avatar": "https://avatars.githubusercontent.com/u/16559082?s=40&v=4", + "name": "xiaoyaocz", + "url": "https://github.com/xiaoyaocz" }, { - "avatar": "https://avatars.githubusercontent.com/u/882703?s=40&v=4", - "name": "luanpotter", - "url": "https://github.com/luanpotter" + "avatar": "https://avatars.githubusercontent.com/u/53986661?s=40&v=4", + "name": "AprDeci", + "url": "https://github.com/AprDeci" }, { - "avatar": "https://avatars.githubusercontent.com/u/835641?s=40&v=4", - "name": "erickzanardo", - "url": "https://github.com/erickzanardo" + "avatar": "https://avatars.githubusercontent.com/u/5729152?s=40&v=4", + "name": "abcghy", + "url": "https://github.com/abcghy" }, { - "avatar": "https://avatars.githubusercontent.com/u/4231472?s=40&v=4", - "name": "st-pasha", - "url": "https://github.com/st-pasha" + "avatar": "https://avatars.githubusercontent.com/u/54741465?s=40&v=4", + "name": "lemonfog", + "url": "https://github.com/lemonfog" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/51714930?s=40&v=4", + "name": "s-kk", + "url": "https://github.com/s-kk" } ] }, { - "title": "mitesh77/Best-Flutter-UI-Templates", - "url": "https://github.com/mitesh77/Best-Flutter-UI-Templates", - "description": "completely free for everyone. Its build-in Flutter Dart.", + "title": "TheLastGimbus/GooglePhotosTakeoutHelper", + "url": "https://github.com/TheLastGimbus/GooglePhotosTakeoutHelper", + "description": "Script that organizes the Google Takeout archive into one big chronological folder", "language": "Dart", "languageColor": "#00B4AB", - "stars": "20,470", - "forks": "4,551", - "addStars": "4", + "stars": "4,050", + "forks": "201", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/38649435?s=40&v=4", - "name": "mitesh77", - "url": "https://github.com/mitesh77" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20480867?s=40&v=4", - "name": "IAmSarthakVerma", - "url": "https://github.com/IAmSarthakVerma" + "avatar": "https://avatars.githubusercontent.com/u/40139196?s=40&v=4", + "name": "TheLastGimbus", + "url": "https://github.com/TheLastGimbus" }, { - "avatar": "https://avatars.githubusercontent.com/u/56184661?s=40&v=4", - "name": "stonestorage", - "url": "https://github.com/stonestorage" + "avatar": "https://avatars.githubusercontent.com/u/25020780?s=40&v=4", + "name": "DalenW", + "url": "https://github.com/DalenW" }, { - "avatar": "https://avatars.githubusercontent.com/u/74351253?s=40&v=4", - "name": "ys1113457623", - "url": "https://github.com/ys1113457623" + "avatar": "https://avatars.githubusercontent.com/u/6493651?s=40&v=4", + "name": "jmigual", + "url": "https://github.com/jmigual" }, { - "avatar": "https://avatars.githubusercontent.com/u/13358141?s=40&v=4", - "name": "hart-james", - "url": "https://github.com/hart-james" + "avatar": "https://avatars.githubusercontent.com/u/159414?s=40&v=4", + "name": "hheimbuerger", + "url": "https://github.com/hheimbuerger" } ] }, { - "title": "ReVanced/revanced-manager", - "url": "https://github.com/ReVanced/revanced-manager", - "description": "💊 Application to use ReVanced on Android", + "title": "deckerst/aves", + "url": "https://github.com/deckerst/aves", + "description": "Aves is a gallery and metadata explorer app, built for Android with Flutter.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "18,376", - "forks": "749", - "addStars": "25", + "stars": "2,786", + "forks": "106", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4687488?s=40&v=4", - "name": "ponces", - "url": "https://github.com/ponces" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/93124920?s=40&v=4", - "name": "validcube", - "url": "https://github.com/validcube" + "avatar": "https://avatars.githubusercontent.com/u/13898333?s=40&v=4", + "name": "deckerst", + "url": "https://github.com/deckerst" }, { - "avatar": "https://avatars.githubusercontent.com/u/48486084?s=40&v=4", - "name": "Aunali321", - "url": "https://github.com/Aunali321" + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" }, { - "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", - "name": "oSumAtrIX", - "url": "https://github.com/oSumAtrIX" + "avatar": "https://avatars.githubusercontent.com/u/67607997?s=40&v=4", + "name": "rehork", + "url": "https://github.com/rehork" }, { - "avatar": "https://avatars.githubusercontent.com/u/79272171?s=40&v=4", - "name": "Ushie", - "url": "https://github.com/Ushie" + "avatar": "https://avatars.githubusercontent.com/u/47475676?s=40&v=4", + "name": "Linerly", + "url": "https://github.com/Linerly" } ] }, { - "title": "ImranR98/Obtainium", - "url": "https://github.com/ImranR98/Obtainium", - "description": "Get Android app updates straight from the source.", + "title": "lollipopkit/flutter_server_box", + "url": "https://github.com/lollipopkit/flutter_server_box", + "description": "ServerBox - server status & toolbox", "language": "Dart", "languageColor": "#00B4AB", - "stars": "8,225", - "forks": "178", - "addStars": "13", + "stars": "4,882", + "forks": "309", + "addStars": "24", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/30463115?s=40&v=4", - "name": "ImranR98", - "url": "https://github.com/ImranR98" + "avatar": "https://avatars.githubusercontent.com/u/10864310?s=40&v=4", + "name": "lollipopkit", + "url": "https://github.com/lollipopkit" }, { - "avatar": "https://avatars.githubusercontent.com/u/4068977?s=40&v=4", - "name": "gidano", - "url": "https://github.com/gidano" + "avatar": "https://avatars.githubusercontent.com/u/7395735?s=40&v=4", + "name": "PaperCube", + "url": "https://github.com/PaperCube" }, { - "avatar": "https://avatars.githubusercontent.com/u/101441707?s=40&v=4", - "name": "bluefly000", - "url": "https://github.com/bluefly000" + "avatar": "https://avatars.githubusercontent.com/u/71180087?s=40&v=4", + "name": "Integral-Tech", + "url": "https://github.com/Integral-Tech" }, { - "avatar": "https://avatars.githubusercontent.com/u/114265068?s=40&v=4", - "name": "re7gog", - "url": "https://github.com/re7gog" + "avatar": "https://avatars.githubusercontent.com/u/72285529?s=40&v=4", + "name": "No06", + "url": "https://github.com/No06" }, { - "avatar": "https://avatars.githubusercontent.com/u/50296346?s=40&v=4", - "name": "iDazai", - "url": "https://github.com/iDazai" + "avatar": "https://avatars.githubusercontent.com/u/77213338?s=40&v=4", + "name": "its-tom", + "url": "https://github.com/its-tom" } ] }, @@ -478,221 +448,315 @@ ] }, { - "title": "miru-project/miru-app", - "url": "https://github.com/miru-project/miru-app", - "description": "🎉 A versatile application that is free, open-source, and supports extension sources for videos, comics, and novels, available on Android, Windows, and Web platforms.", + "title": "KRTirtho/spotube", + "url": "https://github.com/KRTirtho/spotube", + "description": "🎧 Open source Spotify client that doesn't require Premium nor uses Electron! Available for both desktop & mobile!", "language": "Dart", "languageColor": "#00B4AB", - "stars": "4,153", - "forks": "167", - "addStars": "5", + "stars": "30,834", + "forks": "1,275", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/44718819?s=40&v=4", - "name": "MiaoMint", - "url": "https://github.com/MiaoMint" + "avatar": "https://avatars.githubusercontent.com/u/61944859?s=40&v=4", + "name": "KRTirtho", + "url": "https://github.com/KRTirtho" }, { - "avatar": "https://avatars.githubusercontent.com/u/106192957?s=40&v=4", - "name": "Atrafon", - "url": "https://github.com/Atrafon" + "avatar": "https://avatars.githubusercontent.com/u/3144291?s=40&v=4", + "name": "karniv00l", + "url": "https://github.com/karniv00l" }, { - "avatar": "https://avatars.githubusercontent.com/u/98482562?s=40&v=4", - "name": "kuragehimekurara1", - "url": "https://github.com/kuragehimekurara1" + "avatar": "https://avatars.githubusercontent.com/u/70111455?s=40&v=4", + "name": "MerkomassDev", + "url": "https://github.com/MerkomassDev" }, { - "avatar": "https://avatars.githubusercontent.com/u/56633229?s=40&v=4", - "name": "appdevelpo", - "url": "https://github.com/appdevelpo" + "avatar": "https://avatars.githubusercontent.com/u/73141750?s=40&v=4", + "name": "Demizo", + "url": "https://github.com/Demizo" + } + ] + }, + { + "title": "GopeedLab/gopeed", + "url": "https://github.com/GopeedLab/gopeed", + "description": "A modern download manager that supports all platforms. Built with Golang and Flutter.", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "17,030", + "forks": "1,198", + "addStars": "21", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13160176?s=40&v=4", + "name": "monkeyWie", + "url": "https://github.com/monkeyWie" }, { - "avatar": "https://avatars.githubusercontent.com/u/58957468?s=40&v=4", - "name": "agatemosu", - "url": "https://github.com/agatemosu" + "avatar": "https://avatars.githubusercontent.com/u/19659202?s=40&v=4", + "name": "hugetiny", + "url": "https://github.com/hugetiny" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/85693457?s=40&v=4", + "name": "pugaizai", + "url": "https://github.com/pugaizai" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/109361374?s=40&v=4", + "name": "ElliotCHEN37", + "url": "https://github.com/ElliotCHEN37" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45711711?s=40&v=4", + "name": "MrGlp", + "url": "https://github.com/MrGlp" } ] }, { - "title": "canxin121/app_rhyme", - "url": "https://github.com/canxin121/app_rhyme", - "description": "使用Flutter和Rust构建的开源免费音乐软件", + "title": "ImranR98/Obtainium", + "url": "https://github.com/ImranR98/Obtainium", + "description": "Get Android app updates straight from the source.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "1,713", - "forks": "87", - "addStars": "14", + "stars": "8,224", + "forks": "179", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/69547456?s=40&v=4", - "name": "canxin121", - "url": "https://github.com/canxin121" + "avatar": "https://avatars.githubusercontent.com/u/30463115?s=40&v=4", + "name": "ImranR98", + "url": "https://github.com/ImranR98" }, { - "avatar": "https://avatars.githubusercontent.com/u/38352552?s=40&v=4", - "name": "nook4sh", - "url": "https://github.com/nook4sh" + "avatar": "https://avatars.githubusercontent.com/u/4068977?s=40&v=4", + "name": "gidano", + "url": "https://github.com/gidano" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101441707?s=40&v=4", + "name": "bluefly000", + "url": "https://github.com/bluefly000" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/114265068?s=40&v=4", + "name": "re7gog", + "url": "https://github.com/re7gog" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50296346?s=40&v=4", + "name": "iDazai", + "url": "https://github.com/iDazai" } ] }, { - "title": "Predidit/oneAnime", - "url": "https://github.com/Predidit/oneAnime", - "description": "一款简洁清爽无广告的看番软件。 一款带弹幕的 anime1 第三方客户端,界面符合 Material You 规范。", + "title": "localsend/localsend", + "url": "https://github.com/localsend/localsend", + "description": "An open-source cross-platform alternative to AirDrop", "language": "Dart", "languageColor": "#00B4AB", - "stars": "1,147", - "forks": "22", - "addStars": "0", + "stars": "53,272", + "forks": "2,871", + "addStars": "118", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/34627277?s=40&v=4", - "name": "Predidit", - "url": "https://github.com/Predidit" + "avatar": "https://avatars.githubusercontent.com/u/38380847?s=40&v=4", + "name": "Tienisto", + "url": "https://github.com/Tienisto" }, { - "avatar": "https://avatars.githubusercontent.com/u/165868972?s=40&v=4", - "name": "songbirdzz", - "url": "https://github.com/songbirdzz" + "avatar": "https://avatars.githubusercontent.com/u/125032334?s=40&v=4", + "name": "sergd88", + "url": "https://github.com/sergd88" }, { - "avatar": "https://avatars.githubusercontent.com/u/134026642?s=40&v=4", - "name": "hyrulelinks", - "url": "https://github.com/hyrulelinks" + "avatar": "https://avatars.githubusercontent.com/u/78599753?s=40&v=4", + "name": "ShlomoCode", + "url": "https://github.com/ShlomoCode" }, { - "avatar": "https://avatars.githubusercontent.com/u/170739078?s=40&v=4", - "name": "IceTeaManiac", - "url": "https://github.com/IceTeaManiac" + "avatar": "https://avatars.githubusercontent.com/u/39922116?s=40&v=4", + "name": "TheGB0077", + "url": "https://github.com/TheGB0077" }, { - "avatar": "https://avatars.githubusercontent.com/u/37802688?s=40&v=4", - "name": "CAiNSEMBRACE", - "url": "https://github.com/CAiNSEMBRACE" + "avatar": "https://avatars.githubusercontent.com/u/4068977?s=40&v=4", + "name": "gidano", + "url": "https://github.com/gidano" } ] }, { - "title": "niuhuan/jasmine", - "url": "https://github.com/niuhuan/jasmine", - "description": "A comic browser,support Android / iOS / MacOS / Windows / Linux.", + "title": "Mobile-Artificial-Intelligence/maid", + "url": "https://github.com/Mobile-Artificial-Intelligence/maid", + "description": "Maid is a cross-platform Flutter app for interfacing with GGUF / llama.cpp models locally, and with Ollama and OpenAI models remotely.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "3,624", - "forks": "308", - "addStars": "2", + "stars": "1,493", + "forks": "164", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20847533?s=40&v=4", - "name": "niuhuan", - "url": "https://github.com/niuhuan" + "avatar": "https://avatars.githubusercontent.com/u/11537699?s=40&v=4", + "name": "danemadsen", + "url": "https://github.com/danemadsen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/116732926?s=40&v=4", + "name": "sfiannaca", + "url": "https://github.com/sfiannaca" }, { - "avatar": "https://avatars.githubusercontent.com/u/22335363?s=40&v=4", - "name": "karedem", - "url": "https://github.com/karedem" + "avatar": "https://avatars.githubusercontent.com/u/50274970?s=40&v=4", + "name": "Natakout", + "url": "https://github.com/Natakout" }, { - "avatar": "https://avatars.githubusercontent.com/u/14888379?s=40&v=4", - "name": "honjow", - "url": "https://github.com/honjow" + "avatar": "https://avatars.githubusercontent.com/u/108291164?s=40&v=4", + "name": "ThibautLEAUX", + "url": "https://github.com/ThibautLEAUX" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31427850?s=40&v=4", + "name": "ImgBotApp", + "url": "https://github.com/ImgBotApp" } ] }, { - "title": "wgh136/PicaComic", - "url": "https://github.com/wgh136/PicaComic", - "description": "A comic app built with Flutter, supporting multiple comic sources.", + "title": "Auties00/Reboot-Launcher", + "url": "https://github.com/Auties00/Reboot-Launcher", + "description": "GUI(Flutter & Fluent UI) and CLI Launcher for the Reboot Project", "language": "Dart", "languageColor": "#00B4AB", - "stars": "7,818", - "forks": "889", - "addStars": "4", + "stars": "62", + "forks": "28", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/67669799?s=40&v=4", - "name": "wgh136", - "url": "https://github.com/wgh136" + "avatar": "https://avatars.githubusercontent.com/u/28218457?s=40&v=4", + "name": "Auties00", + "url": "https://github.com/Auties00" }, { - "avatar": "https://avatars.githubusercontent.com/u/111511925?s=40&v=4", - "name": "NeKoOuO", - "url": "https://github.com/NeKoOuO" + "avatar": "https://avatars.githubusercontent.com/u/78514924?s=40&v=4", + "name": "FeelipMar", + "url": "https://github.com/FeelipMar" }, { - "avatar": "https://avatars.githubusercontent.com/u/38815993?s=40&v=4", - "name": "luckyray-fan", - "url": "https://github.com/luckyray-fan" + "avatar": "https://avatars.githubusercontent.com/u/106691854?s=40&v=4", + "name": "TeoVR-YT", + "url": "https://github.com/TeoVR-YT" }, { - "avatar": "https://avatars.githubusercontent.com/u/87805157?s=40&v=4", - "name": "Prixii", - "url": "https://github.com/Prixii" + "avatar": "https://avatars.githubusercontent.com/u/62541175?s=40&v=4", + "name": "Dixip52", + "url": "https://github.com/Dixip52" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/79972336?s=40&v=4", + "name": "KedisPL", + "url": "https://github.com/KedisPL" + } + ] + }, + { + "title": "kananinirav/Indian-IPTV-App", + "url": "https://github.com/kananinirav/Indian-IPTV-App", + "description": "Watch 500+ live india TV channels with IPTV Android Application", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "106", + "forks": "22", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/30398499?s=40&v=4", + "name": "kananinirav", + "url": "https://github.com/kananinirav" }, { - "avatar": "https://avatars.githubusercontent.com/u/20847533?s=40&v=4", - "name": "niuhuan", - "url": "https://github.com/niuhuan" + "avatar": "https://avatars.githubusercontent.com/u/74541944?s=40&v=4", + "name": "nisargpro", + "url": "https://github.com/nisargpro" } ] }, { - "title": "flutter/flutter", - "url": "https://github.com/flutter/flutter", - "description": "Flutter makes it easy and fast to build beautiful apps for mobile and beyond", + "title": "Notsfsssf/pixez-flutter", + "url": "https://github.com/Notsfsssf/pixez-flutter", + "description": "一个支持免代理直连及查看动图的第三方Pixiv flutter客户端", "language": "Dart", "languageColor": "#00B4AB", - "stars": "166,663", - "forks": "27,604", - "addStars": "71", + "stars": "7,660", + "forks": "306", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42042535?s=40&v=4", - "name": "engine-flutter-autoroll", - "url": "https://github.com/engine-flutter-autoroll" + "avatar": "https://avatars.githubusercontent.com/u/16934707?s=40&v=4", + "name": "Notsfsssf", + "url": "https://github.com/Notsfsssf" }, { - "avatar": "https://avatars.githubusercontent.com/u/112007?s=40&v=4", - "name": "abarth", - "url": "https://github.com/abarth" + "avatar": "https://avatars.githubusercontent.com/u/42184238?s=40&v=4", + "name": "frg2089", + "url": "https://github.com/frg2089" }, { - "avatar": "https://avatars.githubusercontent.com/u/8975114?s=40&v=4", - "name": "jonahwilliams", - "url": "https://github.com/jonahwilliams" + "avatar": "https://avatars.githubusercontent.com/u/16817202?s=40&v=4", + "name": "TragicLifeHu", + "url": "https://github.com/TragicLifeHu" }, { - "avatar": "https://avatars.githubusercontent.com/u/551196?s=40&v=4", - "name": "Hixie", - "url": "https://github.com/Hixie" + "avatar": "https://avatars.githubusercontent.com/u/54385201?s=40&v=4", + "name": "karin722", + "url": "https://github.com/karin722" }, { - "avatar": "https://avatars.githubusercontent.com/u/682784?s=40&v=4", - "name": "jmagman", - "url": "https://github.com/jmagman" + "avatar": "https://avatars.githubusercontent.com/u/63583961?s=40&v=4", + "name": "kyoyacchi", + "url": "https://github.com/kyoyacchi" } ] }, { - "title": "academind/flutter-complete-guide-course-resources", - "url": "https://github.com/academind/flutter-complete-guide-course-resources", - "description": "Course resources (code snapshots & slides) for our complete Flutter & Dart course (https://acad.link/flutter).", + "title": "miru-project/miru-app", + "url": "https://github.com/miru-project/miru-app", + "description": "🎉 A versatile application that is free, open-source, and supports extension sources for videos, comics, and novels, available on Android, Windows, and Web platforms.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "1,983", - "forks": "847", - "addStars": "2", + "stars": "4,154", + "forks": "167", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28806196?s=40&v=4", - "name": "maxschwarzmueller", - "url": "https://github.com/maxschwarzmueller" + "avatar": "https://avatars.githubusercontent.com/u/44718819?s=40&v=4", + "name": "MiaoMint", + "url": "https://github.com/MiaoMint" }, { - "avatar": "https://avatars.githubusercontent.com/u/26225174?s=40&v=4", - "name": "unsivilaudio", - "url": "https://github.com/unsivilaudio" + "avatar": "https://avatars.githubusercontent.com/u/106192957?s=40&v=4", + "name": "Atrafon", + "url": "https://github.com/Atrafon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/98482562?s=40&v=4", + "name": "kuragehimekurara1", + "url": "https://github.com/kuragehimekurara1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/56633229?s=40&v=4", + "name": "appdevelpo", + "url": "https://github.com/appdevelpo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/58957468?s=40&v=4", + "name": "agatemosu", + "url": "https://github.com/agatemosu" } ] } diff --git a/data/daily/dart.xml b/data/daily/dart.xml index 91b28ba0df22..1f881d2aaf7e 100644 --- a/data/daily/dart.xml +++ b/data/daily/dart.xml @@ -3,80 +3,103 @@ GitHub Dart Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dart Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - GopeedLab/gopeed - https://github.com/GopeedLab/gopeed - A modern download manager that supports all platforms. Built with Golang and Flutter. - https://github.com/GopeedLab/gopeed + ReVanced/revanced-manager + https://github.com/ReVanced/revanced-manager + 💊 Application to use ReVanced on Android + https://github.com/ReVanced/revanced-manager Dart #00B4AB - 17,021 - 1,198 - 21 + 18,377 + 749 + 25 - https://avatars.githubusercontent.com/u/13160176?s=40&v=4 - monkeyWie - https://github.com/monkeyWie + https://avatars.githubusercontent.com/u/4687488?s=40&v=4 + ponces + https://github.com/ponces - https://avatars.githubusercontent.com/u/19659202?s=40&v=4 - hugetiny - https://github.com/hugetiny + https://avatars.githubusercontent.com/u/93124920?s=40&v=4 + validcube + https://github.com/validcube - https://avatars.githubusercontent.com/u/85693457?s=40&v=4 - pugaizai - https://github.com/pugaizai + https://avatars.githubusercontent.com/u/48486084?s=40&v=4 + Aunali321 + https://github.com/Aunali321 - https://avatars.githubusercontent.com/u/109361374?s=40&v=4 - ElliotCHEN37 - https://github.com/ElliotCHEN37 + https://avatars.githubusercontent.com/u/13122796?s=40&v=4 + oSumAtrIX + https://github.com/oSumAtrIX - https://avatars.githubusercontent.com/u/45711711?s=40&v=4 - MrGlp - https://github.com/MrGlp + https://avatars.githubusercontent.com/u/79272171?s=40&v=4 + Ushie + https://github.com/Ushie - hiddify/hiddify-app - https://github.com/hiddify/hiddify-app - Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free. - https://github.com/hiddify/hiddify-app + academind/flutter-complete-guide-course-resources + https://github.com/academind/flutter-complete-guide-course-resources + Course resources (code snapshots & slides) for our complete Flutter & Dart course (https://acad.link/flutter). + https://github.com/academind/flutter-complete-guide-course-resources Dart #00B4AB - 17,733 - 1,589 - 34 + 1,983 + 847 + 2 - https://avatars.githubusercontent.com/u/114227601?s=40&v=4 - hiddify-com - https://github.com/hiddify-com + https://avatars.githubusercontent.com/u/28806196?s=40&v=4 + maxschwarzmueller + https://github.com/maxschwarzmueller - https://avatars.githubusercontent.com/u/132705824?s=40&v=4 - problematicconsumer - https://github.com/problematicconsumer + https://avatars.githubusercontent.com/u/26225174?s=40&v=4 + unsivilaudio + https://github.com/unsivilaudio + + + + ente-io/ente + https://github.com/ente-io/ente + Fully open source, End to End Encrypted alternative to Google Photos and Apple Photos + https://github.com/ente-io/ente + Dart + #00B4AB + 16,235 + 837 + 18 + - https://avatars.githubusercontent.com/u/125398461?s=40&v=4 - lymanjre - https://github.com/lymanjre + https://avatars.githubusercontent.com/u/46242073?s=40&v=4 + abhinavkgrd + https://github.com/abhinavkgrd - https://avatars.githubusercontent.com/u/129010482?s=40&v=4 - HiddifyOfficial - https://github.com/HiddifyOfficial + https://avatars.githubusercontent.com/u/24503581?s=40&v=4 + mnvr + https://github.com/mnvr - https://avatars.githubusercontent.com/u/1331289?s=40&v=4 - amirsaam - https://github.com/amirsaam + https://avatars.githubusercontent.com/u/254676?s=40&v=4 + ua741 + https://github.com/ua741 + + + https://avatars.githubusercontent.com/u/1161789?s=40&v=4 + vishnukvmd + https://github.com/vishnukvmd + + + https://avatars.githubusercontent.com/u/77285023?s=40&v=4 + ashilkn + https://github.com/ashilkn @@ -87,7 +110,7 @@ https://github.com/Predidit/Kazumi Dart #00B4AB - 5,054 + 5,065 135 19 @@ -119,101 +142,73 @@ - lollipopkit/flutter_server_box - https://github.com/lollipopkit/flutter_server_box - ServerBox - server status & toolbox - https://github.com/lollipopkit/flutter_server_box + hiddify/hiddify-app + https://github.com/hiddify/hiddify-app + Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free. + https://github.com/hiddify/hiddify-app Dart #00B4AB - 4,880 - 309 - 24 + 17,736 + 1,590 + 34 - https://avatars.githubusercontent.com/u/10864310?s=40&v=4 - lollipopkit - https://github.com/lollipopkit + https://avatars.githubusercontent.com/u/114227601?s=40&v=4 + hiddify-com + https://github.com/hiddify-com - https://avatars.githubusercontent.com/u/7395735?s=40&v=4 - PaperCube - https://github.com/PaperCube + https://avatars.githubusercontent.com/u/132705824?s=40&v=4 + problematicconsumer + https://github.com/problematicconsumer - https://avatars.githubusercontent.com/u/71180087?s=40&v=4 - Integral-Tech - https://github.com/Integral-Tech + https://avatars.githubusercontent.com/u/125398461?s=40&v=4 + lymanjre + https://github.com/lymanjre - https://avatars.githubusercontent.com/u/72285529?s=40&v=4 - No06 - https://github.com/No06 + https://avatars.githubusercontent.com/u/129010482?s=40&v=4 + HiddifyOfficial + https://github.com/HiddifyOfficial - https://avatars.githubusercontent.com/u/77213338?s=40&v=4 - its-tom - https://github.com/its-tom + https://avatars.githubusercontent.com/u/1331289?s=40&v=4 + amirsaam + https://github.com/amirsaam - guozhigq/pilipala - https://github.com/guozhigq/pilipala - PiliPala 是使用Flutter开发的BiliBili第三方客户端,感谢使用。 - https://github.com/guozhigq/pilipala + flame-engine/flame + https://github.com/flame-engine/flame + A Flutter based game engine. + https://github.com/flame-engine/flame Dart #00B4AB - 7,503 - 309 - 15 + 9,308 + 915 + 4 - https://avatars.githubusercontent.com/u/25545225?s=40&v=4 - guozhigq - https://github.com/guozhigq - - - https://avatars.githubusercontent.com/u/17450420?s=40&v=4 - orz12 - https://github.com/orz12 - - - https://avatars.githubusercontent.com/u/89803422?s=40&v=4 - VillagerTom - https://github.com/VillagerTom + https://avatars.githubusercontent.com/u/744771?s=40&v=4 + spydon + https://github.com/spydon - https://avatars.githubusercontent.com/u/70067449?s=40&v=4 - Daydreamer-riri - https://github.com/Daydreamer-riri + https://avatars.githubusercontent.com/u/882703?s=40&v=4 + luanpotter + https://github.com/luanpotter - https://avatars.githubusercontent.com/u/51787949?s=40&v=4 - KoolShow - https://github.com/KoolShow - - - - - KaringX/karing - https://github.com/KaringX/karing - Simple & Powerful proxy utility, Support routing rules for clash/sing-box - https://github.com/KaringX/karing - Dart - #00B4AB - 3,438 - 298 - 10 - - - https://avatars.githubusercontent.com/u/167741400?s=40&v=4 - GooRingX - https://github.com/GooRingX + https://avatars.githubusercontent.com/u/835641?s=40&v=4 + erickzanardo + https://github.com/erickzanardo - https://avatars.githubusercontent.com/u/151888327?s=40&v=4 - ElonJunior - https://github.com/ElonJunior + https://avatars.githubusercontent.com/u/4231472?s=40&v=4 + st-pasha + https://github.com/st-pasha @@ -256,215 +251,190 @@ - chen08209/FlClash - https://github.com/chen08209/FlClash - A multi-platform proxy client based on ClashMeta,simple and easy to use, open-source and ad-free. - https://github.com/chen08209/FlClash + canxin121/app_rhyme + https://github.com/canxin121/app_rhyme + 使用Flutter和Rust构建的开源免费音乐软件 + https://github.com/canxin121/app_rhyme Dart #00B4AB - 11,172 - 649 - 41 + 1,713 + 89 + 14 - https://avatars.githubusercontent.com/u/66918471?s=40&v=4 - chen08209 - https://github.com/chen08209 - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/69547456?s=40&v=4 + canxin121 + https://github.com/canxin121 - https://avatars.githubusercontent.com/u/41893946?s=40&v=4 - txyyh - https://github.com/txyyh + https://avatars.githubusercontent.com/u/38352552?s=40&v=4 + nook4sh + https://github.com/nook4sh - ente-io/ente - https://github.com/ente-io/ente - Fully open source, End to End Encrypted alternative to Google Photos and Apple Photos - https://github.com/ente-io/ente + KaringX/karing + https://github.com/KaringX/karing + Simple & Powerful proxy utility, Support routing rules for clash/sing-box + https://github.com/KaringX/karing Dart #00B4AB - 16,235 - 837 - 18 + 3,439 + 298 + 10 - https://avatars.githubusercontent.com/u/46242073?s=40&v=4 - abhinavkgrd - https://github.com/abhinavkgrd - - - https://avatars.githubusercontent.com/u/24503581?s=40&v=4 - mnvr - https://github.com/mnvr - - - https://avatars.githubusercontent.com/u/254676?s=40&v=4 - ua741 - https://github.com/ua741 - - - https://avatars.githubusercontent.com/u/1161789?s=40&v=4 - vishnukvmd - https://github.com/vishnukvmd + https://avatars.githubusercontent.com/u/167741400?s=40&v=4 + GooRingX + https://github.com/GooRingX - https://avatars.githubusercontent.com/u/77285023?s=40&v=4 - ashilkn - https://github.com/ashilkn + https://avatars.githubusercontent.com/u/151888327?s=40&v=4 + ElonJunior + https://github.com/ElonJunior - flame-engine/flame - https://github.com/flame-engine/flame - A Flutter based game engine. - https://github.com/flame-engine/flame + xiaoyaocz/dart_simple_live + https://github.com/xiaoyaocz/dart_simple_live + 简简单单的看直播 + https://github.com/xiaoyaocz/dart_simple_live Dart #00B4AB - 9,305 - 915 - 4 + 6,975 + 330 + 19 - https://avatars.githubusercontent.com/u/744771?s=40&v=4 - spydon - https://github.com/spydon + https://avatars.githubusercontent.com/u/16559082?s=40&v=4 + xiaoyaocz + https://github.com/xiaoyaocz - https://avatars.githubusercontent.com/u/882703?s=40&v=4 - luanpotter - https://github.com/luanpotter + https://avatars.githubusercontent.com/u/53986661?s=40&v=4 + AprDeci + https://github.com/AprDeci - https://avatars.githubusercontent.com/u/835641?s=40&v=4 - erickzanardo - https://github.com/erickzanardo + https://avatars.githubusercontent.com/u/5729152?s=40&v=4 + abcghy + https://github.com/abcghy - https://avatars.githubusercontent.com/u/4231472?s=40&v=4 - st-pasha - https://github.com/st-pasha + https://avatars.githubusercontent.com/u/54741465?s=40&v=4 + lemonfog + https://github.com/lemonfog + + + https://avatars.githubusercontent.com/u/51714930?s=40&v=4 + s-kk + https://github.com/s-kk - mitesh77/Best-Flutter-UI-Templates - https://github.com/mitesh77/Best-Flutter-UI-Templates - completely free for everyone. Its build-in Flutter Dart. - https://github.com/mitesh77/Best-Flutter-UI-Templates + TheLastGimbus/GooglePhotosTakeoutHelper + https://github.com/TheLastGimbus/GooglePhotosTakeoutHelper + Script that organizes the Google Takeout archive into one big chronological folder + https://github.com/TheLastGimbus/GooglePhotosTakeoutHelper Dart #00B4AB - 20,470 - 4,551 - 4 + 4,050 + 201 + 2 - https://avatars.githubusercontent.com/u/38649435?s=40&v=4 - mitesh77 - https://github.com/mitesh77 - - - https://avatars.githubusercontent.com/u/20480867?s=40&v=4 - IAmSarthakVerma - https://github.com/IAmSarthakVerma + https://avatars.githubusercontent.com/u/40139196?s=40&v=4 + TheLastGimbus + https://github.com/TheLastGimbus - https://avatars.githubusercontent.com/u/56184661?s=40&v=4 - stonestorage - https://github.com/stonestorage + https://avatars.githubusercontent.com/u/25020780?s=40&v=4 + DalenW + https://github.com/DalenW - https://avatars.githubusercontent.com/u/74351253?s=40&v=4 - ys1113457623 - https://github.com/ys1113457623 + https://avatars.githubusercontent.com/u/6493651?s=40&v=4 + jmigual + https://github.com/jmigual - https://avatars.githubusercontent.com/u/13358141?s=40&v=4 - hart-james - https://github.com/hart-james + https://avatars.githubusercontent.com/u/159414?s=40&v=4 + hheimbuerger + https://github.com/hheimbuerger - ReVanced/revanced-manager - https://github.com/ReVanced/revanced-manager - 💊 Application to use ReVanced on Android - https://github.com/ReVanced/revanced-manager + deckerst/aves + https://github.com/deckerst/aves + Aves is a gallery and metadata explorer app, built for Android with Flutter. + https://github.com/deckerst/aves Dart #00B4AB - 18,376 - 749 - 25 + 2,786 + 106 + 5 - https://avatars.githubusercontent.com/u/4687488?s=40&v=4 - ponces - https://github.com/ponces + https://avatars.githubusercontent.com/u/13898333?s=40&v=4 + deckerst + https://github.com/deckerst - https://avatars.githubusercontent.com/u/93124920?s=40&v=4 - validcube - https://github.com/validcube - - - https://avatars.githubusercontent.com/u/48486084?s=40&v=4 - Aunali321 - https://github.com/Aunali321 + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate - https://avatars.githubusercontent.com/u/13122796?s=40&v=4 - oSumAtrIX - https://github.com/oSumAtrIX + https://avatars.githubusercontent.com/u/67607997?s=40&v=4 + rehork + https://github.com/rehork - https://avatars.githubusercontent.com/u/79272171?s=40&v=4 - Ushie - https://github.com/Ushie + https://avatars.githubusercontent.com/u/47475676?s=40&v=4 + Linerly + https://github.com/Linerly - ImranR98/Obtainium - https://github.com/ImranR98/Obtainium - Get Android app updates straight from the source. - https://github.com/ImranR98/Obtainium + lollipopkit/flutter_server_box + https://github.com/lollipopkit/flutter_server_box + ServerBox - server status & toolbox + https://github.com/lollipopkit/flutter_server_box Dart #00B4AB - 8,225 - 178 - 13 + 4,882 + 309 + 24 - https://avatars.githubusercontent.com/u/30463115?s=40&v=4 - ImranR98 - https://github.com/ImranR98 + https://avatars.githubusercontent.com/u/10864310?s=40&v=4 + lollipopkit + https://github.com/lollipopkit - https://avatars.githubusercontent.com/u/4068977?s=40&v=4 - gidano - https://github.com/gidano + https://avatars.githubusercontent.com/u/7395735?s=40&v=4 + PaperCube + https://github.com/PaperCube - https://avatars.githubusercontent.com/u/101441707?s=40&v=4 - bluefly000 - https://github.com/bluefly000 + https://avatars.githubusercontent.com/u/71180087?s=40&v=4 + Integral-Tech + https://github.com/Integral-Tech - https://avatars.githubusercontent.com/u/114265068?s=40&v=4 - re7gog - https://github.com/re7gog + https://avatars.githubusercontent.com/u/72285529?s=40&v=4 + No06 + https://github.com/No06 - https://avatars.githubusercontent.com/u/50296346?s=40&v=4 - iDazai - https://github.com/iDazai + https://avatars.githubusercontent.com/u/77213338?s=40&v=4 + its-tom + https://github.com/its-tom @@ -492,228 +462,324 @@ - miru-project/miru-app - https://github.com/miru-project/miru-app - 🎉 A versatile application that is free, open-source, and supports extension sources for videos, comics, and novels, available on Android, Windows, and Web platforms. - https://github.com/miru-project/miru-app + KRTirtho/spotube + https://github.com/KRTirtho/spotube + 🎧 Open source Spotify client that doesn't require Premium nor uses Electron! Available for both desktop & mobile! + https://github.com/KRTirtho/spotube Dart #00B4AB - 4,153 - 167 - 5 + 30,834 + 1,275 + 14 - https://avatars.githubusercontent.com/u/44718819?s=40&v=4 - MiaoMint - https://github.com/MiaoMint + https://avatars.githubusercontent.com/u/61944859?s=40&v=4 + KRTirtho + https://github.com/KRTirtho - https://avatars.githubusercontent.com/u/106192957?s=40&v=4 - Atrafon - https://github.com/Atrafon + https://avatars.githubusercontent.com/u/3144291?s=40&v=4 + karniv00l + https://github.com/karniv00l - https://avatars.githubusercontent.com/u/98482562?s=40&v=4 - kuragehimekurara1 - https://github.com/kuragehimekurara1 + https://avatars.githubusercontent.com/u/70111455?s=40&v=4 + MerkomassDev + https://github.com/MerkomassDev - https://avatars.githubusercontent.com/u/56633229?s=40&v=4 - appdevelpo - https://github.com/appdevelpo + https://avatars.githubusercontent.com/u/73141750?s=40&v=4 + Demizo + https://github.com/Demizo + + + + GopeedLab/gopeed + https://github.com/GopeedLab/gopeed + A modern download manager that supports all platforms. Built with Golang and Flutter. + https://github.com/GopeedLab/gopeed + Dart + #00B4AB + 17,030 + 1,198 + 21 + - https://avatars.githubusercontent.com/u/58957468?s=40&v=4 - agatemosu - https://github.com/agatemosu + https://avatars.githubusercontent.com/u/13160176?s=40&v=4 + monkeyWie + https://github.com/monkeyWie + + + https://avatars.githubusercontent.com/u/19659202?s=40&v=4 + hugetiny + https://github.com/hugetiny + + + https://avatars.githubusercontent.com/u/85693457?s=40&v=4 + pugaizai + https://github.com/pugaizai + + + https://avatars.githubusercontent.com/u/109361374?s=40&v=4 + ElliotCHEN37 + https://github.com/ElliotCHEN37 + + + https://avatars.githubusercontent.com/u/45711711?s=40&v=4 + MrGlp + https://github.com/MrGlp - canxin121/app_rhyme - https://github.com/canxin121/app_rhyme - 使用Flutter和Rust构建的开源免费音乐软件 - https://github.com/canxin121/app_rhyme + ImranR98/Obtainium + https://github.com/ImranR98/Obtainium + Get Android app updates straight from the source. + https://github.com/ImranR98/Obtainium Dart #00B4AB - 1,713 - 87 - 14 + 8,224 + 179 + 13 - https://avatars.githubusercontent.com/u/69547456?s=40&v=4 - canxin121 - https://github.com/canxin121 + https://avatars.githubusercontent.com/u/30463115?s=40&v=4 + ImranR98 + https://github.com/ImranR98 - https://avatars.githubusercontent.com/u/38352552?s=40&v=4 - nook4sh - https://github.com/nook4sh + https://avatars.githubusercontent.com/u/4068977?s=40&v=4 + gidano + https://github.com/gidano + + + https://avatars.githubusercontent.com/u/101441707?s=40&v=4 + bluefly000 + https://github.com/bluefly000 + + + https://avatars.githubusercontent.com/u/114265068?s=40&v=4 + re7gog + https://github.com/re7gog + + + https://avatars.githubusercontent.com/u/50296346?s=40&v=4 + iDazai + https://github.com/iDazai - Predidit/oneAnime - https://github.com/Predidit/oneAnime - 一款简洁清爽无广告的看番软件。 一款带弹幕的 anime1 第三方客户端,界面符合 Material You 规范。 - https://github.com/Predidit/oneAnime + localsend/localsend + https://github.com/localsend/localsend + An open-source cross-platform alternative to AirDrop + https://github.com/localsend/localsend Dart #00B4AB - 1,147 - 22 - 0 + 53,272 + 2,871 + 118 - https://avatars.githubusercontent.com/u/34627277?s=40&v=4 - Predidit - https://github.com/Predidit + https://avatars.githubusercontent.com/u/38380847?s=40&v=4 + Tienisto + https://github.com/Tienisto - https://avatars.githubusercontent.com/u/165868972?s=40&v=4 - songbirdzz - https://github.com/songbirdzz + https://avatars.githubusercontent.com/u/125032334?s=40&v=4 + sergd88 + https://github.com/sergd88 - https://avatars.githubusercontent.com/u/134026642?s=40&v=4 - hyrulelinks - https://github.com/hyrulelinks + https://avatars.githubusercontent.com/u/78599753?s=40&v=4 + ShlomoCode + https://github.com/ShlomoCode - https://avatars.githubusercontent.com/u/170739078?s=40&v=4 - IceTeaManiac - https://github.com/IceTeaManiac + https://avatars.githubusercontent.com/u/39922116?s=40&v=4 + TheGB0077 + https://github.com/TheGB0077 - https://avatars.githubusercontent.com/u/37802688?s=40&v=4 - CAiNSEMBRACE - https://github.com/CAiNSEMBRACE + https://avatars.githubusercontent.com/u/4068977?s=40&v=4 + gidano + https://github.com/gidano - niuhuan/jasmine - https://github.com/niuhuan/jasmine - A comic browser,support Android / iOS / MacOS / Windows / Linux. - https://github.com/niuhuan/jasmine + Mobile-Artificial-Intelligence/maid + https://github.com/Mobile-Artificial-Intelligence/maid + Maid is a cross-platform Flutter app for interfacing with GGUF / llama.cpp models locally, and with Ollama and OpenAI models remotely. + https://github.com/Mobile-Artificial-Intelligence/maid Dart #00B4AB - 3,624 - 308 - 2 + 1,493 + 164 + 3 - https://avatars.githubusercontent.com/u/20847533?s=40&v=4 - niuhuan - https://github.com/niuhuan + https://avatars.githubusercontent.com/u/11537699?s=40&v=4 + danemadsen + https://github.com/danemadsen + + + https://avatars.githubusercontent.com/u/116732926?s=40&v=4 + sfiannaca + https://github.com/sfiannaca + + + https://avatars.githubusercontent.com/u/50274970?s=40&v=4 + Natakout + https://github.com/Natakout - https://avatars.githubusercontent.com/u/22335363?s=40&v=4 - karedem - https://github.com/karedem + https://avatars.githubusercontent.com/u/108291164?s=40&v=4 + ThibautLEAUX + https://github.com/ThibautLEAUX - https://avatars.githubusercontent.com/u/14888379?s=40&v=4 - honjow - https://github.com/honjow + https://avatars.githubusercontent.com/u/31427850?s=40&v=4 + ImgBotApp + https://github.com/ImgBotApp - wgh136/PicaComic - https://github.com/wgh136/PicaComic - A comic app built with Flutter, supporting multiple comic sources. - https://github.com/wgh136/PicaComic + Auties00/Reboot-Launcher + https://github.com/Auties00/Reboot-Launcher + GUI(Flutter & Fluent UI) and CLI Launcher for the Reboot Project + https://github.com/Auties00/Reboot-Launcher Dart #00B4AB - 7,818 - 889 - 4 + 62 + 28 + 0 - https://avatars.githubusercontent.com/u/67669799?s=40&v=4 - wgh136 - https://github.com/wgh136 + https://avatars.githubusercontent.com/u/28218457?s=40&v=4 + Auties00 + https://github.com/Auties00 - https://avatars.githubusercontent.com/u/111511925?s=40&v=4 - NeKoOuO - https://github.com/NeKoOuO + https://avatars.githubusercontent.com/u/78514924?s=40&v=4 + FeelipMar + https://github.com/FeelipMar - https://avatars.githubusercontent.com/u/38815993?s=40&v=4 - luckyray-fan - https://github.com/luckyray-fan + https://avatars.githubusercontent.com/u/106691854?s=40&v=4 + TeoVR-YT + https://github.com/TeoVR-YT - https://avatars.githubusercontent.com/u/87805157?s=40&v=4 - Prixii - https://github.com/Prixii + https://avatars.githubusercontent.com/u/62541175?s=40&v=4 + Dixip52 + https://github.com/Dixip52 - https://avatars.githubusercontent.com/u/20847533?s=40&v=4 - niuhuan - https://github.com/niuhuan + https://avatars.githubusercontent.com/u/79972336?s=40&v=4 + KedisPL + https://github.com/KedisPL - flutter/flutter - https://github.com/flutter/flutter - Flutter makes it easy and fast to build beautiful apps for mobile and beyond - https://github.com/flutter/flutter + kananinirav/Indian-IPTV-App + https://github.com/kananinirav/Indian-IPTV-App + Watch 500+ live india TV channels with IPTV Android Application + https://github.com/kananinirav/Indian-IPTV-App Dart #00B4AB - 166,663 - 27,604 - 71 + 106 + 22 + 2 - https://avatars.githubusercontent.com/u/42042535?s=40&v=4 - engine-flutter-autoroll - https://github.com/engine-flutter-autoroll + https://avatars.githubusercontent.com/u/30398499?s=40&v=4 + kananinirav + https://github.com/kananinirav - https://avatars.githubusercontent.com/u/112007?s=40&v=4 - abarth - https://github.com/abarth + https://avatars.githubusercontent.com/u/74541944?s=40&v=4 + nisargpro + https://github.com/nisargpro + + + + + Notsfsssf/pixez-flutter + https://github.com/Notsfsssf/pixez-flutter + 一个支持免代理直连及查看动图的第三方Pixiv flutter客户端 + https://github.com/Notsfsssf/pixez-flutter + Dart + #00B4AB + 7,660 + 306 + 5 + + + https://avatars.githubusercontent.com/u/16934707?s=40&v=4 + Notsfsssf + https://github.com/Notsfsssf - https://avatars.githubusercontent.com/u/8975114?s=40&v=4 - jonahwilliams - https://github.com/jonahwilliams + https://avatars.githubusercontent.com/u/42184238?s=40&v=4 + frg2089 + https://github.com/frg2089 - https://avatars.githubusercontent.com/u/551196?s=40&v=4 - Hixie - https://github.com/Hixie + https://avatars.githubusercontent.com/u/16817202?s=40&v=4 + TragicLifeHu + https://github.com/TragicLifeHu - https://avatars.githubusercontent.com/u/682784?s=40&v=4 - jmagman - https://github.com/jmagman + https://avatars.githubusercontent.com/u/54385201?s=40&v=4 + karin722 + https://github.com/karin722 + + + https://avatars.githubusercontent.com/u/63583961?s=40&v=4 + kyoyacchi + https://github.com/kyoyacchi - academind/flutter-complete-guide-course-resources - https://github.com/academind/flutter-complete-guide-course-resources - Course resources (code snapshots & slides) for our complete Flutter & Dart course (https://acad.link/flutter). - https://github.com/academind/flutter-complete-guide-course-resources + miru-project/miru-app + https://github.com/miru-project/miru-app + 🎉 A versatile application that is free, open-source, and supports extension sources for videos, comics, and novels, available on Android, Windows, and Web platforms. + https://github.com/miru-project/miru-app Dart #00B4AB - 1,983 - 847 - 2 + 4,154 + 167 + 5 - https://avatars.githubusercontent.com/u/28806196?s=40&v=4 - maxschwarzmueller - https://github.com/maxschwarzmueller + https://avatars.githubusercontent.com/u/44718819?s=40&v=4 + MiaoMint + https://github.com/MiaoMint - https://avatars.githubusercontent.com/u/26225174?s=40&v=4 - unsivilaudio - https://github.com/unsivilaudio + https://avatars.githubusercontent.com/u/106192957?s=40&v=4 + Atrafon + https://github.com/Atrafon + + + https://avatars.githubusercontent.com/u/98482562?s=40&v=4 + kuragehimekurara1 + https://github.com/kuragehimekurara1 + + + https://avatars.githubusercontent.com/u/56633229?s=40&v=4 + appdevelpo + https://github.com/appdevelpo + + + https://avatars.githubusercontent.com/u/58957468?s=40&v=4 + agatemosu + https://github.com/agatemosu diff --git a/data/daily/dataweave.json b/data/daily/dataweave.json index 9224584ffa4e..07a09effdeb0 100644 --- a/data/daily/dataweave.json +++ b/data/daily/dataweave.json @@ -2,6 +2,6 @@ "title": "GitHub Dataweave Languages Daily Trending", "description": "Daily Trending of Dataweave Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dataweave.xml b/data/daily/dataweave.xml index 1298240b9992..863bf26ec8e0 100644 --- a/data/daily/dataweave.xml +++ b/data/daily/dataweave.xml @@ -3,6 +3,6 @@ GitHub Dataweave Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dataweave Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/debian-package-control-file.json b/data/daily/debian-package-control-file.json index 6cd458c1dc0e..d92a402e06f1 100644 --- a/data/daily/debian-package-control-file.json +++ b/data/daily/debian-package-control-file.json @@ -2,6 +2,6 @@ "title": "GitHub Debian-package-control-file Languages Daily Trending", "description": "Daily Trending of Debian-package-control-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/debian-package-control-file.xml b/data/daily/debian-package-control-file.xml index c47791ad9296..e992643047e7 100644 --- a/data/daily/debian-package-control-file.xml +++ b/data/daily/debian-package-control-file.xml @@ -3,6 +3,6 @@ GitHub Debian-package-control-file Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Debian-package-control-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/denizenscript.json b/data/daily/denizenscript.json index 1e45e1e0dc18..86a2824c6186 100644 --- a/data/daily/denizenscript.json +++ b/data/daily/denizenscript.json @@ -2,6 +2,6 @@ "title": "GitHub Denizenscript Languages Daily Trending", "description": "Daily Trending of Denizenscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/denizenscript.xml b/data/daily/denizenscript.xml index ca1b2667373b..7a9ed95b0574 100644 --- a/data/daily/denizenscript.xml +++ b/data/daily/denizenscript.xml @@ -3,6 +3,6 @@ GitHub Denizenscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Denizenscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/desktop.json b/data/daily/desktop.json index 3654d91ffff4..d5847d293d6f 100644 --- a/data/daily/desktop.json +++ b/data/daily/desktop.json @@ -2,6 +2,6 @@ "title": "GitHub Desktop Languages Daily Trending", "description": "Daily Trending of Desktop Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/desktop.xml b/data/daily/desktop.xml index 2ec2c511272b..e57de14c8017 100644 --- a/data/daily/desktop.xml +++ b/data/daily/desktop.xml @@ -3,6 +3,6 @@ GitHub Desktop Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Desktop Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dhall.json b/data/daily/dhall.json index 99f6f2b34496..e8a84d0a03df 100644 --- a/data/daily/dhall.json +++ b/data/daily/dhall.json @@ -2,6 +2,6 @@ "title": "GitHub Dhall Languages Daily Trending", "description": "Daily Trending of Dhall Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dhall.xml b/data/daily/dhall.xml index a541431a2137..0c2e86f15d63 100644 --- a/data/daily/dhall.xml +++ b/data/daily/dhall.xml @@ -3,6 +3,6 @@ GitHub Dhall Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dhall Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/diff.json b/data/daily/diff.json index d677621c0d39..c4dbbfe92d09 100644 --- a/data/daily/diff.json +++ b/data/daily/diff.json @@ -2,6 +2,6 @@ "title": "GitHub Diff Languages Daily Trending", "description": "Daily Trending of Diff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/diff.xml b/data/daily/diff.xml index 5edf9c3754ee..c133fb74d70f 100644 --- a/data/daily/diff.xml +++ b/data/daily/diff.xml @@ -3,6 +3,6 @@ GitHub Diff Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Diff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/digital-command-language.json b/data/daily/digital-command-language.json index c7f73d37aeaf..175c7bc0fa0b 100644 --- a/data/daily/digital-command-language.json +++ b/data/daily/digital-command-language.json @@ -2,7 +2,7 @@ "title": "GitHub Digital-command-language Languages Daily Trending", "description": "Daily Trending of Digital-command-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "github/dmca", diff --git a/data/daily/digital-command-language.xml b/data/daily/digital-command-language.xml index 5292eb36ba24..42631616a2f4 100644 --- a/data/daily/digital-command-language.xml +++ b/data/daily/digital-command-language.xml @@ -3,7 +3,7 @@ GitHub Digital-command-language Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Digital-command-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT github/dmca https://github.com/github/dmca diff --git a/data/daily/dircolors.json b/data/daily/dircolors.json index 8e836238a183..03b8f16f10b6 100644 --- a/data/daily/dircolors.json +++ b/data/daily/dircolors.json @@ -2,6 +2,6 @@ "title": "GitHub Dircolors Languages Daily Trending", "description": "Daily Trending of Dircolors Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dircolors.xml b/data/daily/dircolors.xml index c5969942e585..2cf9f1e5195f 100644 --- a/data/daily/dircolors.xml +++ b/data/daily/dircolors.xml @@ -3,6 +3,6 @@ GitHub Dircolors Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dircolors Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/directx-3d-file.json b/data/daily/directx-3d-file.json index bd3b4de9db90..0d4c399929f7 100644 --- a/data/daily/directx-3d-file.json +++ b/data/daily/directx-3d-file.json @@ -2,6 +2,6 @@ "title": "GitHub Directx-3d-file Languages Daily Trending", "description": "Daily Trending of Directx-3d-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/directx-3d-file.xml b/data/daily/directx-3d-file.xml index 60e6dd84e423..702e4a4f3c62 100644 --- a/data/daily/directx-3d-file.xml +++ b/data/daily/directx-3d-file.xml @@ -3,6 +3,6 @@ GitHub Directx-3d-file Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Directx-3d-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dm.json b/data/daily/dm.json index 09a66458f2cf..cfe1327835f8 100644 --- a/data/daily/dm.json +++ b/data/daily/dm.json @@ -2,16 +2,80 @@ "title": "GitHub Dm Languages Daily Trending", "description": "Daily Trending of Dm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "cmss13-devs/cmss13", + "url": "https://github.com/cmss13-devs/cmss13", + "description": "Contains the code for CM-SS13", + "language": "DM", + "languageColor": "#447265", + "stars": "103", + "forks": "569", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/128137806?s=40&v=4", + "name": "cm13-github", + "url": "https://github.com/cm13-github" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66756236?s=40&v=4", + "name": "stanalbatross", + "url": "https://github.com/stanalbatross" + } + ] + }, + { + "title": "Monkestation/Monkestation2.0", + "url": "https://github.com/Monkestation/Monkestation2.0", + "description": "A monkestation rebase to TG code", + "language": "DM", + "languageColor": "#447265", + "stars": "48", + "forks": "249", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12387510?s=40&v=4", + "name": "tgstation-server", + "url": "https://github.com/tgstation-server" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3827314?s=40&v=4", + "name": "Cheridan", + "url": "https://github.com/Cheridan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8171642?s=40&v=4", + "name": "Cyberboss", + "url": "https://github.com/Cyberboss" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82520990?s=40&v=4", + "name": "dwasint", + "url": "https://github.com/dwasint" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3886584?s=40&v=4", + "name": "ChangelingRain", + "url": "https://github.com/ChangelingRain" + } + ] + }, { "title": "tgstation/tgstation", "url": "https://github.com/tgstation/tgstation", "description": "The /tg/station branch of SS13.", "language": "DM", "languageColor": "#447265", - "stars": "1,723", - "forks": "4,768", + "stars": "1,724", + "forks": "4,769", "addStars": "0", "contributors": [ { @@ -42,29 +106,39 @@ ] }, { - "title": "cmss13-devs/cmss13", - "url": "https://github.com/cmss13-devs/cmss13", - "description": "Contains the code for CM-SS13", + "title": "Bubberstation/Bubberstation", + "url": "https://github.com/Bubberstation/Bubberstation", + "description": "Bubberstation SS13", "language": "DM", "languageColor": "#447265", - "stars": "103", - "forks": "569", + "stars": "50", + "forks": "299", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/128137806?s=40&v=4", - "name": "cm13-github", - "url": "https://github.com/cm13-github" + "avatar": "https://avatars.githubusercontent.com/u/59378654?s=40&v=4", + "name": "SkyratBot", + "url": "https://github.com/SkyratBot" }, { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/12387510?s=40&v=4", + "name": "tgstation-server", + "url": "https://github.com/tgstation-server" }, { - "avatar": "https://avatars.githubusercontent.com/u/66756236?s=40&v=4", - "name": "stanalbatross", - "url": "https://github.com/stanalbatross" + "avatar": "https://avatars.githubusercontent.com/u/3827314?s=40&v=4", + "name": "Cheridan", + "url": "https://github.com/Cheridan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8171642?s=40&v=4", + "name": "Cyberboss", + "url": "https://github.com/Cyberboss" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3886584?s=40&v=4", + "name": "ChangelingRain", + "url": "https://github.com/ChangelingRain" } ] }, @@ -104,43 +178,6 @@ "url": "https://github.com/ZeWaka" } ] - }, - { - "title": "Bubberstation/Bubberstation", - "url": "https://github.com/Bubberstation/Bubberstation", - "description": "Bubberstation SS13", - "language": "DM", - "languageColor": "#447265", - "stars": "50", - "forks": "299", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/59378654?s=40&v=4", - "name": "SkyratBot", - "url": "https://github.com/SkyratBot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12387510?s=40&v=4", - "name": "tgstation-server", - "url": "https://github.com/tgstation-server" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3827314?s=40&v=4", - "name": "Cheridan", - "url": "https://github.com/Cheridan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8171642?s=40&v=4", - "name": "Cyberboss", - "url": "https://github.com/Cyberboss" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3886584?s=40&v=4", - "name": "ChangelingRain", - "url": "https://github.com/ChangelingRain" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/dm.xml b/data/daily/dm.xml index 48cff82a9ac1..8913cfa7b7c1 100644 --- a/data/daily/dm.xml +++ b/data/daily/dm.xml @@ -3,7 +3,73 @@ GitHub Dm Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + cmss13-devs/cmss13 + https://github.com/cmss13-devs/cmss13 + Contains the code for CM-SS13 + https://github.com/cmss13-devs/cmss13 + DM + #447265 + 103 + 569 + 0 + + + https://avatars.githubusercontent.com/u/128137806?s=40&v=4 + cm13-github + https://github.com/cm13-github + + + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user + + + https://avatars.githubusercontent.com/u/66756236?s=40&v=4 + stanalbatross + https://github.com/stanalbatross + + + + + Monkestation/Monkestation2.0 + https://github.com/Monkestation/Monkestation2.0 + A monkestation rebase to TG code + https://github.com/Monkestation/Monkestation2.0 + DM + #447265 + 48 + 249 + 0 + + + https://avatars.githubusercontent.com/u/12387510?s=40&v=4 + tgstation-server + https://github.com/tgstation-server + + + https://avatars.githubusercontent.com/u/3827314?s=40&v=4 + Cheridan + https://github.com/Cheridan + + + https://avatars.githubusercontent.com/u/8171642?s=40&v=4 + Cyberboss + https://github.com/Cyberboss + + + https://avatars.githubusercontent.com/u/82520990?s=40&v=4 + dwasint + https://github.com/dwasint + + + https://avatars.githubusercontent.com/u/3886584?s=40&v=4 + ChangelingRain + https://github.com/ChangelingRain + + + tgstation/tgstation https://github.com/tgstation/tgstation @@ -11,8 +77,8 @@ https://github.com/tgstation/tgstation DM #447265 - 1,723 - 4,768 + 1,724 + 4,769 0 @@ -43,30 +109,40 @@ - cmss13-devs/cmss13 - https://github.com/cmss13-devs/cmss13 - Contains the code for CM-SS13 - https://github.com/cmss13-devs/cmss13 + Bubberstation/Bubberstation + https://github.com/Bubberstation/Bubberstation + Bubberstation SS13 + https://github.com/Bubberstation/Bubberstation DM #447265 - 103 - 569 + 50 + 299 0 - https://avatars.githubusercontent.com/u/128137806?s=40&v=4 - cm13-github - https://github.com/cm13-github + https://avatars.githubusercontent.com/u/59378654?s=40&v=4 + SkyratBot + https://github.com/SkyratBot - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/12387510?s=40&v=4 + tgstation-server + https://github.com/tgstation-server - https://avatars.githubusercontent.com/u/66756236?s=40&v=4 - stanalbatross - https://github.com/stanalbatross + https://avatars.githubusercontent.com/u/3827314?s=40&v=4 + Cheridan + https://github.com/Cheridan + + + https://avatars.githubusercontent.com/u/8171642?s=40&v=4 + Cyberboss + https://github.com/Cyberboss + + + https://avatars.githubusercontent.com/u/3886584?s=40&v=4 + ChangelingRain + https://github.com/ChangelingRain @@ -108,43 +184,5 @@ - - Bubberstation/Bubberstation - https://github.com/Bubberstation/Bubberstation - Bubberstation SS13 - https://github.com/Bubberstation/Bubberstation - DM - #447265 - 50 - 299 - 0 - - - https://avatars.githubusercontent.com/u/59378654?s=40&v=4 - SkyratBot - https://github.com/SkyratBot - - - https://avatars.githubusercontent.com/u/12387510?s=40&v=4 - tgstation-server - https://github.com/tgstation-server - - - https://avatars.githubusercontent.com/u/3827314?s=40&v=4 - Cheridan - https://github.com/Cheridan - - - https://avatars.githubusercontent.com/u/8171642?s=40&v=4 - Cyberboss - https://github.com/Cyberboss - - - https://avatars.githubusercontent.com/u/3886584?s=40&v=4 - ChangelingRain - https://github.com/ChangelingRain - - - \ No newline at end of file diff --git a/data/daily/dns-zone.json b/data/daily/dns-zone.json index 06a0c718a79b..a6c888dfc03c 100644 --- a/data/daily/dns-zone.json +++ b/data/daily/dns-zone.json @@ -2,6 +2,6 @@ "title": "GitHub Dns-zone Languages Daily Trending", "description": "Daily Trending of Dns-zone Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dns-zone.xml b/data/daily/dns-zone.xml index b4960b1cbec1..53f0d27172d0 100644 --- a/data/daily/dns-zone.xml +++ b/data/daily/dns-zone.xml @@ -3,6 +3,6 @@ GitHub Dns-zone Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dns-zone Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dockerfile.json b/data/daily/dockerfile.json index c898677f1739..218e2cd9b972 100644 --- a/data/daily/dockerfile.json +++ b/data/daily/dockerfile.json @@ -2,217 +2,234 @@ "title": "GitHub Dockerfile Languages Daily Trending", "description": "Daily Trending of Dockerfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "vulhub/vulhub", - "url": "https://github.com/vulhub/vulhub", - "description": "Pre-Built Vulnerable Environments Based on Docker-Compose", + "title": "microsoft/code-with-engineering-playbook", + "url": "https://github.com/microsoft/code-with-engineering-playbook", + "description": "This is the playbook for \"code-with\" customer or partner engagements", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "17,856", - "forks": "4,485", - "addStars": "2", + "stars": "2,274", + "forks": "599", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5711185?s=40&v=4", - "name": "phith0n", - "url": "https://github.com/phith0n" + "avatar": "https://avatars.githubusercontent.com/u/5821977?s=40&v=4", + "name": "TessFerrandez", + "url": "https://github.com/TessFerrandez" }, { - "avatar": "https://avatars.githubusercontent.com/u/37445725?s=40&v=4", - "name": "JrDw0", - "url": "https://github.com/JrDw0" + "avatar": "https://avatars.githubusercontent.com/u/1862328?s=40&v=4", + "name": "shiranr", + "url": "https://github.com/shiranr" }, { - "avatar": "https://avatars.githubusercontent.com/u/83867734?s=40&v=4", - "name": "D3vil0p3r", - "url": "https://github.com/D3vil0p3r" + "avatar": "https://avatars.githubusercontent.com/u/2699443?s=40&v=4", + "name": "NarmathaBala", + "url": "https://github.com/NarmathaBala" }, { - "avatar": "https://avatars.githubusercontent.com/u/11970685?s=40&v=4", - "name": "monburan", - "url": "https://github.com/monburan" + "avatar": "https://avatars.githubusercontent.com/u/1629215?s=40&v=4", + "name": "tompaana", + "url": "https://github.com/tompaana" }, { - "avatar": "https://avatars.githubusercontent.com/u/22583325?s=40&v=4", - "name": "CatAndCoffee", - "url": "https://github.com/CatAndCoffee" + "avatar": "https://avatars.githubusercontent.com/u/3776619?s=40&v=4", + "name": "omri374", + "url": "https://github.com/omri374" } ] }, { - "title": "Anduin2017/HowToCook", - "url": "https://github.com/Anduin2017/HowToCook", - "description": "程序员在家做饭方法指南。Programmer's guide about how to cook at home (Simplified Chinese only).", + "title": "goldbergyoni/nodebestpractices", + "url": "https://github.com/goldbergyoni/nodebestpractices", + "description": "✅ The Node.js best practices list (July 2024)", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "67,590", - "forks": "8,749", - "addStars": "12", + "stars": "100,702", + "forks": "10,209", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19531547?s=40&v=4", - "name": "Anduin2017", - "url": "https://github.com/Anduin2017" + "avatar": "https://avatars.githubusercontent.com/u/8571500?s=40&v=4", + "name": "goldbergyoni", + "url": "https://github.com/goldbergyoni" }, { - "avatar": "https://avatars.githubusercontent.com/u/29685667?s=40&v=4", - "name": "WakiJi", - "url": "https://github.com/WakiJi" + "avatar": "https://avatars.githubusercontent.com/u/17216937?s=40&v=4", + "name": "anediaz", + "url": "https://github.com/anediaz" }, { - "avatar": "https://avatars.githubusercontent.com/u/1260149?s=40&v=4", - "name": "draem0507", - "url": "https://github.com/draem0507" + "avatar": "https://avatars.githubusercontent.com/u/9084421?s=40&v=4", + "name": "idori", + "url": "https://github.com/idori" }, { - "avatar": "https://avatars.githubusercontent.com/u/20080233?s=40&v=4", - "name": "bobby285271", - "url": "https://github.com/bobby285271" + "avatar": "https://avatars.githubusercontent.com/u/16746759?s=40&v=4", + "name": "rluvaton", + "url": "https://github.com/rluvaton" } ] }, { - "title": "nodejs/docker-node", - "url": "https://github.com/nodejs/docker-node", - "description": "Official Docker Image for Node.js 🐳 🐢 🚀", + "title": "totara/totara-docker-dev", + "url": "https://github.com/totara/totara-docker-dev", + "description": "A docker setup to create a development environment for Totara Learn", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "8,273", - "forks": "1,966", + "stars": "48", + "forks": "31", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", - "name": "PeterDaveHello", - "url": "https://github.com/PeterDaveHello" + "avatar": "https://avatars.githubusercontent.com/u/6513855?s=40&v=4", + "name": "derschatta", + "url": "https://github.com/derschatta" }, { - "avatar": "https://avatars.githubusercontent.com/u/2781?s=40&v=4", - "name": "chorrell", - "url": "https://github.com/chorrell" + "avatar": "https://avatars.githubusercontent.com/u/20469132?s=40&v=4", + "name": "markmetcalfe", + "url": "https://github.com/markmetcalfe" }, { - "avatar": "https://avatars.githubusercontent.com/u/1297909?s=40&v=4", - "name": "nschonni", - "url": "https://github.com/nschonni" + "avatar": "https://avatars.githubusercontent.com/u/215483?s=40&v=4", + "name": "samanthajayasinghe", + "url": "https://github.com/samanthajayasinghe" }, { - "avatar": "https://avatars.githubusercontent.com/u/1404810?s=40&v=4", - "name": "SimenB", - "url": "https://github.com/SimenB" + "avatar": "https://avatars.githubusercontent.com/u/44886?s=40&v=4", + "name": "codyfinegan", + "url": "https://github.com/codyfinegan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1090826?s=40&v=4", - "name": "LaurentGoderre", - "url": "https://github.com/LaurentGoderre" + "avatar": "https://avatars.githubusercontent.com/u/637851?s=40&v=4", + "name": "scq", + "url": "https://github.com/scq" } ] }, { - "title": "PowerShell/PowerShell-Docker", - "url": "https://github.com/PowerShell/PowerShell-Docker", - "description": "Repository for building PowerShell Docker images", + "title": "jauderho/dockerfiles", + "url": "https://github.com/jauderho/dockerfiles", + "description": "Dockerfiles of things", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "420", - "forks": "152", + "stars": "121", + "forks": "19", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10873629?s=40&v=4", - "name": "TravisEz13", - "url": "https://github.com/TravisEz13" + "avatar": "https://avatars.githubusercontent.com/u/13562?s=40&v=4", + "name": "jauderho", + "url": "https://github.com/jauderho" }, { - "avatar": "https://avatars.githubusercontent.com/u/30238344?s=40&v=4", - "name": "anamnavi", - "url": "https://github.com/anamnavi" + "avatar": "https://avatars.githubusercontent.com/u/173991037?s=40&v=4", + "name": "jauderho-bot", + "url": "https://github.com/jauderho-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/34555510?s=40&v=4", - "name": "RDIL", - "url": "https://github.com/RDIL" + "avatar": "https://avatars.githubusercontent.com/u/19733683?s=40&v=4", + "name": "snyk-bot", + "url": "https://github.com/snyk-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/13409063?s=40&v=4", - "name": "kiazhi", - "url": "https://github.com/kiazhi" + "avatar": "https://avatars.githubusercontent.com/u/352291?s=40&v=4", + "name": "pento", + "url": "https://github.com/pento" } ] }, { - "title": "CaiJimmy/hugo-theme-stack-starter", - "url": "https://github.com/CaiJimmy/hugo-theme-stack-starter", - "description": "A quickstart template to create a Hugo blog using hugo-theme-stack", + "title": "linuxserver/docker-qbittorrent", + "url": "https://github.com/linuxserver/docker-qbittorrent", + "description": "", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "388", - "forks": "114", - "addStars": "1", + "stars": "1,057", + "forks": "155", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5889006?s=40&v=4", - "name": "CaiJimmy", - "url": "https://github.com/CaiJimmy" + "avatar": "https://avatars.githubusercontent.com/u/20182680?s=40&v=4", + "name": "LinuxServer-CI", + "url": "https://github.com/LinuxServer-CI" }, { - "avatar": "https://avatars.githubusercontent.com/u/16586200?s=40&v=4", - "name": "namanh11611", - "url": "https://github.com/namanh11611" + "avatar": "https://avatars.githubusercontent.com/u/8425502?s=40&v=4", + "name": "thespad", + "url": "https://github.com/thespad" }, { - "avatar": "https://avatars.githubusercontent.com/u/18169566?s=40&v=4", - "name": "deining", - "url": "https://github.com/deining" + "avatar": "https://avatars.githubusercontent.com/u/4343808?s=40&v=4", + "name": "sparklyballs", + "url": "https://github.com/sparklyballs" }, { - "avatar": "https://avatars.githubusercontent.com/u/59254886?s=40&v=4", - "name": "zhixuan2333", - "url": "https://github.com/zhixuan2333" + "avatar": "https://avatars.githubusercontent.com/u/541623?s=40&v=4", + "name": "aptalca", + "url": "https://github.com/aptalca" }, { - "avatar": "https://avatars.githubusercontent.com/u/83860323?s=40&v=4", - "name": "zhi-yi-huang", - "url": "https://github.com/zhi-yi-huang" + "avatar": "https://avatars.githubusercontent.com/u/1852688?s=40&v=4", + "name": "thelamer", + "url": "https://github.com/thelamer" } ] }, { - "title": "mikeroyal/Self-Hosting-Guide", - "url": "https://github.com/mikeroyal/Self-Hosting-Guide", - "description": "Self-Hosting Guide. Learn all about locally hosting (on premises & private web servers) and managing software applications by yourself or your organization. Including Cloud, LLMs, WireGuard, Automation, Home Assistant, and Networking.", + "title": "PowerShell/PowerShell-Docker", + "url": "https://github.com/PowerShell/PowerShell-Docker", + "description": "Repository for building PowerShell Docker images", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "11,270", - "forks": "597", - "addStars": "6", + "stars": "420", + "forks": "152", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45159366?s=40&v=4", - "name": "mikeroyal", - "url": "https://github.com/mikeroyal" + "avatar": "https://avatars.githubusercontent.com/u/10873629?s=40&v=4", + "name": "TravisEz13", + "url": "https://github.com/TravisEz13" }, { - "avatar": "https://avatars.githubusercontent.com/u/1090838?s=40&v=4", - "name": "bsamadi", - "url": "https://github.com/bsamadi" + "avatar": "https://avatars.githubusercontent.com/u/30238344?s=40&v=4", + "name": "anamnavi", + "url": "https://github.com/anamnavi" }, { - "avatar": "https://avatars.githubusercontent.com/u/3526922?s=40&v=4", - "name": "cztomsik", - "url": "https://github.com/cztomsik" + "avatar": "https://avatars.githubusercontent.com/u/34555510?s=40&v=4", + "name": "RDIL", + "url": "https://github.com/RDIL" }, { - "avatar": "https://avatars.githubusercontent.com/u/3581556?s=40&v=4", - "name": "wintersolutions", - "url": "https://github.com/wintersolutions" + "avatar": "https://avatars.githubusercontent.com/u/13409063?s=40&v=4", + "name": "kiazhi", + "url": "https://github.com/kiazhi" + } + ] + }, + { + "title": "sou6av/deploy-raganork", + "url": "https://github.com/sou6av/deploy-raganork", + "description": "", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "29", + "forks": "5,444", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/88765751?s=40&v=4", + "name": "sou6av", + "url": "https://github.com/sou6av" }, { - "avatar": "https://avatars.githubusercontent.com/u/3706505?s=40&v=4", - "name": "shotgunner", - "url": "https://github.com/shotgunner" + "avatar": "https://avatars.githubusercontent.com/u/87891508?s=40&v=4", + "name": "souravkl11", + "url": "https://github.com/souravkl11" } ] }, @@ -254,140 +271,320 @@ ] }, { - "title": "aschmelyun/docker-compose-laravel", - "url": "https://github.com/aschmelyun/docker-compose-laravel", - "description": "A docker-compose workflow for local Laravel development", + "title": "oxsecurity/megalinter", + "url": "https://github.com/oxsecurity/megalinter", + "description": "🦙 MegaLinter analyzes 50 languages, 22 formats, 21 tooling formats, excessive copy-pastes, spelling mistakes and security issues in your repository sources with a GitHub Action, other CI tools or locally.", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "2,600", - "forks": "1,164", + "stars": "1,958", + "forks": "238", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/17500430?s=40&v=4", + "name": "nvuillam", + "url": "https://github.com/nvuillam" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/129584137?s=40&v=4", + "name": "megalinter-bot", + "url": "https://github.com/megalinter-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27212526?s=40&v=4", + "name": "echoix", + "url": "https://github.com/echoix" + } + ] + }, + { + "title": "jessfraz/dockerfiles", + "url": "https://github.com/jessfraz/dockerfiles", + "description": "Various Dockerfiles I use on the desktop and on servers.", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "13,712", + "forks": "2,547", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1445228?s=40&v=4", + "name": "jessfraz", + "url": "https://github.com/jessfraz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6319666?s=40&v=4", + "name": "koep", + "url": "https://github.com/koep" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1428354?s=40&v=4", + "name": "JarenGlover", + "url": "https://github.com/JarenGlover" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/152008?s=40&v=4", + "name": "michael-k", + "url": "https://github.com/michael-k" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/371796?s=40&v=4", + "name": "rothgar", + "url": "https://github.com/rothgar" + } + ] + }, + { + "title": "CoolKit-Technologies/ha-addon", + "url": "https://github.com/CoolKit-Technologies/ha-addon", + "description": "", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "280", + "forks": "124", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3395980?s=40&v=4", - "name": "aschmelyun", - "url": "https://github.com/aschmelyun" + "avatar": "https://avatars.githubusercontent.com/u/87517449?s=40&v=4", + "name": "coolkit-tony", + "url": "https://github.com/coolkit-tony" }, { - "avatar": "https://avatars.githubusercontent.com/u/755110?s=40&v=4", - "name": "oranges13", - "url": "https://github.com/oranges13" + "avatar": "https://avatars.githubusercontent.com/u/5360879?s=40&v=4", + "name": "niezw", + "url": "https://github.com/niezw" }, { - "avatar": "https://avatars.githubusercontent.com/u/1460727?s=40&v=4", - "name": "dcrystalj", - "url": "https://github.com/dcrystalj" + "avatar": "https://avatars.githubusercontent.com/u/28012988?s=40&v=4", + "name": "ZJia1231", + "url": "https://github.com/ZJia1231" }, { - "avatar": "https://avatars.githubusercontent.com/u/9352555?s=40&v=4", - "name": "SmuSmu", - "url": "https://github.com/SmuSmu" + "avatar": "https://avatars.githubusercontent.com/u/493656?s=40&v=4", + "name": "IRus", + "url": "https://github.com/IRus" }, { - "avatar": "https://avatars.githubusercontent.com/u/16108768?s=40&v=4", - "name": "filipbekic01", - "url": "https://github.com/filipbekic01" + "avatar": "https://avatars.githubusercontent.com/u/26962444?s=40&v=4", + "name": "VForslund", + "url": "https://github.com/VForslund" } ] }, { - "title": "MicrosoftDocs/windows-powershell-docs", - "url": "https://github.com/MicrosoftDocs/windows-powershell-docs", - "description": "This repo is used to contribute to Windows 10, Windows Server 2016, and MDOP PowerShell module documentation.", + "title": "nodejs/docker-node", + "url": "https://github.com/nodejs/docker-node", + "description": "Official Docker Image for Node.js 🐳 🐢 🚀", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "447", - "forks": "601", + "stars": "8,273", + "forks": "1,966", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/54688693?s=40&v=4", - "name": "tiburd", - "url": "https://github.com/tiburd" + "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", + "name": "PeterDaveHello", + "url": "https://github.com/PeterDaveHello" }, { - "avatar": "https://avatars.githubusercontent.com/u/16143421?s=40&v=4", - "name": "brianlic-msft", - "url": "https://github.com/brianlic-msft" + "avatar": "https://avatars.githubusercontent.com/u/2781?s=40&v=4", + "name": "chorrell", + "url": "https://github.com/chorrell" }, { - "avatar": "https://avatars.githubusercontent.com/u/45987684?s=40&v=4", - "name": "msbemba", - "url": "https://github.com/msbemba" + "avatar": "https://avatars.githubusercontent.com/u/1297909?s=40&v=4", + "name": "nschonni", + "url": "https://github.com/nschonni" }, { - "avatar": "https://avatars.githubusercontent.com/u/10958657?s=40&v=4", - "name": "velkovb", - "url": "https://github.com/velkovb" + "avatar": "https://avatars.githubusercontent.com/u/1404810?s=40&v=4", + "name": "SimenB", + "url": "https://github.com/SimenB" }, { - "avatar": "https://avatars.githubusercontent.com/u/48568725?s=40&v=4", - "name": "JohanFreelancer9", - "url": "https://github.com/JohanFreelancer9" + "avatar": "https://avatars.githubusercontent.com/u/1090826?s=40&v=4", + "name": "LaurentGoderre", + "url": "https://github.com/LaurentGoderre" } ] }, { - "title": "postgis/docker-postgis", - "url": "https://github.com/postgis/docker-postgis", - "description": "Docker image for PostGIS", + "title": "microsoft/mu_devops", + "url": "https://github.com/microsoft/mu_devops", + "description": "Project Mu Developer Operations", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "1,397", - "forks": "467", - "addStars": "2", + "stars": "28", + "forks": "26", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/76367?s=40&v=4", - "name": "md5", - "url": "https://github.com/md5" + "avatar": "https://avatars.githubusercontent.com/u/21320094?s=40&v=4", + "name": "makubacki", + "url": "https://github.com/makubacki" }, { - "avatar": "https://avatars.githubusercontent.com/u/2217320?s=40&v=4", - "name": "ImreSamu", - "url": "https://github.com/ImreSamu" + "avatar": "https://avatars.githubusercontent.com/u/45776386?s=40&v=4", + "name": "uefibot", + "url": "https://github.com/uefibot" }, { - "avatar": "https://avatars.githubusercontent.com/u/9845938?s=40&v=4", - "name": "phillipross", - "url": "https://github.com/phillipross" + "avatar": "https://avatars.githubusercontent.com/u/24388509?s=40&v=4", + "name": "Javagedes", + "url": "https://github.com/Javagedes" }, { - "avatar": "https://avatars.githubusercontent.com/u/2555532?s=40&v=4", - "name": "JacobHayes", - "url": "https://github.com/JacobHayes" + "avatar": "https://avatars.githubusercontent.com/u/10496172?s=40&v=4", + "name": "cfernald", + "url": "https://github.com/cfernald" + } + ] + }, + { + "title": "imagegenius/docker-immich", + "url": "https://github.com/imagegenius/docker-immich", + "description": "Monolithic (Single) Docker Container for Immich", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "620", + "forks": "29", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/122011220?s=40&v=4", + "name": "ImageGeniusCI", + "url": "https://github.com/ImageGeniusCI" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53986978?s=40&v=4", + "name": "hydazz", + "url": "https://github.com/hydazz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74269598?s=40&v=4", + "name": "martabal", + "url": "https://github.com/martabal" }, { - "avatar": "https://avatars.githubusercontent.com/u/533564?s=40&v=4", - "name": "stepankuzmin", - "url": "https://github.com/stepankuzmin" + "avatar": "https://avatars.githubusercontent.com/u/5573149?s=40&v=4", + "name": "Allram", + "url": "https://github.com/Allram" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7746509?s=40&v=4", + "name": "gandhimaulik", + "url": "https://github.com/gandhimaulik" } ] }, { - "title": "oxsecurity/megalinter", - "url": "https://github.com/oxsecurity/megalinter", - "description": "🦙 MegaLinter analyzes 50 languages, 22 formats, 21 tooling formats, excessive copy-pastes, spelling mistakes and security issues in your repository sources with a GitHub Action, other CI tools or locally.", + "title": "dotnet/dotnet-buildtools-prereqs-docker", + "url": "https://github.com/dotnet/dotnet-buildtools-prereqs-docker", + "description": "Used to maintain the Docker images hosted at the mcr.microsoft.com/dotnet-buildtools/prereqs image repository", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "1,958", - "forks": "238", + "stars": "58", + "forks": "104", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17500430?s=40&v=4", - "name": "nvuillam", - "url": "https://github.com/nvuillam" + "avatar": "https://avatars.githubusercontent.com/u/60522487?s=40&v=4", + "name": "dotnet-docker-bot", + "url": "https://github.com/dotnet-docker-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/129584137?s=40&v=4", - "name": "megalinter-bot", - "url": "https://github.com/megalinter-bot" + "avatar": "https://avatars.githubusercontent.com/u/14356188?s=40&v=4", + "name": "wfurt", + "url": "https://github.com/wfurt" }, { - "avatar": "https://avatars.githubusercontent.com/u/27212526?s=40&v=4", - "name": "echoix", - "url": "https://github.com/echoix" + "avatar": "https://avatars.githubusercontent.com/u/7862010?s=40&v=4", + "name": "MattGal", + "url": "https://github.com/MattGal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15789599?s=40&v=4", + "name": "mthalman", + "url": "https://github.com/mthalman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8290530?s=40&v=4", + "name": "MichaelSimons", + "url": "https://github.com/MichaelSimons" + } + ] + }, + { + "title": "laradock/laradock", + "url": "https://github.com/laradock/laradock", + "description": "Full PHP development environment for Docker.", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "12,434", + "forks": "4,440", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4023909?s=40&v=4", + "name": "bestlong", + "url": "https://github.com/bestlong" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1983984?s=40&v=4", + "name": "Mahmoudz", + "url": "https://github.com/Mahmoudz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/756956?s=40&v=4", + "name": "winfried-van-loon", + "url": "https://github.com/winfried-van-loon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21979?s=40&v=4", + "name": "appleboy", + "url": "https://github.com/appleboy" + } + ] + }, + { + "title": "dotnet/dotnet-docker", + "url": "https://github.com/dotnet/dotnet-docker", + "description": "Docker images for .NET and the .NET Tools.", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "4,498", + "forks": "1,935", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/15789599?s=40&v=4", + "name": "mthalman", + "url": "https://github.com/mthalman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8290530?s=40&v=4", + "name": "MichaelSimons", + "url": "https://github.com/MichaelSimons" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36081148?s=40&v=4", + "name": "lbussell", + "url": "https://github.com/lbussell" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60522487?s=40&v=4", + "name": "dotnet-docker-bot", + "url": "https://github.com/dotnet-docker-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2608468?s=40&v=4", + "name": "richlander", + "url": "https://github.com/richlander" } ] } diff --git a/data/daily/dockerfile.xml b/data/daily/dockerfile.xml index f6a2cb9662e3..b42689fcf7f9 100644 --- a/data/daily/dockerfile.xml +++ b/data/daily/dockerfile.xml @@ -3,222 +3,240 @@ GitHub Dockerfile Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dockerfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - vulhub/vulhub - https://github.com/vulhub/vulhub - Pre-Built Vulnerable Environments Based on Docker-Compose - https://github.com/vulhub/vulhub + microsoft/code-with-engineering-playbook + https://github.com/microsoft/code-with-engineering-playbook + This is the playbook for "code-with" customer or partner engagements + https://github.com/microsoft/code-with-engineering-playbook Dockerfile #384d54 - 17,856 - 4,485 - 2 + 2,274 + 599 + 0 - https://avatars.githubusercontent.com/u/5711185?s=40&v=4 - phith0n - https://github.com/phith0n + https://avatars.githubusercontent.com/u/5821977?s=40&v=4 + TessFerrandez + https://github.com/TessFerrandez - https://avatars.githubusercontent.com/u/37445725?s=40&v=4 - JrDw0 - https://github.com/JrDw0 + https://avatars.githubusercontent.com/u/1862328?s=40&v=4 + shiranr + https://github.com/shiranr - https://avatars.githubusercontent.com/u/83867734?s=40&v=4 - D3vil0p3r - https://github.com/D3vil0p3r + https://avatars.githubusercontent.com/u/2699443?s=40&v=4 + NarmathaBala + https://github.com/NarmathaBala - https://avatars.githubusercontent.com/u/11970685?s=40&v=4 - monburan - https://github.com/monburan + https://avatars.githubusercontent.com/u/1629215?s=40&v=4 + tompaana + https://github.com/tompaana - https://avatars.githubusercontent.com/u/22583325?s=40&v=4 - CatAndCoffee - https://github.com/CatAndCoffee + https://avatars.githubusercontent.com/u/3776619?s=40&v=4 + omri374 + https://github.com/omri374 - Anduin2017/HowToCook - https://github.com/Anduin2017/HowToCook - 程序员在家做饭方法指南。Programmer's guide about how to cook at home (Simplified Chinese only). - https://github.com/Anduin2017/HowToCook + goldbergyoni/nodebestpractices + https://github.com/goldbergyoni/nodebestpractices + ✅ The Node.js best practices list (July 2024) + https://github.com/goldbergyoni/nodebestpractices Dockerfile #384d54 - 67,590 - 8,749 - 12 + 100,702 + 10,209 + 20 - https://avatars.githubusercontent.com/u/19531547?s=40&v=4 - Anduin2017 - https://github.com/Anduin2017 + https://avatars.githubusercontent.com/u/8571500?s=40&v=4 + goldbergyoni + https://github.com/goldbergyoni - https://avatars.githubusercontent.com/u/29685667?s=40&v=4 - WakiJi - https://github.com/WakiJi + https://avatars.githubusercontent.com/u/17216937?s=40&v=4 + anediaz + https://github.com/anediaz - https://avatars.githubusercontent.com/u/1260149?s=40&v=4 - draem0507 - https://github.com/draem0507 + https://avatars.githubusercontent.com/u/9084421?s=40&v=4 + idori + https://github.com/idori - https://avatars.githubusercontent.com/u/20080233?s=40&v=4 - bobby285271 - https://github.com/bobby285271 + https://avatars.githubusercontent.com/u/16746759?s=40&v=4 + rluvaton + https://github.com/rluvaton - nodejs/docker-node - https://github.com/nodejs/docker-node - Official Docker Image for Node.js 🐳 🐢 🚀 - https://github.com/nodejs/docker-node + totara/totara-docker-dev + https://github.com/totara/totara-docker-dev + A docker setup to create a development environment for Totara Learn + https://github.com/totara/totara-docker-dev Dockerfile #384d54 - 8,273 - 1,966 + 48 + 31 0 - https://avatars.githubusercontent.com/u/3691490?s=40&v=4 - PeterDaveHello - https://github.com/PeterDaveHello + https://avatars.githubusercontent.com/u/6513855?s=40&v=4 + derschatta + https://github.com/derschatta - https://avatars.githubusercontent.com/u/2781?s=40&v=4 - chorrell - https://github.com/chorrell + https://avatars.githubusercontent.com/u/20469132?s=40&v=4 + markmetcalfe + https://github.com/markmetcalfe - https://avatars.githubusercontent.com/u/1297909?s=40&v=4 - nschonni - https://github.com/nschonni + https://avatars.githubusercontent.com/u/215483?s=40&v=4 + samanthajayasinghe + https://github.com/samanthajayasinghe - https://avatars.githubusercontent.com/u/1404810?s=40&v=4 - SimenB - https://github.com/SimenB + https://avatars.githubusercontent.com/u/44886?s=40&v=4 + codyfinegan + https://github.com/codyfinegan - https://avatars.githubusercontent.com/u/1090826?s=40&v=4 - LaurentGoderre - https://github.com/LaurentGoderre + https://avatars.githubusercontent.com/u/637851?s=40&v=4 + scq + https://github.com/scq - PowerShell/PowerShell-Docker - https://github.com/PowerShell/PowerShell-Docker - Repository for building PowerShell Docker images - https://github.com/PowerShell/PowerShell-Docker + jauderho/dockerfiles + https://github.com/jauderho/dockerfiles + Dockerfiles of things + https://github.com/jauderho/dockerfiles Dockerfile #384d54 - 420 - 152 + 121 + 19 0 - https://avatars.githubusercontent.com/u/10873629?s=40&v=4 - TravisEz13 - https://github.com/TravisEz13 + https://avatars.githubusercontent.com/u/13562?s=40&v=4 + jauderho + https://github.com/jauderho - https://avatars.githubusercontent.com/u/30238344?s=40&v=4 - anamnavi - https://github.com/anamnavi + https://avatars.githubusercontent.com/u/173991037?s=40&v=4 + jauderho-bot + https://github.com/jauderho-bot - https://avatars.githubusercontent.com/u/34555510?s=40&v=4 - RDIL - https://github.com/RDIL + https://avatars.githubusercontent.com/u/19733683?s=40&v=4 + snyk-bot + https://github.com/snyk-bot - https://avatars.githubusercontent.com/u/13409063?s=40&v=4 - kiazhi - https://github.com/kiazhi + https://avatars.githubusercontent.com/u/352291?s=40&v=4 + pento + https://github.com/pento - CaiJimmy/hugo-theme-stack-starter - https://github.com/CaiJimmy/hugo-theme-stack-starter - A quickstart template to create a Hugo blog using hugo-theme-stack - https://github.com/CaiJimmy/hugo-theme-stack-starter + linuxserver/docker-qbittorrent + https://github.com/linuxserver/docker-qbittorrent + + https://github.com/linuxserver/docker-qbittorrent Dockerfile #384d54 - 388 - 114 - 1 + 1,057 + 155 + 2 - https://avatars.githubusercontent.com/u/5889006?s=40&v=4 - CaiJimmy - https://github.com/CaiJimmy + https://avatars.githubusercontent.com/u/20182680?s=40&v=4 + LinuxServer-CI + https://github.com/LinuxServer-CI - https://avatars.githubusercontent.com/u/16586200?s=40&v=4 - namanh11611 - https://github.com/namanh11611 + https://avatars.githubusercontent.com/u/8425502?s=40&v=4 + thespad + https://github.com/thespad - https://avatars.githubusercontent.com/u/18169566?s=40&v=4 - deining - https://github.com/deining + https://avatars.githubusercontent.com/u/4343808?s=40&v=4 + sparklyballs + https://github.com/sparklyballs - https://avatars.githubusercontent.com/u/59254886?s=40&v=4 - zhixuan2333 - https://github.com/zhixuan2333 + https://avatars.githubusercontent.com/u/541623?s=40&v=4 + aptalca + https://github.com/aptalca - https://avatars.githubusercontent.com/u/83860323?s=40&v=4 - zhi-yi-huang - https://github.com/zhi-yi-huang + https://avatars.githubusercontent.com/u/1852688?s=40&v=4 + thelamer + https://github.com/thelamer - mikeroyal/Self-Hosting-Guide - https://github.com/mikeroyal/Self-Hosting-Guide - Self-Hosting Guide. Learn all about locally hosting (on premises & private web servers) and managing software applications by yourself or your organization. Including Cloud, LLMs, WireGuard, Automation, Home Assistant, and Networking. - https://github.com/mikeroyal/Self-Hosting-Guide + PowerShell/PowerShell-Docker + https://github.com/PowerShell/PowerShell-Docker + Repository for building PowerShell Docker images + https://github.com/PowerShell/PowerShell-Docker Dockerfile #384d54 - 11,270 - 597 - 6 + 420 + 152 + 0 - https://avatars.githubusercontent.com/u/45159366?s=40&v=4 - mikeroyal - https://github.com/mikeroyal + https://avatars.githubusercontent.com/u/10873629?s=40&v=4 + TravisEz13 + https://github.com/TravisEz13 + + + https://avatars.githubusercontent.com/u/30238344?s=40&v=4 + anamnavi + https://github.com/anamnavi - https://avatars.githubusercontent.com/u/1090838?s=40&v=4 - bsamadi - https://github.com/bsamadi + https://avatars.githubusercontent.com/u/34555510?s=40&v=4 + RDIL + https://github.com/RDIL - https://avatars.githubusercontent.com/u/3526922?s=40&v=4 - cztomsik - https://github.com/cztomsik + https://avatars.githubusercontent.com/u/13409063?s=40&v=4 + kiazhi + https://github.com/kiazhi + + + + sou6av/deploy-raganork + https://github.com/sou6av/deploy-raganork + + https://github.com/sou6av/deploy-raganork + Dockerfile + #384d54 + 29 + 5,444 + 0 + - https://avatars.githubusercontent.com/u/3581556?s=40&v=4 - wintersolutions - https://github.com/wintersolutions + https://avatars.githubusercontent.com/u/88765751?s=40&v=4 + sou6av + https://github.com/sou6av - https://avatars.githubusercontent.com/u/3706505?s=40&v=4 - shotgunner - https://github.com/shotgunner + https://avatars.githubusercontent.com/u/87891508?s=40&v=4 + souravkl11 + https://github.com/souravkl11 @@ -261,144 +279,329 @@ - aschmelyun/docker-compose-laravel - https://github.com/aschmelyun/docker-compose-laravel - A docker-compose workflow for local Laravel development - https://github.com/aschmelyun/docker-compose-laravel + oxsecurity/megalinter + https://github.com/oxsecurity/megalinter + 🦙 MegaLinter analyzes 50 languages, 22 formats, 21 tooling formats, excessive copy-pastes, spelling mistakes and security issues in your repository sources with a GitHub Action, other CI tools or locally. + https://github.com/oxsecurity/megalinter Dockerfile #384d54 - 2,600 - 1,164 + 1,958 + 238 + 0 + + + https://avatars.githubusercontent.com/u/17500430?s=40&v=4 + nvuillam + https://github.com/nvuillam + + + https://avatars.githubusercontent.com/u/129584137?s=40&v=4 + megalinter-bot + https://github.com/megalinter-bot + + + https://avatars.githubusercontent.com/u/27212526?s=40&v=4 + echoix + https://github.com/echoix + + + + + jessfraz/dockerfiles + https://github.com/jessfraz/dockerfiles + Various Dockerfiles I use on the desktop and on servers. + https://github.com/jessfraz/dockerfiles + Dockerfile + #384d54 + 13,712 + 2,547 + 2 + + + https://avatars.githubusercontent.com/u/1445228?s=40&v=4 + jessfraz + https://github.com/jessfraz + + + https://avatars.githubusercontent.com/u/6319666?s=40&v=4 + koep + https://github.com/koep + + + https://avatars.githubusercontent.com/u/1428354?s=40&v=4 + JarenGlover + https://github.com/JarenGlover + + + https://avatars.githubusercontent.com/u/152008?s=40&v=4 + michael-k + https://github.com/michael-k + + + https://avatars.githubusercontent.com/u/371796?s=40&v=4 + rothgar + https://github.com/rothgar + + + + + CoolKit-Technologies/ha-addon + https://github.com/CoolKit-Technologies/ha-addon + + https://github.com/CoolKit-Technologies/ha-addon + Dockerfile + #384d54 + 280 + 124 1 - https://avatars.githubusercontent.com/u/3395980?s=40&v=4 - aschmelyun - https://github.com/aschmelyun + https://avatars.githubusercontent.com/u/87517449?s=40&v=4 + coolkit-tony + https://github.com/coolkit-tony - https://avatars.githubusercontent.com/u/755110?s=40&v=4 - oranges13 - https://github.com/oranges13 + https://avatars.githubusercontent.com/u/5360879?s=40&v=4 + niezw + https://github.com/niezw - https://avatars.githubusercontent.com/u/1460727?s=40&v=4 - dcrystalj - https://github.com/dcrystalj + https://avatars.githubusercontent.com/u/28012988?s=40&v=4 + ZJia1231 + https://github.com/ZJia1231 - https://avatars.githubusercontent.com/u/9352555?s=40&v=4 - SmuSmu - https://github.com/SmuSmu + https://avatars.githubusercontent.com/u/493656?s=40&v=4 + IRus + https://github.com/IRus - https://avatars.githubusercontent.com/u/16108768?s=40&v=4 - filipbekic01 - https://github.com/filipbekic01 + https://avatars.githubusercontent.com/u/26962444?s=40&v=4 + VForslund + https://github.com/VForslund - MicrosoftDocs/windows-powershell-docs - https://github.com/MicrosoftDocs/windows-powershell-docs - This repo is used to contribute to Windows 10, Windows Server 2016, and MDOP PowerShell module documentation. - https://github.com/MicrosoftDocs/windows-powershell-docs + nodejs/docker-node + https://github.com/nodejs/docker-node + Official Docker Image for Node.js 🐳 🐢 🚀 + https://github.com/nodejs/docker-node Dockerfile #384d54 - 447 - 601 + 8,273 + 1,966 0 - https://avatars.githubusercontent.com/u/54688693?s=40&v=4 - tiburd - https://github.com/tiburd + https://avatars.githubusercontent.com/u/3691490?s=40&v=4 + PeterDaveHello + https://github.com/PeterDaveHello - https://avatars.githubusercontent.com/u/16143421?s=40&v=4 - brianlic-msft - https://github.com/brianlic-msft + https://avatars.githubusercontent.com/u/2781?s=40&v=4 + chorrell + https://github.com/chorrell - https://avatars.githubusercontent.com/u/45987684?s=40&v=4 - msbemba - https://github.com/msbemba + https://avatars.githubusercontent.com/u/1297909?s=40&v=4 + nschonni + https://github.com/nschonni - https://avatars.githubusercontent.com/u/10958657?s=40&v=4 - velkovb - https://github.com/velkovb + https://avatars.githubusercontent.com/u/1404810?s=40&v=4 + SimenB + https://github.com/SimenB - https://avatars.githubusercontent.com/u/48568725?s=40&v=4 - JohanFreelancer9 - https://github.com/JohanFreelancer9 + https://avatars.githubusercontent.com/u/1090826?s=40&v=4 + LaurentGoderre + https://github.com/LaurentGoderre - postgis/docker-postgis - https://github.com/postgis/docker-postgis - Docker image for PostGIS - https://github.com/postgis/docker-postgis + microsoft/mu_devops + https://github.com/microsoft/mu_devops + Project Mu Developer Operations + https://github.com/microsoft/mu_devops Dockerfile #384d54 - 1,397 - 467 - 2 + 28 + 26 + 0 + + + https://avatars.githubusercontent.com/u/21320094?s=40&v=4 + makubacki + https://github.com/makubacki + + + https://avatars.githubusercontent.com/u/45776386?s=40&v=4 + uefibot + https://github.com/uefibot + + + https://avatars.githubusercontent.com/u/24388509?s=40&v=4 + Javagedes + https://github.com/Javagedes + + + https://avatars.githubusercontent.com/u/10496172?s=40&v=4 + cfernald + https://github.com/cfernald + + + + + imagegenius/docker-immich + https://github.com/imagegenius/docker-immich + Monolithic (Single) Docker Container for Immich + https://github.com/imagegenius/docker-immich + Dockerfile + #384d54 + 620 + 29 + 0 - https://avatars.githubusercontent.com/u/76367?s=40&v=4 - md5 - https://github.com/md5 + https://avatars.githubusercontent.com/u/122011220?s=40&v=4 + ImageGeniusCI + https://github.com/ImageGeniusCI - https://avatars.githubusercontent.com/u/2217320?s=40&v=4 - ImreSamu - https://github.com/ImreSamu + https://avatars.githubusercontent.com/u/53986978?s=40&v=4 + hydazz + https://github.com/hydazz - https://avatars.githubusercontent.com/u/9845938?s=40&v=4 - phillipross - https://github.com/phillipross + https://avatars.githubusercontent.com/u/74269598?s=40&v=4 + martabal + https://github.com/martabal - https://avatars.githubusercontent.com/u/2555532?s=40&v=4 - JacobHayes - https://github.com/JacobHayes + https://avatars.githubusercontent.com/u/5573149?s=40&v=4 + Allram + https://github.com/Allram - https://avatars.githubusercontent.com/u/533564?s=40&v=4 - stepankuzmin - https://github.com/stepankuzmin + https://avatars.githubusercontent.com/u/7746509?s=40&v=4 + gandhimaulik + https://github.com/gandhimaulik - oxsecurity/megalinter - https://github.com/oxsecurity/megalinter - 🦙 MegaLinter analyzes 50 languages, 22 formats, 21 tooling formats, excessive copy-pastes, spelling mistakes and security issues in your repository sources with a GitHub Action, other CI tools or locally. - https://github.com/oxsecurity/megalinter + dotnet/dotnet-buildtools-prereqs-docker + https://github.com/dotnet/dotnet-buildtools-prereqs-docker + Used to maintain the Docker images hosted at the mcr.microsoft.com/dotnet-buildtools/prereqs image repository + https://github.com/dotnet/dotnet-buildtools-prereqs-docker Dockerfile #384d54 - 1,958 - 238 + 58 + 104 0 - https://avatars.githubusercontent.com/u/17500430?s=40&v=4 - nvuillam - https://github.com/nvuillam + https://avatars.githubusercontent.com/u/60522487?s=40&v=4 + dotnet-docker-bot + https://github.com/dotnet-docker-bot - https://avatars.githubusercontent.com/u/129584137?s=40&v=4 - megalinter-bot - https://github.com/megalinter-bot + https://avatars.githubusercontent.com/u/14356188?s=40&v=4 + wfurt + https://github.com/wfurt - https://avatars.githubusercontent.com/u/27212526?s=40&v=4 - echoix - https://github.com/echoix + https://avatars.githubusercontent.com/u/7862010?s=40&v=4 + MattGal + https://github.com/MattGal + + + https://avatars.githubusercontent.com/u/15789599?s=40&v=4 + mthalman + https://github.com/mthalman + + + https://avatars.githubusercontent.com/u/8290530?s=40&v=4 + MichaelSimons + https://github.com/MichaelSimons + + + + + laradock/laradock + https://github.com/laradock/laradock + Full PHP development environment for Docker. + https://github.com/laradock/laradock + Dockerfile + #384d54 + 12,434 + 4,440 + 1 + + + https://avatars.githubusercontent.com/u/4023909?s=40&v=4 + bestlong + https://github.com/bestlong + + + https://avatars.githubusercontent.com/u/1983984?s=40&v=4 + Mahmoudz + https://github.com/Mahmoudz + + + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot + + + https://avatars.githubusercontent.com/u/756956?s=40&v=4 + winfried-van-loon + https://github.com/winfried-van-loon + + + https://avatars.githubusercontent.com/u/21979?s=40&v=4 + appleboy + https://github.com/appleboy + + + + + dotnet/dotnet-docker + https://github.com/dotnet/dotnet-docker + Docker images for .NET and the .NET Tools. + https://github.com/dotnet/dotnet-docker + Dockerfile + #384d54 + 4,498 + 1,935 + 1 + + + https://avatars.githubusercontent.com/u/15789599?s=40&v=4 + mthalman + https://github.com/mthalman + + + https://avatars.githubusercontent.com/u/8290530?s=40&v=4 + MichaelSimons + https://github.com/MichaelSimons + + + https://avatars.githubusercontent.com/u/36081148?s=40&v=4 + lbussell + https://github.com/lbussell + + + https://avatars.githubusercontent.com/u/60522487?s=40&v=4 + dotnet-docker-bot + https://github.com/dotnet-docker-bot + + + https://avatars.githubusercontent.com/u/2608468?s=40&v=4 + richlander + https://github.com/richlander diff --git a/data/daily/dogescript.json b/data/daily/dogescript.json index b3ffa55a4cb8..0447b7445f9a 100644 --- a/data/daily/dogescript.json +++ b/data/daily/dogescript.json @@ -2,6 +2,6 @@ "title": "GitHub Dogescript Languages Daily Trending", "description": "Daily Trending of Dogescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dogescript.xml b/data/daily/dogescript.xml index bb1fca7da444..cc40f45b1346 100644 --- a/data/daily/dogescript.xml +++ b/data/daily/dogescript.xml @@ -3,6 +3,6 @@ GitHub Dogescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dogescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dotenv.json b/data/daily/dotenv.json index bdd542f8a2a1..205c3a611fd4 100644 --- a/data/daily/dotenv.json +++ b/data/daily/dotenv.json @@ -2,6 +2,6 @@ "title": "GitHub Dotenv Languages Daily Trending", "description": "Daily Trending of Dotenv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dotenv.xml b/data/daily/dotenv.xml index 542c9ac41220..a1798296de98 100644 --- a/data/daily/dotenv.xml +++ b/data/daily/dotenv.xml @@ -3,6 +3,6 @@ GitHub Dotenv Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dotenv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dtrace.json b/data/daily/dtrace.json index 1b014ca92454..c022352a9e78 100644 --- a/data/daily/dtrace.json +++ b/data/daily/dtrace.json @@ -2,6 +2,6 @@ "title": "GitHub Dtrace Languages Daily Trending", "description": "Daily Trending of Dtrace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dtrace.xml b/data/daily/dtrace.xml index ac1d3c8a46b5..47d5b24a2a98 100644 --- a/data/daily/dtrace.xml +++ b/data/daily/dtrace.xml @@ -3,6 +3,6 @@ GitHub Dtrace Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dtrace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/dylan.json b/data/daily/dylan.json index f37035165e1a..cb3f90d98d29 100644 --- a/data/daily/dylan.json +++ b/data/daily/dylan.json @@ -2,6 +2,6 @@ "title": "GitHub Dylan Languages Daily Trending", "description": "Daily Trending of Dylan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/dylan.xml b/data/daily/dylan.xml index f04e13412e0f..c9294c9bdda4 100644 --- a/data/daily/dylan.xml +++ b/data/daily/dylan.xml @@ -3,6 +3,6 @@ GitHub Dylan Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Dylan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/e-mail.json b/data/daily/e-mail.json index 940b30d1a052..36d088cd2ab3 100644 --- a/data/daily/e-mail.json +++ b/data/daily/e-mail.json @@ -2,6 +2,6 @@ "title": "GitHub E-mail Languages Daily Trending", "description": "Daily Trending of E-mail Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/e-mail.xml b/data/daily/e-mail.xml index bc78536339ac..93ae15aaec3c 100644 --- a/data/daily/e-mail.xml +++ b/data/daily/e-mail.xml @@ -3,6 +3,6 @@ GitHub E-mail Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of E-mail Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/e.json b/data/daily/e.json index 063caec31f87..27f296ec8a49 100644 --- a/data/daily/e.json +++ b/data/daily/e.json @@ -2,6 +2,6 @@ "title": "GitHub E Languages Daily Trending", "description": "Daily Trending of E Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/e.xml b/data/daily/e.xml index bfbde3282588..f3527deb20b5 100644 --- a/data/daily/e.xml +++ b/data/daily/e.xml @@ -3,6 +3,6 @@ GitHub E Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of E Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/eagle.json b/data/daily/eagle.json index 3f6ef972a79b..bc65077c9938 100644 --- a/data/daily/eagle.json +++ b/data/daily/eagle.json @@ -2,6 +2,6 @@ "title": "GitHub Eagle Languages Daily Trending", "description": "Daily Trending of Eagle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/eagle.xml b/data/daily/eagle.xml index 26971e3b0ec6..9f91a21d4fe9 100644 --- a/data/daily/eagle.xml +++ b/data/daily/eagle.xml @@ -3,6 +3,6 @@ GitHub Eagle Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Eagle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/earthly.json b/data/daily/earthly.json index 81275bee0627..94744213a1a7 100644 --- a/data/daily/earthly.json +++ b/data/daily/earthly.json @@ -2,6 +2,6 @@ "title": "GitHub Earthly Languages Daily Trending", "description": "Daily Trending of Earthly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/earthly.xml b/data/daily/earthly.xml index d6d0b1a27965..94bbb201649e 100644 --- a/data/daily/earthly.xml +++ b/data/daily/earthly.xml @@ -3,6 +3,6 @@ GitHub Earthly Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Earthly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/easybuild.json b/data/daily/easybuild.json index 65fcd5a683bd..8f0368c50d68 100644 --- a/data/daily/easybuild.json +++ b/data/daily/easybuild.json @@ -2,6 +2,6 @@ "title": "GitHub Easybuild Languages Daily Trending", "description": "Daily Trending of Easybuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/easybuild.xml b/data/daily/easybuild.xml index aae58018d8f7..37cfcb5828ee 100644 --- a/data/daily/easybuild.xml +++ b/data/daily/easybuild.xml @@ -3,6 +3,6 @@ GitHub Easybuild Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Easybuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ebnf.json b/data/daily/ebnf.json index 0c39fa3b9435..fcdc61d37516 100644 --- a/data/daily/ebnf.json +++ b/data/daily/ebnf.json @@ -2,6 +2,6 @@ "title": "GitHub Ebnf Languages Daily Trending", "description": "Daily Trending of Ebnf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ebnf.xml b/data/daily/ebnf.xml index d2b857b17723..df687d5ac701 100644 --- a/data/daily/ebnf.xml +++ b/data/daily/ebnf.xml @@ -3,6 +3,6 @@ GitHub Ebnf Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ebnf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ec.json b/data/daily/ec.json index d40f45985db5..a7ec1d87d498 100644 --- a/data/daily/ec.json +++ b/data/daily/ec.json @@ -2,6 +2,6 @@ "title": "GitHub Ec Languages Daily Trending", "description": "Daily Trending of Ec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ec.xml b/data/daily/ec.xml index 8c1bacb7fa0c..efa162cbc209 100644 --- a/data/daily/ec.xml +++ b/data/daily/ec.xml @@ -3,6 +3,6 @@ GitHub Ec Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ecere-projects.json b/data/daily/ecere-projects.json index 118ad18d7b4e..bd522751a45c 100644 --- a/data/daily/ecere-projects.json +++ b/data/daily/ecere-projects.json @@ -2,6 +2,6 @@ "title": "GitHub Ecere-projects Languages Daily Trending", "description": "Daily Trending of Ecere-projects Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ecere-projects.xml b/data/daily/ecere-projects.xml index d65cfcb197a8..2feb6b81dd65 100644 --- a/data/daily/ecere-projects.xml +++ b/data/daily/ecere-projects.xml @@ -3,6 +3,6 @@ GitHub Ecere-projects Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ecere-projects Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ecl.json b/data/daily/ecl.json index 2f12e3e02aa3..c384a38e7b3d 100644 --- a/data/daily/ecl.json +++ b/data/daily/ecl.json @@ -2,6 +2,6 @@ "title": "GitHub Ecl Languages Daily Trending", "description": "Daily Trending of Ecl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ecl.xml b/data/daily/ecl.xml index d380252d7984..091d5fd4b1ee 100644 --- a/data/daily/ecl.xml +++ b/data/daily/ecl.xml @@ -3,6 +3,6 @@ GitHub Ecl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ecl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/eclipse.json b/data/daily/eclipse.json index 30ee145af9f8..a27433e603f2 100644 --- a/data/daily/eclipse.json +++ b/data/daily/eclipse.json @@ -2,6 +2,6 @@ "title": "GitHub Eclipse Languages Daily Trending", "description": "Daily Trending of Eclipse Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/eclipse.xml b/data/daily/eclipse.xml index 6ff04a926034..8f4f9b397570 100644 --- a/data/daily/eclipse.xml +++ b/data/daily/eclipse.xml @@ -3,6 +3,6 @@ GitHub Eclipse Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Eclipse Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ecmarkup.json b/data/daily/ecmarkup.json index c3d8b3099f85..11f0c755dc5b 100644 --- a/data/daily/ecmarkup.json +++ b/data/daily/ecmarkup.json @@ -2,6 +2,6 @@ "title": "GitHub Ecmarkup Languages Daily Trending", "description": "Daily Trending of Ecmarkup Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ecmarkup.xml b/data/daily/ecmarkup.xml index fb7006c0f177..45ed1469731a 100644 --- a/data/daily/ecmarkup.xml +++ b/data/daily/ecmarkup.xml @@ -3,6 +3,6 @@ GitHub Ecmarkup Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ecmarkup Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/edge.json b/data/daily/edge.json index 5e858c7df87a..88f1b483dcd4 100644 --- a/data/daily/edge.json +++ b/data/daily/edge.json @@ -2,6 +2,6 @@ "title": "GitHub Edge Languages Daily Trending", "description": "Daily Trending of Edge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/edge.xml b/data/daily/edge.xml index aef8ac102460..c90ec392ff5b 100644 --- a/data/daily/edge.xml +++ b/data/daily/edge.xml @@ -3,6 +3,6 @@ GitHub Edge Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Edge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/edgeql.json b/data/daily/edgeql.json index ab049c541a92..bab890ba6f8e 100644 --- a/data/daily/edgeql.json +++ b/data/daily/edgeql.json @@ -2,6 +2,6 @@ "title": "GitHub Edgeql Languages Daily Trending", "description": "Daily Trending of Edgeql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/edgeql.xml b/data/daily/edgeql.xml index b5728a14d505..f46fb8a86fb0 100644 --- a/data/daily/edgeql.xml +++ b/data/daily/edgeql.xml @@ -3,6 +3,6 @@ GitHub Edgeql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Edgeql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/editorconfig.json b/data/daily/editorconfig.json index bfb299bc5cf3..e60f55714493 100644 --- a/data/daily/editorconfig.json +++ b/data/daily/editorconfig.json @@ -2,6 +2,6 @@ "title": "GitHub Editorconfig Languages Daily Trending", "description": "Daily Trending of Editorconfig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/editorconfig.xml b/data/daily/editorconfig.xml index e98d29ab050d..63c8b6d84c6a 100644 --- a/data/daily/editorconfig.xml +++ b/data/daily/editorconfig.xml @@ -3,6 +3,6 @@ GitHub Editorconfig Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Editorconfig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/edje-data-collection.json b/data/daily/edje-data-collection.json index 683437c465c2..8c08fc059e33 100644 --- a/data/daily/edje-data-collection.json +++ b/data/daily/edje-data-collection.json @@ -2,6 +2,6 @@ "title": "GitHub Edje-data-collection Languages Daily Trending", "description": "Daily Trending of Edje-data-collection Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/edje-data-collection.xml b/data/daily/edje-data-collection.xml index e078b3209f3f..3940d8ec0afd 100644 --- a/data/daily/edje-data-collection.xml +++ b/data/daily/edje-data-collection.xml @@ -3,6 +3,6 @@ GitHub Edje-data-collection Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Edje-data-collection Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/edn.json b/data/daily/edn.json index 4fcff0423137..e5b44107d71c 100644 --- a/data/daily/edn.json +++ b/data/daily/edn.json @@ -2,6 +2,6 @@ "title": "GitHub Edn Languages Daily Trending", "description": "Daily Trending of Edn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/edn.xml b/data/daily/edn.xml index b5841c4fb5ee..4f070f9509e6 100644 --- a/data/daily/edn.xml +++ b/data/daily/edn.xml @@ -3,6 +3,6 @@ GitHub Edn Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Edn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/eiffel.json b/data/daily/eiffel.json index 9bf0ac3a9ce5..a6394c4e8001 100644 --- a/data/daily/eiffel.json +++ b/data/daily/eiffel.json @@ -2,6 +2,6 @@ "title": "GitHub Eiffel Languages Daily Trending", "description": "Daily Trending of Eiffel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/eiffel.xml b/data/daily/eiffel.xml index 575c60efb18c..9ac99dd74f2b 100644 --- a/data/daily/eiffel.xml +++ b/data/daily/eiffel.xml @@ -3,6 +3,6 @@ GitHub Eiffel Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Eiffel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ejs.json b/data/daily/ejs.json index 54bbf428f8aa..fdabf0b3fc4f 100644 --- a/data/daily/ejs.json +++ b/data/daily/ejs.json @@ -2,42 +2,37 @@ "title": "GitHub Ejs Languages Daily Trending", "description": "Daily Trending of Ejs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "keikaavousi/fake-store-api", - "url": "https://github.com/keikaavousi/fake-store-api", - "description": "FakeStoreAPI is a free online REST API that provides you fake e-commerce JSON data", + "title": "roninoss/create-expo-stack", + "url": "https://github.com/roninoss/create-expo-stack", + "description": "CLI tool to initialize a React Native application with Expo. Provides options to include Typescript, file-based routing via Expo Router, configuration based routing via pure React Navigation, styling via Nativewind, Restyle, Unistyles, StyleSheets, or Tamagui, and/or backend as a service such as Firebase and Supabase.", "language": "EJS", "languageColor": "#a91e50", - "stars": "2,185", - "forks": "421", - "addStars": "0", + "stars": "1,588", + "forks": "85", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/53637377?s=40&v=4", - "name": "keikaavousi", - "url": "https://github.com/keikaavousi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/53825097?s=40&v=4", - "name": "Bardiesel", - "url": "https://github.com/Bardiesel" + "avatar": "https://avatars.githubusercontent.com/u/5482800?s=40&v=4", + "name": "danstepanov", + "url": "https://github.com/danstepanov" }, { - "avatar": "https://avatars.githubusercontent.com/u/67043317?s=40&v=4", - "name": "mkeikaavousi", - "url": "https://github.com/mkeikaavousi" + "avatar": "https://avatars.githubusercontent.com/u/3481514?s=40&v=4", + "name": "dannyhw", + "url": "https://github.com/dannyhw" }, { - "avatar": "https://avatars.githubusercontent.com/u/12063586?s=40&v=4", - "name": "jacob-ebey", - "url": "https://github.com/jacob-ebey" + "avatar": "https://avatars.githubusercontent.com/u/39573679?s=40&v=4", + "name": "hqasmei", + "url": "https://github.com/hqasmei" }, { - "avatar": "https://avatars.githubusercontent.com/u/46715342?s=40&v=4", - "name": "ld-web", - "url": "https://github.com/ld-web" + "avatar": "https://avatars.githubusercontent.com/u/2035492?s=40&v=4", + "name": "sammoore", + "url": "https://github.com/sammoore" } ] }, @@ -78,45 +73,13 @@ } ] }, - { - "title": "d3ward/toolz", - "url": "https://github.com/d3ward/toolz", - "description": "Easy-to-use interface and extensive collection of testing tools for optimizing performance and user experience.", - "language": "EJS", - "languageColor": "#a91e50", - "stars": "1,277", - "forks": "102", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/39910418?s=40&v=4", - "name": "d3ward", - "url": "https://github.com/d3ward" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1659004?s=40&v=4", - "name": "ryanbr", - "url": "https://github.com/ryanbr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/212824?s=40&v=4", - "name": "ArTourter", - "url": "https://github.com/ArTourter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11539895?s=40&v=4", - "name": "TheMonDon", - "url": "https://github.com/TheMonDon" - } - ] - }, { "title": "Fechin/reference", "url": "https://github.com/Fechin/reference", "description": "⭕ Share quick reference cheat sheet for developers.", "language": "EJS", "languageColor": "#a91e50", - "stars": "6,502", + "stars": "6,504", "forks": "883", "addStars": "4", "contributors": [ @@ -148,39 +111,34 @@ ] }, { - "title": "LondheShubham153/node-todo-cicd", - "url": "https://github.com/LondheShubham153/node-todo-cicd", + "title": "github/haikus-for-codespaces", + "url": "https://github.com/github/haikus-for-codespaces", "description": "", "language": "EJS", "languageColor": "#a91e50", - "stars": "196", - "forks": "4,540", + "stars": "1,903", + "forks": "3,340", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23608523?s=40&v=4", - "name": "LondheShubham153", - "url": "https://github.com/LondheShubham153" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/121779953?s=40&v=4", - "name": "DevMadhup", - "url": "https://github.com/DevMadhup" + "avatar": "https://avatars.githubusercontent.com/u/9445180?s=40&v=4", + "name": "craiglpeters", + "url": "https://github.com/craiglpeters" }, { - "avatar": "https://avatars.githubusercontent.com/u/142008589?s=40&v=4", - "name": "dheeruyadav54", - "url": "https://github.com/dheeruyadav54" + "avatar": "https://avatars.githubusercontent.com/u/19893438?s=40&v=4", + "name": "jungaretti", + "url": "https://github.com/jungaretti" }, { - "avatar": "https://avatars.githubusercontent.com/u/62509938?s=40&v=4", - "name": "udaybambal", - "url": "https://github.com/udaybambal" + "avatar": "https://avatars.githubusercontent.com/u/17835681?s=40&v=4", + "name": "adnamalin", + "url": "https://github.com/adnamalin" }, { - "avatar": "https://avatars.githubusercontent.com/u/73846350?s=40&v=4", - "name": "Arman10101999", - "url": "https://github.com/Arman10101999" + "avatar": "https://avatars.githubusercontent.com/u/13760?s=40&v=4", + "name": "joshaber", + "url": "https://github.com/joshaber" } ] } diff --git a/data/daily/ejs.xml b/data/daily/ejs.xml index 04abc9c13727..3e585290fa61 100644 --- a/data/daily/ejs.xml +++ b/data/daily/ejs.xml @@ -3,42 +3,37 @@ GitHub Ejs Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ejs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - keikaavousi/fake-store-api - https://github.com/keikaavousi/fake-store-api - FakeStoreAPI is a free online REST API that provides you fake e-commerce JSON data - https://github.com/keikaavousi/fake-store-api + roninoss/create-expo-stack + https://github.com/roninoss/create-expo-stack + CLI tool to initialize a React Native application with Expo. Provides options to include Typescript, file-based routing via Expo Router, configuration based routing via pure React Navigation, styling via Nativewind, Restyle, Unistyles, StyleSheets, or Tamagui, and/or backend as a service such as Firebase and Supabase. + https://github.com/roninoss/create-expo-stack EJS #a91e50 - 2,185 - 421 - 0 + 1,588 + 85 + 5 - https://avatars.githubusercontent.com/u/53637377?s=40&v=4 - keikaavousi - https://github.com/keikaavousi - - - https://avatars.githubusercontent.com/u/53825097?s=40&v=4 - Bardiesel - https://github.com/Bardiesel + https://avatars.githubusercontent.com/u/5482800?s=40&v=4 + danstepanov + https://github.com/danstepanov - https://avatars.githubusercontent.com/u/67043317?s=40&v=4 - mkeikaavousi - https://github.com/mkeikaavousi + https://avatars.githubusercontent.com/u/3481514?s=40&v=4 + dannyhw + https://github.com/dannyhw - https://avatars.githubusercontent.com/u/12063586?s=40&v=4 - jacob-ebey - https://github.com/jacob-ebey + https://avatars.githubusercontent.com/u/39573679?s=40&v=4 + hqasmei + https://github.com/hqasmei - https://avatars.githubusercontent.com/u/46715342?s=40&v=4 - ld-web - https://github.com/ld-web + https://avatars.githubusercontent.com/u/2035492?s=40&v=4 + sammoore + https://github.com/sammoore @@ -80,39 +75,6 @@ - - d3ward/toolz - https://github.com/d3ward/toolz - Easy-to-use interface and extensive collection of testing tools for optimizing performance and user experience. - https://github.com/d3ward/toolz - EJS - #a91e50 - 1,277 - 102 - 0 - - - https://avatars.githubusercontent.com/u/39910418?s=40&v=4 - d3ward - https://github.com/d3ward - - - https://avatars.githubusercontent.com/u/1659004?s=40&v=4 - ryanbr - https://github.com/ryanbr - - - https://avatars.githubusercontent.com/u/212824?s=40&v=4 - ArTourter - https://github.com/ArTourter - - - https://avatars.githubusercontent.com/u/11539895?s=40&v=4 - TheMonDon - https://github.com/TheMonDon - - - Fechin/reference https://github.com/Fechin/reference @@ -120,7 +82,7 @@ https://github.com/Fechin/reference EJS #a91e50 - 6,502 + 6,504 883 4 @@ -152,40 +114,35 @@ - LondheShubham153/node-todo-cicd - https://github.com/LondheShubham153/node-todo-cicd + github/haikus-for-codespaces + https://github.com/github/haikus-for-codespaces - https://github.com/LondheShubham153/node-todo-cicd + https://github.com/github/haikus-for-codespaces EJS #a91e50 - 196 - 4,540 + 1,903 + 3,340 1 - https://avatars.githubusercontent.com/u/23608523?s=40&v=4 - LondheShubham153 - https://github.com/LondheShubham153 - - - https://avatars.githubusercontent.com/u/121779953?s=40&v=4 - DevMadhup - https://github.com/DevMadhup + https://avatars.githubusercontent.com/u/9445180?s=40&v=4 + craiglpeters + https://github.com/craiglpeters - https://avatars.githubusercontent.com/u/142008589?s=40&v=4 - dheeruyadav54 - https://github.com/dheeruyadav54 + https://avatars.githubusercontent.com/u/19893438?s=40&v=4 + jungaretti + https://github.com/jungaretti - https://avatars.githubusercontent.com/u/62509938?s=40&v=4 - udaybambal - https://github.com/udaybambal + https://avatars.githubusercontent.com/u/17835681?s=40&v=4 + adnamalin + https://github.com/adnamalin - https://avatars.githubusercontent.com/u/73846350?s=40&v=4 - Arman10101999 - https://github.com/Arman10101999 + https://avatars.githubusercontent.com/u/13760?s=40&v=4 + joshaber + https://github.com/joshaber diff --git a/data/daily/elixir.json b/data/daily/elixir.json index 8bea64f0371e..50f503a92ce4 100644 --- a/data/daily/elixir.json +++ b/data/daily/elixir.json @@ -2,138 +2,143 @@ "title": "GitHub Elixir Languages Daily Trending", "description": "Daily Trending of Elixir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "teslamate-org/teslamate", - "url": "https://github.com/teslamate-org/teslamate", - "description": "A self-hosted data logger for your Tesla 🚘", + "title": "phoenixframework/phoenix", + "url": "https://github.com/phoenixframework/phoenix", + "description": "Peace of mind from prototype to production", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "6,039", - "forks": "752", + "stars": "21,447", + "forks": "2,877", "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8999358?s=40&v=4", - "name": "adriankumpf", - "url": "https://github.com/adriankumpf" + "avatar": "https://avatars.githubusercontent.com/u/576796?s=40&v=4", + "name": "chrismccord", + "url": "https://github.com/chrismccord" }, { - "avatar": "https://avatars.githubusercontent.com/u/16510328?s=40&v=4", - "name": "JakobLichterfeld", - "url": "https://github.com/JakobLichterfeld" + "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", + "name": "josevalim", + "url": "https://github.com/josevalim" }, { - "avatar": "https://avatars.githubusercontent.com/u/2990373?s=40&v=4", - "name": "swiffer", - "url": "https://github.com/swiffer" + "avatar": "https://avatars.githubusercontent.com/u/359224?s=40&v=4", + "name": "lancehalvorsen", + "url": "https://github.com/lancehalvorsen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/477511?s=40&v=4", + "name": "Gazler", + "url": "https://github.com/Gazler" } ] }, { - "title": "elixir-lang/elixir", - "url": "https://github.com/elixir-lang/elixir", - "description": "Elixir is a dynamic, functional language for building scalable and maintainable applications", + "title": "algora-io/tv", + "url": "https://github.com/algora-io/tv", + "description": "Open source Twitch for developers", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "24,567", - "forks": "3,379", - "addStars": "3", + "stars": "1,076", + "forks": "68", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", - "name": "josevalim", - "url": "https://github.com/josevalim" + "avatar": "https://avatars.githubusercontent.com/u/17045339?s=40&v=4", + "name": "zcesur", + "url": "https://github.com/zcesur" }, { - "avatar": "https://avatars.githubusercontent.com/u/9133420?s=40&v=4", - "name": "eksperimental", - "url": "https://github.com/eksperimental" + "avatar": "https://avatars.githubusercontent.com/u/48127194?s=40&v=4", + "name": "kaf-lamed-beyt", + "url": "https://github.com/kaf-lamed-beyt" }, { - "avatar": "https://avatars.githubusercontent.com/u/248290?s=40&v=4", - "name": "lexmag", - "url": "https://github.com/lexmag" + "avatar": "https://avatars.githubusercontent.com/u/16561?s=40&v=4", + "name": "lastcanal", + "url": "https://github.com/lastcanal" }, { - "avatar": "https://avatars.githubusercontent.com/u/3890250?s=40&v=4", - "name": "whatyouhide", - "url": "https://github.com/whatyouhide" + "avatar": "https://avatars.githubusercontent.com/u/36919?s=40&v=4", + "name": "gilest", + "url": "https://github.com/gilest" }, { - "avatar": "https://avatars.githubusercontent.com/u/316890?s=40&v=4", - "name": "ericmj", - "url": "https://github.com/ericmj" + "avatar": "https://avatars.githubusercontent.com/u/2218970?s=40&v=4", + "name": "Lirianer", + "url": "https://github.com/Lirianer" } ] }, { - "title": "duffelhq/paginator", - "url": "https://github.com/duffelhq/paginator", - "description": "Cursor-based pagination for Elixir Ecto", + "title": "kieraneglin/pinchflat", + "url": "https://github.com/kieraneglin/pinchflat", + "description": "Your next YouTube media manager", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "755", - "forks": "91", - "addStars": "0", + "stars": "1,318", + "forks": "23", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/203366?s=40&v=4", - "name": "sgerrand", - "url": "https://github.com/sgerrand" + "avatar": "https://avatars.githubusercontent.com/u/569917?s=40&v=4", + "name": "kieraneglin", + "url": "https://github.com/kieraneglin" }, { - "avatar": "https://avatars.githubusercontent.com/u/512246?s=40&v=4", - "name": "stevedomin", - "url": "https://github.com/stevedomin" + "avatar": "https://avatars.githubusercontent.com/u/213795?s=40&v=4", + "name": "onedr0p", + "url": "https://github.com/onedr0p" }, { - "avatar": "https://avatars.githubusercontent.com/u/1257595?s=40&v=4", - "name": "waltfy", - "url": "https://github.com/waltfy" + "avatar": "https://avatars.githubusercontent.com/u/698597?s=40&v=4", + "name": "jbergler", + "url": "https://github.com/jbergler" }, { - "avatar": "https://avatars.githubusercontent.com/u/2796010?s=40&v=4", - "name": "telphan", - "url": "https://github.com/telphan" + "avatar": "https://avatars.githubusercontent.com/u/1446918?s=40&v=4", + "name": "breakid", + "url": "https://github.com/breakid" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45255870?s=40&v=4", + "name": "loop-index", + "url": "https://github.com/loop-index" } ] }, { - "title": "brainlid/langchain", - "url": "https://github.com/brainlid/langchain", - "description": "Elixir implementation of an AI focused LangChain style framework.", + "title": "blockscout/blockscout", + "url": "https://github.com/blockscout/blockscout", + "description": "Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "668", - "forks": "72", - "addStars": "2", + "stars": "3,645", + "forks": "2,431", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/808984?s=40&v=4", - "name": "brainlid", - "url": "https://github.com/brainlid" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11383?s=40&v=4", - "name": "elliotb", - "url": "https://github.com/elliotb" + "avatar": "https://avatars.githubusercontent.com/u/4341812?s=40&v=4", + "name": "vbaranov", + "url": "https://github.com/vbaranov" }, { - "avatar": "https://avatars.githubusercontent.com/u/248759?s=40&v=4", - "name": "raulchedrese", - "url": "https://github.com/raulchedrese" + "avatar": "https://avatars.githubusercontent.com/u/6567687?s=40&v=4", + "name": "ayrat555", + "url": "https://github.com/ayrat555" }, { - "avatar": "https://avatars.githubusercontent.com/u/62331?s=40&v=4", - "name": "stevehodgkiss", - "url": "https://github.com/stevehodgkiss" + "avatar": "https://avatars.githubusercontent.com/u/298259?s=40&v=4", + "name": "KronicDeth", + "url": "https://github.com/KronicDeth" }, { - "avatar": "https://avatars.githubusercontent.com/u/3196093?s=40&v=4", - "name": "medoror", - "url": "https://github.com/medoror" + "avatar": "https://avatars.githubusercontent.com/u/32202610?s=40&v=4", + "name": "nikitosing", + "url": "https://github.com/nikitosing" } ] }, @@ -143,7 +148,7 @@ "description": "Simple, open source, lightweight (< 1 KB) and privacy-friendly web analytics alternative to Google Analytics.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "20,531", + "stars": "20,533", "forks": "1,095", "addStars": "7", "contributors": [ @@ -174,6 +179,102 @@ } ] }, + { + "title": "teslamate-org/teslamate", + "url": "https://github.com/teslamate-org/teslamate", + "description": "A self-hosted data logger for your Tesla 🚘", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "6,041", + "forks": "753", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8999358?s=40&v=4", + "name": "adriankumpf", + "url": "https://github.com/adriankumpf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16510328?s=40&v=4", + "name": "JakobLichterfeld", + "url": "https://github.com/JakobLichterfeld" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2990373?s=40&v=4", + "name": "swiffer", + "url": "https://github.com/swiffer" + } + ] + }, + { + "title": "OffchainLabs/blockscout", + "url": "https://github.com/OffchainLabs/blockscout", + "description": "", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "45", + "forks": "25", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4341812?s=40&v=4", + "name": "vbaranov", + "url": "https://github.com/vbaranov" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32202610?s=40&v=4", + "name": "nikitosing", + "url": "https://github.com/nikitosing" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/105209995?s=40&v=4", + "name": "Qwerty5Uiop", + "url": "https://github.com/Qwerty5Uiop" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53992153?s=40&v=4", + "name": "sl1depengwyn", + "url": "https://github.com/sl1depengwyn" + } + ] + }, + { + "title": "elixir-lang/elixir", + "url": "https://github.com/elixir-lang/elixir", + "description": "Elixir is a dynamic, functional language for building scalable and maintainable applications", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "24,570", + "forks": "3,379", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", + "name": "josevalim", + "url": "https://github.com/josevalim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9133420?s=40&v=4", + "name": "eksperimental", + "url": "https://github.com/eksperimental" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/248290?s=40&v=4", + "name": "lexmag", + "url": "https://github.com/lexmag" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3890250?s=40&v=4", + "name": "whatyouhide", + "url": "https://github.com/whatyouhide" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/316890?s=40&v=4", + "name": "ericmj", + "url": "https://github.com/ericmj" + } + ] + }, { "title": "phoenixframework/phoenix_live_view", "url": "https://github.com/phoenixframework/phoenix_live_view", @@ -212,273 +313,241 @@ ] }, { - "title": "lexical-lsp/lexical", - "url": "https://github.com/lexical-lsp/lexical", - "description": "Lexical is a next-generation elixir language server", + "title": "firezone/firezone", + "url": "https://github.com/firezone/firezone", + "description": "Enterprise-ready zero-trust access platform built on WireGuard®.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "888", - "forks": "82", - "addStars": "0", + "stars": "6,866", + "forks": "286", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/55809?s=40&v=4", - "name": "scohen", - "url": "https://github.com/scohen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12830256?s=40&v=4", - "name": "scottming", - "url": "https://github.com/scottming" + "avatar": "https://avatars.githubusercontent.com/u/167144?s=40&v=4", + "name": "jamilbk", + "url": "https://github.com/jamilbk" }, { - "avatar": "https://avatars.githubusercontent.com/u/503938?s=40&v=4", - "name": "zachallaun", - "url": "https://github.com/zachallaun" + "avatar": "https://avatars.githubusercontent.com/u/5486389?s=40&v=4", + "name": "thomaseizinger", + "url": "https://github.com/thomaseizinger" }, { - "avatar": "https://avatars.githubusercontent.com/u/22460600?s=40&v=4", - "name": "Moosieus", - "url": "https://github.com/Moosieus" + "avatar": "https://avatars.githubusercontent.com/u/1877644?s=40&v=4", + "name": "AndrewDryga", + "url": "https://github.com/AndrewDryga" }, { - "avatar": "https://avatars.githubusercontent.com/u/44734484?s=40&v=4", - "name": "Blond11516", - "url": "https://github.com/Blond11516" + "avatar": "https://avatars.githubusercontent.com/u/13400041?s=40&v=4", + "name": "ReactorScram", + "url": "https://github.com/ReactorScram" } ] }, { - "title": "kieraneglin/pinchflat", - "url": "https://github.com/kieraneglin/pinchflat", - "description": "Your next YouTube media manager", + "title": "phenixdigital/phoenix_storybook", + "url": "https://github.com/phenixdigital/phoenix_storybook", + "description": "A pluggable storybook for your Phoenix components.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "1,310", - "forks": "23", - "addStars": "6", + "stars": "707", + "forks": "54", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/569917?s=40&v=4", - "name": "kieraneglin", - "url": "https://github.com/kieraneglin" + "avatar": "https://avatars.githubusercontent.com/u/130783?s=40&v=4", + "name": "cblavier", + "url": "https://github.com/cblavier" }, { - "avatar": "https://avatars.githubusercontent.com/u/213795?s=40&v=4", - "name": "onedr0p", - "url": "https://github.com/onedr0p" + "avatar": "https://avatars.githubusercontent.com/u/32734509?s=40&v=4", + "name": "matthieuchabert", + "url": "https://github.com/matthieuchabert" }, { - "avatar": "https://avatars.githubusercontent.com/u/698597?s=40&v=4", - "name": "jbergler", - "url": "https://github.com/jbergler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1446918?s=40&v=4", - "name": "breakid", - "url": "https://github.com/breakid" + "avatar": "https://avatars.githubusercontent.com/u/1122934?s=40&v=4", + "name": "seb3s", + "url": "https://github.com/seb3s" }, { - "avatar": "https://avatars.githubusercontent.com/u/45255870?s=40&v=4", - "name": "loop-index", - "url": "https://github.com/loop-index" + "avatar": "https://avatars.githubusercontent.com/u/13847569?s=40&v=4", + "name": "woylie", + "url": "https://github.com/woylie" } ] }, { - "title": "bluzky/salad_ui", - "url": "https://github.com/bluzky/salad_ui", - "description": "Phoenix Liveview component library inspired by shadcn UI", + "title": "brainlid/langchain", + "url": "https://github.com/brainlid/langchain", + "description": "Elixir implementation of an AI focused LangChain style framework.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "575", - "forks": "34", - "addStars": "1", + "stars": "669", + "forks": "72", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6194779?s=40&v=4", - "name": "bluzky", - "url": "https://github.com/bluzky" + "avatar": "https://avatars.githubusercontent.com/u/808984?s=40&v=4", + "name": "brainlid", + "url": "https://github.com/brainlid" }, { - "avatar": "https://avatars.githubusercontent.com/u/3633772?s=40&v=4", - "name": "kamaroly", - "url": "https://github.com/kamaroly" + "avatar": "https://avatars.githubusercontent.com/u/11383?s=40&v=4", + "name": "elliotb", + "url": "https://github.com/elliotb" }, { - "avatar": "https://avatars.githubusercontent.com/u/136561822?s=40&v=4", - "name": "kamaro-amitruck", - "url": "https://github.com/kamaro-amitruck" + "avatar": "https://avatars.githubusercontent.com/u/248759?s=40&v=4", + "name": "raulchedrese", + "url": "https://github.com/raulchedrese" }, { - "avatar": "https://avatars.githubusercontent.com/u/157198464?s=40&v=4", - "name": "selenil", - "url": "https://github.com/selenil" + "avatar": "https://avatars.githubusercontent.com/u/62331?s=40&v=4", + "name": "stevehodgkiss", + "url": "https://github.com/stevehodgkiss" }, { - "avatar": "https://avatars.githubusercontent.com/u/2451508?s=40&v=4", - "name": "feng19", - "url": "https://github.com/feng19" + "avatar": "https://avatars.githubusercontent.com/u/3196093?s=40&v=4", + "name": "medoror", + "url": "https://github.com/medoror" } ] }, { - "title": "elixir-lang/ex_doc", - "url": "https://github.com/elixir-lang/ex_doc", - "description": "ExDoc produces HTML and EPUB documentation for Elixir projects", + "title": "gjaldon/ecto_enum", + "url": "https://github.com/gjaldon/ecto_enum", + "description": "Ecto extension to support enums in models", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "1,472", - "forks": "330", + "stars": "562", + "forks": "131", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", - "name": "josevalim", - "url": "https://github.com/josevalim" + "avatar": "https://avatars.githubusercontent.com/u/1457730?s=40&v=4", + "name": "gjaldon", + "url": "https://github.com/gjaldon" }, { - "avatar": "https://avatars.githubusercontent.com/u/76071?s=40&v=4", - "name": "wojtekmach", - "url": "https://github.com/wojtekmach" + "avatar": "https://avatars.githubusercontent.com/u/585764?s=40&v=4", + "name": "slashmili", + "url": "https://github.com/slashmili" }, { - "avatar": "https://avatars.githubusercontent.com/u/9133420?s=40&v=4", - "name": "eksperimental", - "url": "https://github.com/eksperimental" + "avatar": "https://avatars.githubusercontent.com/u/6327995?s=40&v=4", + "name": "oo6", + "url": "https://github.com/oo6" }, { - "avatar": "https://avatars.githubusercontent.com/u/34700?s=40&v=4", - "name": "milmazz", - "url": "https://github.com/milmazz" + "avatar": "https://avatars.githubusercontent.com/u/158667?s=40&v=4", + "name": "bernardd", + "url": "https://github.com/bernardd" }, { - "avatar": "https://avatars.githubusercontent.com/u/790842?s=40&v=4", - "name": "dignifiedquire", - "url": "https://github.com/dignifiedquire" + "avatar": "https://avatars.githubusercontent.com/u/225131?s=40&v=4", + "name": "joshuataylor", + "url": "https://github.com/joshuataylor" } ] }, { - "title": "OffchainLabs/blockscout", - "url": "https://github.com/OffchainLabs/blockscout", - "description": "", + "title": "mirego/accent", + "url": "https://github.com/mirego/accent", + "description": "The first developer-oriented translation tool. True asynchronous flow between translators and your team.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "45", - "forks": "25", - "addStars": "0", + "stars": "1,295", + "forks": "97", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4341812?s=40&v=4", - "name": "vbaranov", - "url": "https://github.com/vbaranov" + "avatar": "https://avatars.githubusercontent.com/u/464900?s=40&v=4", + "name": "simonprev", + "url": "https://github.com/simonprev" }, { - "avatar": "https://avatars.githubusercontent.com/u/32202610?s=40&v=4", - "name": "nikitosing", - "url": "https://github.com/nikitosing" + "avatar": "https://avatars.githubusercontent.com/u/11348?s=40&v=4", + "name": "remi", + "url": "https://github.com/remi" }, { - "avatar": "https://avatars.githubusercontent.com/u/105209995?s=40&v=4", - "name": "Qwerty5Uiop", - "url": "https://github.com/Qwerty5Uiop" + "avatar": "https://avatars.githubusercontent.com/u/291639?s=40&v=4", + "name": "hauleth", + "url": "https://github.com/hauleth" }, { - "avatar": "https://avatars.githubusercontent.com/u/53992153?s=40&v=4", - "name": "sl1depengwyn", - "url": "https://github.com/sl1depengwyn" + "avatar": "https://avatars.githubusercontent.com/u/6354280?s=40&v=4", + "name": "JoeyBG", + "url": "https://github.com/JoeyBG" } ] }, { - "title": "firezone/firezone", - "url": "https://github.com/firezone/firezone", - "description": "Enterprise-ready zero-trust access platform built on WireGuard®.", + "title": "duffelhq/paginator", + "url": "https://github.com/duffelhq/paginator", + "description": "Cursor-based pagination for Elixir Ecto", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "6,865", - "forks": "286", - "addStars": "3", + "stars": "755", + "forks": "91", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/167144?s=40&v=4", - "name": "jamilbk", - "url": "https://github.com/jamilbk" + "avatar": "https://avatars.githubusercontent.com/u/203366?s=40&v=4", + "name": "sgerrand", + "url": "https://github.com/sgerrand" }, { - "avatar": "https://avatars.githubusercontent.com/u/5486389?s=40&v=4", - "name": "thomaseizinger", - "url": "https://github.com/thomaseizinger" + "avatar": "https://avatars.githubusercontent.com/u/512246?s=40&v=4", + "name": "stevedomin", + "url": "https://github.com/stevedomin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1877644?s=40&v=4", - "name": "AndrewDryga", - "url": "https://github.com/AndrewDryga" + "avatar": "https://avatars.githubusercontent.com/u/1257595?s=40&v=4", + "name": "waltfy", + "url": "https://github.com/waltfy" }, { - "avatar": "https://avatars.githubusercontent.com/u/13400041?s=40&v=4", - "name": "ReactorScram", - "url": "https://github.com/ReactorScram" + "avatar": "https://avatars.githubusercontent.com/u/2796010?s=40&v=4", + "name": "telphan", + "url": "https://github.com/telphan" } ] }, { - "title": "blockscout/blockscout", - "url": "https://github.com/blockscout/blockscout", - "description": "Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains.", + "title": "electric-sql/electric", + "url": "https://github.com/electric-sql/electric", + "description": "Sync little subsets of your Postgres data into local apps and services.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "3,645", - "forks": "2,431", - "addStars": "7", + "stars": "6,477", + "forks": "156", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4341812?s=40&v=4", - "name": "vbaranov", - "url": "https://github.com/vbaranov" + "avatar": "https://avatars.githubusercontent.com/u/207748?s=40&v=4", + "name": "alco", + "url": "https://github.com/alco" }, { - "avatar": "https://avatars.githubusercontent.com/u/6567687?s=40&v=4", - "name": "ayrat555", - "url": "https://github.com/ayrat555" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/298259?s=40&v=4", - "name": "KronicDeth", - "url": "https://github.com/KronicDeth" + "avatar": "https://avatars.githubusercontent.com/u/1357760?s=40&v=4", + "name": "icehaunter", + "url": "https://github.com/icehaunter" }, { - "avatar": "https://avatars.githubusercontent.com/u/32202610?s=40&v=4", - "name": "nikitosing", - "url": "https://github.com/nikitosing" - } - ] - }, - { - "title": "oban-bg/oban", - "url": "https://github.com/oban-bg/oban", - "description": "💎 Robust job processing in Elixir, backed by modern PostgreSQL and SQLite3", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "3,368", - "forks": "313", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/270831?s=40&v=4", - "name": "sorentwo", - "url": "https://github.com/sorentwo" + "avatar": "https://avatars.githubusercontent.com/u/17384006?s=40&v=4", + "name": "kevin-dp", + "url": "https://github.com/kevin-dp" }, { - "avatar": "https://avatars.githubusercontent.com/u/34700?s=40&v=4", - "name": "milmazz", - "url": "https://github.com/milmazz" + "avatar": "https://avatars.githubusercontent.com/u/60015?s=40&v=4", + "name": "thruflo", + "url": "https://github.com/thruflo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1370047?s=40&v=4", - "name": "smaximov", - "url": "https://github.com/smaximov" + "avatar": "https://avatars.githubusercontent.com/u/12274098?s=40&v=4", + "name": "msfstef", + "url": "https://github.com/msfstef" } ] } diff --git a/data/daily/elixir.xml b/data/daily/elixir.xml index d4f2e3cab9af..103b47aa4cf3 100644 --- a/data/daily/elixir.xml +++ b/data/daily/elixir.xml @@ -3,141 +3,146 @@ GitHub Elixir Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Elixir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - teslamate-org/teslamate - https://github.com/teslamate-org/teslamate - A self-hosted data logger for your Tesla 🚘 - https://github.com/teslamate-org/teslamate + phoenixframework/phoenix + https://github.com/phoenixframework/phoenix + Peace of mind from prototype to production + https://github.com/phoenixframework/phoenix Elixir #6e4a7e - 6,039 - 752 + 21,447 + 2,877 3 - https://avatars.githubusercontent.com/u/8999358?s=40&v=4 - adriankumpf - https://github.com/adriankumpf + https://avatars.githubusercontent.com/u/576796?s=40&v=4 + chrismccord + https://github.com/chrismccord - https://avatars.githubusercontent.com/u/16510328?s=40&v=4 - JakobLichterfeld - https://github.com/JakobLichterfeld + https://avatars.githubusercontent.com/u/9582?s=40&v=4 + josevalim + https://github.com/josevalim - https://avatars.githubusercontent.com/u/2990373?s=40&v=4 - swiffer - https://github.com/swiffer + https://avatars.githubusercontent.com/u/359224?s=40&v=4 + lancehalvorsen + https://github.com/lancehalvorsen + + + https://avatars.githubusercontent.com/u/477511?s=40&v=4 + Gazler + https://github.com/Gazler - elixir-lang/elixir - https://github.com/elixir-lang/elixir - Elixir is a dynamic, functional language for building scalable and maintainable applications - https://github.com/elixir-lang/elixir + algora-io/tv + https://github.com/algora-io/tv + Open source Twitch for developers + https://github.com/algora-io/tv Elixir #6e4a7e - 24,567 - 3,379 - 3 + 1,076 + 68 + 2 - https://avatars.githubusercontent.com/u/9582?s=40&v=4 - josevalim - https://github.com/josevalim + https://avatars.githubusercontent.com/u/17045339?s=40&v=4 + zcesur + https://github.com/zcesur - https://avatars.githubusercontent.com/u/9133420?s=40&v=4 - eksperimental - https://github.com/eksperimental + https://avatars.githubusercontent.com/u/48127194?s=40&v=4 + kaf-lamed-beyt + https://github.com/kaf-lamed-beyt - https://avatars.githubusercontent.com/u/248290?s=40&v=4 - lexmag - https://github.com/lexmag + https://avatars.githubusercontent.com/u/16561?s=40&v=4 + lastcanal + https://github.com/lastcanal - https://avatars.githubusercontent.com/u/3890250?s=40&v=4 - whatyouhide - https://github.com/whatyouhide + https://avatars.githubusercontent.com/u/36919?s=40&v=4 + gilest + https://github.com/gilest - https://avatars.githubusercontent.com/u/316890?s=40&v=4 - ericmj - https://github.com/ericmj + https://avatars.githubusercontent.com/u/2218970?s=40&v=4 + Lirianer + https://github.com/Lirianer - duffelhq/paginator - https://github.com/duffelhq/paginator - Cursor-based pagination for Elixir Ecto - https://github.com/duffelhq/paginator + kieraneglin/pinchflat + https://github.com/kieraneglin/pinchflat + Your next YouTube media manager + https://github.com/kieraneglin/pinchflat Elixir #6e4a7e - 755 - 91 - 0 + 1,318 + 23 + 6 - https://avatars.githubusercontent.com/u/203366?s=40&v=4 - sgerrand - https://github.com/sgerrand + https://avatars.githubusercontent.com/u/569917?s=40&v=4 + kieraneglin + https://github.com/kieraneglin - https://avatars.githubusercontent.com/u/512246?s=40&v=4 - stevedomin - https://github.com/stevedomin + https://avatars.githubusercontent.com/u/213795?s=40&v=4 + onedr0p + https://github.com/onedr0p - https://avatars.githubusercontent.com/u/1257595?s=40&v=4 - waltfy - https://github.com/waltfy + https://avatars.githubusercontent.com/u/698597?s=40&v=4 + jbergler + https://github.com/jbergler - https://avatars.githubusercontent.com/u/2796010?s=40&v=4 - telphan - https://github.com/telphan + https://avatars.githubusercontent.com/u/1446918?s=40&v=4 + breakid + https://github.com/breakid + + + https://avatars.githubusercontent.com/u/45255870?s=40&v=4 + loop-index + https://github.com/loop-index - brainlid/langchain - https://github.com/brainlid/langchain - Elixir implementation of an AI focused LangChain style framework. - https://github.com/brainlid/langchain + blockscout/blockscout + https://github.com/blockscout/blockscout + Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains. + https://github.com/blockscout/blockscout Elixir #6e4a7e - 668 - 72 - 2 + 3,645 + 2,431 + 7 - https://avatars.githubusercontent.com/u/808984?s=40&v=4 - brainlid - https://github.com/brainlid - - - https://avatars.githubusercontent.com/u/11383?s=40&v=4 - elliotb - https://github.com/elliotb + https://avatars.githubusercontent.com/u/4341812?s=40&v=4 + vbaranov + https://github.com/vbaranov - https://avatars.githubusercontent.com/u/248759?s=40&v=4 - raulchedrese - https://github.com/raulchedrese + https://avatars.githubusercontent.com/u/6567687?s=40&v=4 + ayrat555 + https://github.com/ayrat555 - https://avatars.githubusercontent.com/u/62331?s=40&v=4 - stevehodgkiss - https://github.com/stevehodgkiss + https://avatars.githubusercontent.com/u/298259?s=40&v=4 + KronicDeth + https://github.com/KronicDeth - https://avatars.githubusercontent.com/u/3196093?s=40&v=4 - medoror - https://github.com/medoror + https://avatars.githubusercontent.com/u/32202610?s=40&v=4 + nikitosing + https://github.com/nikitosing @@ -148,7 +153,7 @@ https://github.com/plausible/analytics Elixir #6e4a7e - 20,531 + 20,533 1,095 7 @@ -179,6 +184,105 @@ + + teslamate-org/teslamate + https://github.com/teslamate-org/teslamate + A self-hosted data logger for your Tesla 🚘 + https://github.com/teslamate-org/teslamate + Elixir + #6e4a7e + 6,041 + 753 + 3 + + + https://avatars.githubusercontent.com/u/8999358?s=40&v=4 + adriankumpf + https://github.com/adriankumpf + + + https://avatars.githubusercontent.com/u/16510328?s=40&v=4 + JakobLichterfeld + https://github.com/JakobLichterfeld + + + https://avatars.githubusercontent.com/u/2990373?s=40&v=4 + swiffer + https://github.com/swiffer + + + + + OffchainLabs/blockscout + https://github.com/OffchainLabs/blockscout + + https://github.com/OffchainLabs/blockscout + Elixir + #6e4a7e + 45 + 25 + 0 + + + https://avatars.githubusercontent.com/u/4341812?s=40&v=4 + vbaranov + https://github.com/vbaranov + + + https://avatars.githubusercontent.com/u/32202610?s=40&v=4 + nikitosing + https://github.com/nikitosing + + + https://avatars.githubusercontent.com/u/105209995?s=40&v=4 + Qwerty5Uiop + https://github.com/Qwerty5Uiop + + + https://avatars.githubusercontent.com/u/53992153?s=40&v=4 + sl1depengwyn + https://github.com/sl1depengwyn + + + + + elixir-lang/elixir + https://github.com/elixir-lang/elixir + Elixir is a dynamic, functional language for building scalable and maintainable applications + https://github.com/elixir-lang/elixir + Elixir + #6e4a7e + 24,570 + 3,379 + 3 + + + https://avatars.githubusercontent.com/u/9582?s=40&v=4 + josevalim + https://github.com/josevalim + + + https://avatars.githubusercontent.com/u/9133420?s=40&v=4 + eksperimental + https://github.com/eksperimental + + + https://avatars.githubusercontent.com/u/248290?s=40&v=4 + lexmag + https://github.com/lexmag + + + https://avatars.githubusercontent.com/u/3890250?s=40&v=4 + whatyouhide + https://github.com/whatyouhide + + + https://avatars.githubusercontent.com/u/316890?s=40&v=4 + ericmj + https://github.com/ericmj + + + phoenixframework/phoenix_live_view https://github.com/phoenixframework/phoenix_live_view @@ -218,281 +322,248 @@ - lexical-lsp/lexical - https://github.com/lexical-lsp/lexical - Lexical is a next-generation elixir language server - https://github.com/lexical-lsp/lexical + firezone/firezone + https://github.com/firezone/firezone + Enterprise-ready zero-trust access platform built on WireGuard®. + https://github.com/firezone/firezone Elixir #6e4a7e - 888 - 82 - 0 + 6,866 + 286 + 3 - https://avatars.githubusercontent.com/u/55809?s=40&v=4 - scohen - https://github.com/scohen - - - https://avatars.githubusercontent.com/u/12830256?s=40&v=4 - scottming - https://github.com/scottming + https://avatars.githubusercontent.com/u/167144?s=40&v=4 + jamilbk + https://github.com/jamilbk - https://avatars.githubusercontent.com/u/503938?s=40&v=4 - zachallaun - https://github.com/zachallaun + https://avatars.githubusercontent.com/u/5486389?s=40&v=4 + thomaseizinger + https://github.com/thomaseizinger - https://avatars.githubusercontent.com/u/22460600?s=40&v=4 - Moosieus - https://github.com/Moosieus + https://avatars.githubusercontent.com/u/1877644?s=40&v=4 + AndrewDryga + https://github.com/AndrewDryga - https://avatars.githubusercontent.com/u/44734484?s=40&v=4 - Blond11516 - https://github.com/Blond11516 + https://avatars.githubusercontent.com/u/13400041?s=40&v=4 + ReactorScram + https://github.com/ReactorScram - kieraneglin/pinchflat - https://github.com/kieraneglin/pinchflat - Your next YouTube media manager - https://github.com/kieraneglin/pinchflat + phenixdigital/phoenix_storybook + https://github.com/phenixdigital/phoenix_storybook + A pluggable storybook for your Phoenix components. + https://github.com/phenixdigital/phoenix_storybook Elixir #6e4a7e - 1,310 - 23 - 6 + 707 + 54 + 0 - https://avatars.githubusercontent.com/u/569917?s=40&v=4 - kieraneglin - https://github.com/kieraneglin - - - https://avatars.githubusercontent.com/u/213795?s=40&v=4 - onedr0p - https://github.com/onedr0p + https://avatars.githubusercontent.com/u/130783?s=40&v=4 + cblavier + https://github.com/cblavier - https://avatars.githubusercontent.com/u/698597?s=40&v=4 - jbergler - https://github.com/jbergler + https://avatars.githubusercontent.com/u/32734509?s=40&v=4 + matthieuchabert + https://github.com/matthieuchabert - https://avatars.githubusercontent.com/u/1446918?s=40&v=4 - breakid - https://github.com/breakid + https://avatars.githubusercontent.com/u/1122934?s=40&v=4 + seb3s + https://github.com/seb3s - https://avatars.githubusercontent.com/u/45255870?s=40&v=4 - loop-index - https://github.com/loop-index + https://avatars.githubusercontent.com/u/13847569?s=40&v=4 + woylie + https://github.com/woylie - bluzky/salad_ui - https://github.com/bluzky/salad_ui - Phoenix Liveview component library inspired by shadcn UI - https://github.com/bluzky/salad_ui + brainlid/langchain + https://github.com/brainlid/langchain + Elixir implementation of an AI focused LangChain style framework. + https://github.com/brainlid/langchain Elixir #6e4a7e - 575 - 34 - 1 + 669 + 72 + 2 - https://avatars.githubusercontent.com/u/6194779?s=40&v=4 - bluzky - https://github.com/bluzky + https://avatars.githubusercontent.com/u/808984?s=40&v=4 + brainlid + https://github.com/brainlid - https://avatars.githubusercontent.com/u/3633772?s=40&v=4 - kamaroly - https://github.com/kamaroly + https://avatars.githubusercontent.com/u/11383?s=40&v=4 + elliotb + https://github.com/elliotb - https://avatars.githubusercontent.com/u/136561822?s=40&v=4 - kamaro-amitruck - https://github.com/kamaro-amitruck + https://avatars.githubusercontent.com/u/248759?s=40&v=4 + raulchedrese + https://github.com/raulchedrese - https://avatars.githubusercontent.com/u/157198464?s=40&v=4 - selenil - https://github.com/selenil + https://avatars.githubusercontent.com/u/62331?s=40&v=4 + stevehodgkiss + https://github.com/stevehodgkiss - https://avatars.githubusercontent.com/u/2451508?s=40&v=4 - feng19 - https://github.com/feng19 + https://avatars.githubusercontent.com/u/3196093?s=40&v=4 + medoror + https://github.com/medoror - elixir-lang/ex_doc - https://github.com/elixir-lang/ex_doc - ExDoc produces HTML and EPUB documentation for Elixir projects - https://github.com/elixir-lang/ex_doc + gjaldon/ecto_enum + https://github.com/gjaldon/ecto_enum + Ecto extension to support enums in models + https://github.com/gjaldon/ecto_enum Elixir #6e4a7e - 1,472 - 330 + 562 + 131 0 - https://avatars.githubusercontent.com/u/9582?s=40&v=4 - josevalim - https://github.com/josevalim + https://avatars.githubusercontent.com/u/1457730?s=40&v=4 + gjaldon + https://github.com/gjaldon - https://avatars.githubusercontent.com/u/76071?s=40&v=4 - wojtekmach - https://github.com/wojtekmach + https://avatars.githubusercontent.com/u/585764?s=40&v=4 + slashmili + https://github.com/slashmili - https://avatars.githubusercontent.com/u/9133420?s=40&v=4 - eksperimental - https://github.com/eksperimental + https://avatars.githubusercontent.com/u/6327995?s=40&v=4 + oo6 + https://github.com/oo6 - https://avatars.githubusercontent.com/u/34700?s=40&v=4 - milmazz - https://github.com/milmazz + https://avatars.githubusercontent.com/u/158667?s=40&v=4 + bernardd + https://github.com/bernardd - https://avatars.githubusercontent.com/u/790842?s=40&v=4 - dignifiedquire - https://github.com/dignifiedquire + https://avatars.githubusercontent.com/u/225131?s=40&v=4 + joshuataylor + https://github.com/joshuataylor - OffchainLabs/blockscout - https://github.com/OffchainLabs/blockscout - - https://github.com/OffchainLabs/blockscout + mirego/accent + https://github.com/mirego/accent + The first developer-oriented translation tool. True asynchronous flow between translators and your team. + https://github.com/mirego/accent Elixir #6e4a7e - 45 - 25 - 0 + 1,295 + 97 + 2 - https://avatars.githubusercontent.com/u/4341812?s=40&v=4 - vbaranov - https://github.com/vbaranov + https://avatars.githubusercontent.com/u/464900?s=40&v=4 + simonprev + https://github.com/simonprev - https://avatars.githubusercontent.com/u/32202610?s=40&v=4 - nikitosing - https://github.com/nikitosing + https://avatars.githubusercontent.com/u/11348?s=40&v=4 + remi + https://github.com/remi - https://avatars.githubusercontent.com/u/105209995?s=40&v=4 - Qwerty5Uiop - https://github.com/Qwerty5Uiop + https://avatars.githubusercontent.com/u/291639?s=40&v=4 + hauleth + https://github.com/hauleth - https://avatars.githubusercontent.com/u/53992153?s=40&v=4 - sl1depengwyn - https://github.com/sl1depengwyn + https://avatars.githubusercontent.com/u/6354280?s=40&v=4 + JoeyBG + https://github.com/JoeyBG - firezone/firezone - https://github.com/firezone/firezone - Enterprise-ready zero-trust access platform built on WireGuard®. - https://github.com/firezone/firezone + duffelhq/paginator + https://github.com/duffelhq/paginator + Cursor-based pagination for Elixir Ecto + https://github.com/duffelhq/paginator Elixir #6e4a7e - 6,865 - 286 - 3 + 755 + 91 + 0 - https://avatars.githubusercontent.com/u/167144?s=40&v=4 - jamilbk - https://github.com/jamilbk + https://avatars.githubusercontent.com/u/203366?s=40&v=4 + sgerrand + https://github.com/sgerrand - https://avatars.githubusercontent.com/u/5486389?s=40&v=4 - thomaseizinger - https://github.com/thomaseizinger + https://avatars.githubusercontent.com/u/512246?s=40&v=4 + stevedomin + https://github.com/stevedomin - https://avatars.githubusercontent.com/u/1877644?s=40&v=4 - AndrewDryga - https://github.com/AndrewDryga + https://avatars.githubusercontent.com/u/1257595?s=40&v=4 + waltfy + https://github.com/waltfy - https://avatars.githubusercontent.com/u/13400041?s=40&v=4 - ReactorScram - https://github.com/ReactorScram + https://avatars.githubusercontent.com/u/2796010?s=40&v=4 + telphan + https://github.com/telphan - blockscout/blockscout - https://github.com/blockscout/blockscout - Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains. - https://github.com/blockscout/blockscout + electric-sql/electric + https://github.com/electric-sql/electric + Sync little subsets of your Postgres data into local apps and services. + https://github.com/electric-sql/electric Elixir #6e4a7e - 3,645 - 2,431 - 7 + 6,477 + 156 + 6 - https://avatars.githubusercontent.com/u/4341812?s=40&v=4 - vbaranov - https://github.com/vbaranov - - - https://avatars.githubusercontent.com/u/6567687?s=40&v=4 - ayrat555 - https://github.com/ayrat555 + https://avatars.githubusercontent.com/u/207748?s=40&v=4 + alco + https://github.com/alco - https://avatars.githubusercontent.com/u/298259?s=40&v=4 - KronicDeth - https://github.com/KronicDeth + https://avatars.githubusercontent.com/u/1357760?s=40&v=4 + icehaunter + https://github.com/icehaunter - https://avatars.githubusercontent.com/u/32202610?s=40&v=4 - nikitosing - https://github.com/nikitosing - - - - - oban-bg/oban - https://github.com/oban-bg/oban - 💎 Robust job processing in Elixir, backed by modern PostgreSQL and SQLite3 - https://github.com/oban-bg/oban - Elixir - #6e4a7e - 3,368 - 313 - 1 - - - https://avatars.githubusercontent.com/u/270831?s=40&v=4 - sorentwo - https://github.com/sorentwo + https://avatars.githubusercontent.com/u/17384006?s=40&v=4 + kevin-dp + https://github.com/kevin-dp - https://avatars.githubusercontent.com/u/34700?s=40&v=4 - milmazz - https://github.com/milmazz + https://avatars.githubusercontent.com/u/60015?s=40&v=4 + thruflo + https://github.com/thruflo - https://avatars.githubusercontent.com/u/1370047?s=40&v=4 - smaximov - https://github.com/smaximov + https://avatars.githubusercontent.com/u/12274098?s=40&v=4 + msfstef + https://github.com/msfstef diff --git a/data/daily/elm.json b/data/daily/elm.json index 781eed42540a..ccba25a16c28 100644 --- a/data/daily/elm.json +++ b/data/daily/elm.json @@ -2,6 +2,6 @@ "title": "GitHub Elm Languages Daily Trending", "description": "Daily Trending of Elm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/elm.xml b/data/daily/elm.xml index 29730f19b6f5..b2f1f9560cc7 100644 --- a/data/daily/elm.xml +++ b/data/daily/elm.xml @@ -3,6 +3,6 @@ GitHub Elm Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Elm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/elvish-transcript.json b/data/daily/elvish-transcript.json index b39f597bbea4..5f70b314e127 100644 --- a/data/daily/elvish-transcript.json +++ b/data/daily/elvish-transcript.json @@ -2,6 +2,6 @@ "title": "GitHub Elvish-transcript Languages Daily Trending", "description": "Daily Trending of Elvish-transcript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/elvish-transcript.xml b/data/daily/elvish-transcript.xml index 0102287f66e6..53f9fcadcb4b 100644 --- a/data/daily/elvish-transcript.xml +++ b/data/daily/elvish-transcript.xml @@ -3,6 +3,6 @@ GitHub Elvish-transcript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Elvish-transcript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/elvish.json b/data/daily/elvish.json index 5a20ac4e37c5..75d991fcba91 100644 --- a/data/daily/elvish.json +++ b/data/daily/elvish.json @@ -2,6 +2,6 @@ "title": "GitHub Elvish Languages Daily Trending", "description": "Daily Trending of Elvish Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/elvish.xml b/data/daily/elvish.xml index 407ed1700eb2..4911050b11cb 100644 --- a/data/daily/elvish.xml +++ b/data/daily/elvish.xml @@ -3,6 +3,6 @@ GitHub Elvish Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Elvish Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/emacs-lisp.json b/data/daily/emacs-lisp.json index 0be708748556..2d9ff92a8ee1 100644 --- a/data/daily/emacs-lisp.json +++ b/data/daily/emacs-lisp.json @@ -2,82 +2,8 @@ "title": "GitHub Emacs-lisp Languages Daily Trending", "description": "Daily Trending of Emacs-lisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "emacs-mirror/emacs", - "url": "https://github.com/emacs-mirror/emacs", - "description": "Mirror of GNU Emacs", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "4,456", - "forks": "1,280", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20908835?s=40&v=4", - "name": "Eli-Zaretskii", - "url": "https://github.com/Eli-Zaretskii" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4054496?s=40&v=4", - "name": "rgmorris", - "url": "https://github.com/rgmorris" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3629383?s=40&v=4", - "name": "monnier", - "url": "https://github.com/monnier" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/572024?s=40&v=4", - "name": "eggert", - "url": "https://github.com/eggert" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/553982?s=40&v=4", - "name": "larsmagne", - "url": "https://github.com/larsmagne" - } - ] - }, - { - "title": "karthink/gptel", - "url": "https://github.com/karthink/gptel", - "description": "A simple LLM client for Emacs", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "1,631", - "forks": "151", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8607532?s=40&v=4", - "name": "karthink", - "url": "https://github.com/karthink" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/387011?s=40&v=4", - "name": "joaotavora", - "url": "https://github.com/joaotavora" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41439659?s=40&v=4", - "name": "daedsidog", - "url": "https://github.com/daedsidog" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5490677?s=40&v=4", - "name": "benthamite", - "url": "https://github.com/benthamite" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2225391?s=40&v=4", - "name": "PalaceChan", - "url": "https://github.com/PalaceChan" - } - ] - }, { "title": "millejoh/emacs-ipython-notebook", "url": "https://github.com/millejoh/emacs-ipython-notebook", @@ -116,39 +42,76 @@ ] }, { - "title": "seagle0128/doom-modeline", - "url": "https://github.com/seagle0128/doom-modeline", - "description": "A fancy and fast mode-line inspired by minimalism design.", + "title": "s-kostyaev/ellama", + "url": "https://github.com/s-kostyaev/ellama", + "description": "Ellama is a tool for interacting with large language models from Emacs.", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "1,307", - "forks": "158", - "addStars": "2", + "stars": "575", + "forks": "38", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/140797?s=40&v=4", - "name": "seagle0128", - "url": "https://github.com/seagle0128" + "avatar": "https://avatars.githubusercontent.com/u/8576745?s=40&v=4", + "name": "s-kostyaev", + "url": "https://github.com/s-kostyaev" }, { - "avatar": "https://avatars.githubusercontent.com/u/510883?s=40&v=4", - "name": "hlissner", - "url": "https://github.com/hlissner" + "avatar": "https://avatars.githubusercontent.com/u/310393?s=40&v=4", + "name": "Stebalien", + "url": "https://github.com/Stebalien" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21566?s=40&v=4", + "name": "r0man", + "url": "https://github.com/r0man" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54563?s=40&v=4", + "name": "stephenwithav", + "url": "https://github.com/stephenwithav" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16169950?s=40&v=4", + "name": "LionyxML", + "url": "https://github.com/LionyxML" + } + ] + }, + { + "title": "org-roam/org-roam", + "url": "https://github.com/org-roam/org-roam", + "description": "Rudimentary Roam replica with Org-mode", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "5,530", + "forks": "482", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1667473?s=40&v=4", + "name": "jethrokuan", + "url": "https://github.com/jethrokuan" }, { - "avatar": "https://avatars.githubusercontent.com/u/4433943?s=40&v=4", - "name": "jmromer", - "url": "https://github.com/jmromer" + "avatar": "https://avatars.githubusercontent.com/u/12202828?s=40&v=4", + "name": "zaeph", + "url": "https://github.com/zaeph" }, { - "avatar": "https://avatars.githubusercontent.com/u/59777257?s=40&v=4", - "name": "dr-scsi", - "url": "https://github.com/dr-scsi" + "avatar": "https://avatars.githubusercontent.com/u/44036031?s=40&v=4", + "name": "progfolio", + "url": "https://github.com/progfolio" }, { - "avatar": "https://avatars.githubusercontent.com/u/20887?s=40&v=4", - "name": "seanfarley", - "url": "https://github.com/seanfarley" + "avatar": "https://avatars.githubusercontent.com/u/52547?s=40&v=4", + "name": "juergenhoetzel", + "url": "https://github.com/juergenhoetzel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12507865?s=40&v=4", + "name": "nobiot", + "url": "https://github.com/nobiot" } ] }, @@ -190,330 +153,293 @@ ] }, { - "title": "manateelazycat/lsp-bridge", - "url": "https://github.com/manateelazycat/lsp-bridge", - "description": "A blazingly fast LSP client for Emacs", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "1,464", - "forks": "214", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/237487?s=40&v=4", - "name": "manateelazycat", - "url": "https://github.com/manateelazycat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15964105?s=40&v=4", - "name": "werhner", - "url": "https://github.com/werhner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19282154?s=40&v=4", - "name": "kongds", - "url": "https://github.com/kongds" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20219056?s=40&v=4", - "name": "zerolfx", - "url": "https://github.com/zerolfx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/75873372?s=40&v=4", - "name": "mumu-lhl", - "url": "https://github.com/mumu-lhl" - } - ] - }, - { - "title": "Fanael/rainbow-delimiters", - "url": "https://github.com/Fanael/rainbow-delimiters", - "description": "Emacs rainbow delimiters mode", + "title": "emacs-mirror/emacs", + "url": "https://github.com/emacs-mirror/emacs", + "description": "Mirror of GNU Emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "686", - "forks": "33", - "addStars": "1", + "stars": "4,456", + "forks": "1,280", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/270470?s=40&v=4", - "name": "Fanael", - "url": "https://github.com/Fanael" + "avatar": "https://avatars.githubusercontent.com/u/20908835?s=40&v=4", + "name": "Eli-Zaretskii", + "url": "https://github.com/Eli-Zaretskii" }, { - "avatar": "https://avatars.githubusercontent.com/u/116911?s=40&v=4", - "name": "jlr", - "url": "https://github.com/jlr" + "avatar": "https://avatars.githubusercontent.com/u/4054496?s=40&v=4", + "name": "rgmorris", + "url": "https://github.com/rgmorris" }, { - "avatar": "https://avatars.githubusercontent.com/u/5636?s=40&v=4", - "name": "purcell", - "url": "https://github.com/purcell" + "avatar": "https://avatars.githubusercontent.com/u/3629383?s=40&v=4", + "name": "monnier", + "url": "https://github.com/monnier" }, { - "avatar": "https://avatars.githubusercontent.com/u/224922?s=40&v=4", - "name": "swsnr", - "url": "https://github.com/swsnr" + "avatar": "https://avatars.githubusercontent.com/u/572024?s=40&v=4", + "name": "eggert", + "url": "https://github.com/eggert" }, { - "avatar": "https://avatars.githubusercontent.com/u/84364?s=40&v=4", - "name": "skangas", - "url": "https://github.com/skangas" + "avatar": "https://avatars.githubusercontent.com/u/553982?s=40&v=4", + "name": "larsmagne", + "url": "https://github.com/larsmagne" } ] }, { - "title": "melpa/melpa", - "url": "https://github.com/melpa/melpa", - "description": "Recipes and build machinery for the biggest Emacs package repo", + "title": "karthink/gptel", + "url": "https://github.com/karthink/gptel", + "description": "A simple LLM client for Emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "2,762", - "forks": "2,456", + "stars": "1,631", + "forks": "151", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5636?s=40&v=4", - "name": "purcell", - "url": "https://github.com/purcell" + "avatar": "https://avatars.githubusercontent.com/u/8607532?s=40&v=4", + "name": "karthink", + "url": "https://github.com/karthink" }, { - "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", - "name": "tarsius", - "url": "https://github.com/tarsius" + "avatar": "https://avatars.githubusercontent.com/u/387011?s=40&v=4", + "name": "joaotavora", + "url": "https://github.com/joaotavora" }, { - "avatar": "https://avatars.githubusercontent.com/u/38066?s=40&v=4", - "name": "milkypostman", - "url": "https://github.com/milkypostman" + "avatar": "https://avatars.githubusercontent.com/u/41439659?s=40&v=4", + "name": "daedsidog", + "url": "https://github.com/daedsidog" }, { - "avatar": "https://avatars.githubusercontent.com/u/3079551?s=40&v=4", - "name": "yasuyk", - "url": "https://github.com/yasuyk" + "avatar": "https://avatars.githubusercontent.com/u/5490677?s=40&v=4", + "name": "benthamite", + "url": "https://github.com/benthamite" }, { - "avatar": "https://avatars.githubusercontent.com/u/554281?s=40&v=4", - "name": "syohex", - "url": "https://github.com/syohex" + "avatar": "https://avatars.githubusercontent.com/u/2225391?s=40&v=4", + "name": "PalaceChan", + "url": "https://github.com/PalaceChan" } ] }, { - "title": "emacs-lsp/lsp-mode", - "url": "https://github.com/emacs-lsp/lsp-mode", - "description": "Emacs client/library for the Language Server Protocol", + "title": "manateelazycat/lsp-bridge", + "url": "https://github.com/manateelazycat/lsp-bridge", + "description": "A blazingly fast LSP client for Emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "4,807", - "forks": "890", + "stars": "1,464", + "forks": "214", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13259670?s=40&v=4", - "name": "yyoncho", - "url": "https://github.com/yyoncho" + "avatar": "https://avatars.githubusercontent.com/u/237487?s=40&v=4", + "name": "manateelazycat", + "url": "https://github.com/manateelazycat" }, { - "avatar": "https://avatars.githubusercontent.com/u/2142872?s=40&v=4", - "name": "vibhavp", - "url": "https://github.com/vibhavp" + "avatar": "https://avatars.githubusercontent.com/u/15964105?s=40&v=4", + "name": "werhner", + "url": "https://github.com/werhner" }, { - "avatar": "https://avatars.githubusercontent.com/u/7820865?s=40&v=4", - "name": "ericdallo", - "url": "https://github.com/ericdallo" + "avatar": "https://avatars.githubusercontent.com/u/19282154?s=40&v=4", + "name": "kongds", + "url": "https://github.com/kongds" }, { - "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", - "name": "traviscibot", - "url": "https://github.com/traviscibot" + "avatar": "https://avatars.githubusercontent.com/u/20219056?s=40&v=4", + "name": "zerolfx", + "url": "https://github.com/zerolfx" }, { - "avatar": "https://avatars.githubusercontent.com/u/8685505?s=40&v=4", - "name": "jcs090218", - "url": "https://github.com/jcs090218" + "avatar": "https://avatars.githubusercontent.com/u/75873372?s=40&v=4", + "name": "mumu-lhl", + "url": "https://github.com/mumu-lhl" } ] }, { - "title": "Sterlingg/json-snatcher", - "url": "https://github.com/Sterlingg/json-snatcher", - "description": "Get the path to a JSON element in Emacs.", + "title": "bbatsov/projectile", + "url": "https://github.com/bbatsov/projectile", + "description": "Project Interaction Library for Emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "96", - "forks": "15", - "addStars": "0", + "stars": "4,004", + "forks": "582", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2342105?s=40&v=4", - "name": "Sterlingg", - "url": "https://github.com/Sterlingg" + "avatar": "https://avatars.githubusercontent.com/u/103882?s=40&v=4", + "name": "bbatsov", + "url": "https://github.com/bbatsov" }, { - "avatar": "https://avatars.githubusercontent.com/u/5636?s=40&v=4", - "name": "purcell", - "url": "https://github.com/purcell" + "avatar": "https://avatars.githubusercontent.com/u/2937359?s=40&v=4", + "name": "abo-abo", + "url": "https://github.com/abo-abo" }, { - "avatar": "https://avatars.githubusercontent.com/u/30171?s=40&v=4", - "name": "dougm", - "url": "https://github.com/dougm" + "avatar": "https://avatars.githubusercontent.com/u/17688577?s=40&v=4", + "name": "LaurenceWarne", + "url": "https://github.com/LaurenceWarne" }, { - "avatar": "https://avatars.githubusercontent.com/u/36711?s=40&v=4", - "name": "joshwnj", - "url": "https://github.com/joshwnj" + "avatar": "https://avatars.githubusercontent.com/u/20277?s=40&v=4", + "name": "rejeep", + "url": "https://github.com/rejeep" }, { - "avatar": "https://avatars.githubusercontent.com/u/4299776?s=40&v=4", - "name": "tgbugs", - "url": "https://github.com/tgbugs" + "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", + "name": "tarsius", + "url": "https://github.com/tarsius" } ] }, { - "title": "tumashu/posframe", - "url": "https://github.com/tumashu/posframe", - "description": "Pop a posframe (just a child-frame) at point, posframe is a **GNU ELPA** package!", + "title": "magnars/multiple-cursors.el", + "url": "https://github.com/magnars/multiple-cursors.el", + "description": "Multiple cursors for emacs.", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "453", - "forks": "58", + "stars": "2,304", + "forks": "166", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/67725?s=40&v=4", - "name": "tumashu", - "url": "https://github.com/tumashu" + "avatar": "https://avatars.githubusercontent.com/u/265785?s=40&v=4", + "name": "magnars", + "url": "https://github.com/magnars" }, { - "avatar": "https://avatars.githubusercontent.com/u/106838?s=40&v=4", - "name": "stepnem", - "url": "https://github.com/stepnem" + "avatar": "https://avatars.githubusercontent.com/u/5892061?s=40&v=4", + "name": "jtamagnan", + "url": "https://github.com/jtamagnan" }, { - "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", - "name": "tarsius", - "url": "https://github.com/tarsius" + "avatar": "https://avatars.githubusercontent.com/u/179487?s=40&v=4", + "name": "mathrick", + "url": "https://github.com/mathrick" }, { - "avatar": "https://avatars.githubusercontent.com/u/271877?s=40&v=4", - "name": "dgutov", - "url": "https://github.com/dgutov" + "avatar": "https://avatars.githubusercontent.com/u/513643?s=40&v=4", + "name": "segv", + "url": "https://github.com/segv" }, { - "avatar": "https://avatars.githubusercontent.com/u/193967?s=40&v=4", - "name": "taquangtrung", - "url": "https://github.com/taquangtrung" + "avatar": "https://avatars.githubusercontent.com/u/29282?s=40&v=4", + "name": "tkf", + "url": "https://github.com/tkf" } ] }, { - "title": "NixOS/nix-mode", - "url": "https://github.com/NixOS/nix-mode", - "description": "An Emacs major mode for editing Nix expressions.", + "title": "vspinu/sesman", + "url": "https://github.com/vspinu/sesman", + "description": "Session manager for Emacs based IDEs.", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "299", - "forks": "76", + "stars": "71", + "forks": "8", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19036?s=40&v=4", - "name": "matthewbauer", - "url": "https://github.com/matthewbauer" + "avatar": "https://avatars.githubusercontent.com/u/1363467?s=40&v=4", + "name": "vspinu", + "url": "https://github.com/vspinu" }, { - "avatar": "https://avatars.githubusercontent.com/u/6270544?s=40&v=4", - "name": "akirak", - "url": "https://github.com/akirak" + "avatar": "https://avatars.githubusercontent.com/u/103882?s=40&v=4", + "name": "bbatsov", + "url": "https://github.com/bbatsov" }, { - "avatar": "https://avatars.githubusercontent.com/u/17250100?s=40&v=4", - "name": "j-piecuch", - "url": "https://github.com/j-piecuch" + "avatar": "https://avatars.githubusercontent.com/u/16015869?s=40&v=4", + "name": "markgdawson", + "url": "https://github.com/markgdawson" }, { - "avatar": "https://avatars.githubusercontent.com/u/692274?s=40&v=4", - "name": "nagy", - "url": "https://github.com/nagy" + "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", + "name": "tarsius", + "url": "https://github.com/tarsius" }, { - "avatar": "https://avatars.githubusercontent.com/u/461970?s=40&v=4", - "name": "etu", - "url": "https://github.com/etu" + "avatar": "https://avatars.githubusercontent.com/u/33044872?s=40&v=4", + "name": "sogaiu", + "url": "https://github.com/sogaiu" } ] }, { - "title": "ahyatt/emacs-websocket", - "url": "https://github.com/ahyatt/emacs-websocket", - "description": "A websocket implementation in elisp, for emacs.", + "title": "pashky/restclient.el", + "url": "https://github.com/pashky/restclient.el", + "description": "HTTP REST client tool for emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "324", - "forks": "42", + "stars": "1,992", + "forks": "171", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20452?s=40&v=4", - "name": "ahyatt", - "url": "https://github.com/ahyatt" + "avatar": "https://avatars.githubusercontent.com/u/663876?s=40&v=4", + "name": "pashky", + "url": "https://github.com/pashky" }, { - "avatar": "https://avatars.githubusercontent.com/u/29282?s=40&v=4", - "name": "tkf", - "url": "https://github.com/tkf" + "avatar": "https://avatars.githubusercontent.com/u/591567?s=40&v=4", + "name": "markus1189", + "url": "https://github.com/markus1189" }, { - "avatar": "https://avatars.githubusercontent.com/u/3055271?s=40&v=4", - "name": "yuya373", - "url": "https://github.com/yuya373" + "avatar": "https://avatars.githubusercontent.com/u/554281?s=40&v=4", + "name": "syohex", + "url": "https://github.com/syohex" }, { - "avatar": "https://avatars.githubusercontent.com/u/11372289?s=40&v=4", - "name": "sten0", - "url": "https://github.com/sten0" + "avatar": "https://avatars.githubusercontent.com/u/1216?s=40&v=4", + "name": "vetler", + "url": "https://github.com/vetler" }, { - "avatar": "https://avatars.githubusercontent.com/u/3514?s=40&v=4", - "name": "jscheid", - "url": "https://github.com/jscheid" + "avatar": "https://avatars.githubusercontent.com/u/5636?s=40&v=4", + "name": "purcell", + "url": "https://github.com/purcell" } ] }, { - "title": "editorconfig/editorconfig-emacs", - "url": "https://github.com/editorconfig/editorconfig-emacs", - "description": "EditorConfig plugin for Emacs", + "title": "emacs-lsp/lsp-mode", + "url": "https://github.com/emacs-lsp/lsp-mode", + "description": "Emacs client/library for the Language Server Protocol", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "748", - "forks": "106", - "addStars": "0", + "stars": "4,807", + "forks": "890", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/139241?s=40&v=4", - "name": "10sr", - "url": "https://github.com/10sr" + "avatar": "https://avatars.githubusercontent.com/u/13259670?s=40&v=4", + "name": "yyoncho", + "url": "https://github.com/yyoncho" }, { - "avatar": "https://avatars.githubusercontent.com/u/325476?s=40&v=4", - "name": "xuhdev", - "url": "https://github.com/xuhdev" + "avatar": "https://avatars.githubusercontent.com/u/2142872?s=40&v=4", + "name": "vibhavp", + "url": "https://github.com/vibhavp" }, { - "avatar": "https://avatars.githubusercontent.com/u/285352?s=40&v=4", - "name": "treyhunner", - "url": "https://github.com/treyhunner" + "avatar": "https://avatars.githubusercontent.com/u/7820865?s=40&v=4", + "name": "ericdallo", + "url": "https://github.com/ericdallo" }, { - "avatar": "https://avatars.githubusercontent.com/u/2459?s=40&v=4", - "name": "johan", - "url": "https://github.com/johan" + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" }, { "avatar": "https://avatars.githubusercontent.com/u/8685505?s=40&v=4", @@ -523,150 +449,150 @@ ] }, { - "title": "syl20bnr/spacemacs", - "url": "https://github.com/syl20bnr/spacemacs", - "description": "A community-driven Emacs distribution - The best editor is neither Emacs nor Vim, it's Emacs *and* Vim!", + "title": "dominikh/go-mode.el", + "url": "https://github.com/dominikh/go-mode.el", + "description": "Emacs mode for the Go programming language", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "23,710", - "forks": "4,897", + "stars": "1,388", + "forks": "211", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1243537?s=40&v=4", - "name": "syl20bnr", - "url": "https://github.com/syl20bnr" + "avatar": "https://avatars.githubusercontent.com/u/39825?s=40&v=4", + "name": "dominikh", + "url": "https://github.com/dominikh" }, { - "avatar": "https://avatars.githubusercontent.com/u/619375?s=40&v=4", - "name": "TheBB", - "url": "https://github.com/TheBB" + "avatar": "https://avatars.githubusercontent.com/u/11356572?s=40&v=4", + "name": "muirdm", + "url": "https://github.com/muirdm" }, { - "avatar": "https://avatars.githubusercontent.com/u/18098560?s=40&v=4", - "name": "smile13241324", - "url": "https://github.com/smile13241324" + "avatar": "https://avatars.githubusercontent.com/u/8433140?s=40&v=4", + "name": "alandonovan", + "url": "https://github.com/alandonovan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1898905?s=40&v=4", - "name": "JAremko", - "url": "https://github.com/JAremko" + "avatar": "https://avatars.githubusercontent.com/u/155394?s=40&v=4", + "name": "Olivia5k", + "url": "https://github.com/Olivia5k" }, { - "avatar": "https://avatars.githubusercontent.com/u/13420573?s=40&v=4", - "name": "duianto", - "url": "https://github.com/duianto" + "avatar": "https://avatars.githubusercontent.com/u/33375?s=40&v=4", + "name": "psanford", + "url": "https://github.com/psanford" } ] }, { - "title": "joaotavora/yasnippet", - "url": "https://github.com/joaotavora/yasnippet", - "description": "A template system for Emacs", + "title": "melpa/melpa", + "url": "https://github.com/melpa/melpa", + "description": "Recipes and build machinery for the biggest Emacs package repo", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "2,811", - "forks": "311", - "addStars": "0", + "stars": "2,763", + "forks": "2,456", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/387011?s=40&v=4", - "name": "joaotavora", - "url": "https://github.com/joaotavora" + "avatar": "https://avatars.githubusercontent.com/u/5636?s=40&v=4", + "name": "purcell", + "url": "https://github.com/purcell" }, { - "avatar": "https://avatars.githubusercontent.com/u/287742?s=40&v=4", - "name": "npostavs", - "url": "https://github.com/npostavs" + "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", + "name": "tarsius", + "url": "https://github.com/tarsius" }, { - "avatar": "https://avatars.githubusercontent.com/u/3542?s=40&v=4", - "name": "pluskid", - "url": "https://github.com/pluskid" + "avatar": "https://avatars.githubusercontent.com/u/38066?s=40&v=4", + "name": "milkypostman", + "url": "https://github.com/milkypostman" }, { - "avatar": "https://avatars.githubusercontent.com/u/3629383?s=40&v=4", - "name": "monnier", - "url": "https://github.com/monnier" + "avatar": "https://avatars.githubusercontent.com/u/3079551?s=40&v=4", + "name": "yasuyk", + "url": "https://github.com/yasuyk" }, { - "avatar": "https://avatars.githubusercontent.com/u/39563?s=40&v=4", - "name": "jimeh", - "url": "https://github.com/jimeh" + "avatar": "https://avatars.githubusercontent.com/u/554281?s=40&v=4", + "name": "syohex", + "url": "https://github.com/syohex" } ] }, { - "title": "dominikh/go-mode.el", - "url": "https://github.com/dominikh/go-mode.el", - "description": "Emacs mode for the Go programming language", + "title": "rust-lang/rust-mode", + "url": "https://github.com/rust-lang/rust-mode", + "description": "Emacs configuration for Rust", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "1,388", - "forks": "211", - "addStars": "0", + "stars": "1,126", + "forks": "181", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/39825?s=40&v=4", - "name": "dominikh", - "url": "https://github.com/dominikh" + "avatar": "https://avatars.githubusercontent.com/u/25367303?s=40&v=4", + "name": "brotzeit", + "url": "https://github.com/brotzeit" }, { - "avatar": "https://avatars.githubusercontent.com/u/11356572?s=40&v=4", - "name": "muirdm", - "url": "https://github.com/muirdm" + "avatar": "https://avatars.githubusercontent.com/u/698400?s=40&v=4", + "name": "MicahChalmer", + "url": "https://github.com/MicahChalmer" }, { - "avatar": "https://avatars.githubusercontent.com/u/8433140?s=40&v=4", - "name": "alandonovan", - "url": "https://github.com/alandonovan" + "avatar": "https://avatars.githubusercontent.com/u/155238?s=40&v=4", + "name": "nikomatsakis", + "url": "https://github.com/nikomatsakis" }, { - "avatar": "https://avatars.githubusercontent.com/u/155394?s=40&v=4", - "name": "Olivia5k", - "url": "https://github.com/Olivia5k" + "avatar": "https://avatars.githubusercontent.com/u/737477?s=40&v=4", + "name": "psibi", + "url": "https://github.com/psibi" }, { - "avatar": "https://avatars.githubusercontent.com/u/33375?s=40&v=4", - "name": "psanford", - "url": "https://github.com/psanford" + "avatar": "https://avatars.githubusercontent.com/u/173127?s=40&v=4", + "name": "pnkfelix", + "url": "https://github.com/pnkfelix" } ] }, { - "title": "SUSE/doc-sle", - "url": "https://github.com/SUSE/doc-sle", - "description": "Official SUSE Linux Enterprise Documentation [Everyone, please use feature/ branches, create PRs and ensure CI runs successfully rather than just pushing to main!]", + "title": "clojure-emacs/cider", + "url": "https://github.com/clojure-emacs/cider", + "description": "The Clojure Interactive Development Environment that Rocks for Emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "92", - "forks": "243", - "addStars": "0", + "stars": "3,553", + "forks": "645", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1872885?s=40&v=4", - "name": "fsundermeyer", - "url": "https://github.com/fsundermeyer" + "avatar": "https://avatars.githubusercontent.com/u/103882?s=40&v=4", + "name": "bbatsov", + "url": "https://github.com/bbatsov" }, { - "avatar": "https://avatars.githubusercontent.com/u/1875958?s=40&v=4", - "name": "taroth21", - "url": "https://github.com/taroth21" + "avatar": "https://avatars.githubusercontent.com/u/453029?s=40&v=4", + "name": "Malabarba", + "url": "https://github.com/Malabarba" }, { - "avatar": "https://avatars.githubusercontent.com/u/972070?s=40&v=4", - "name": "cwickert", - "url": "https://github.com/cwickert" + "avatar": "https://avatars.githubusercontent.com/u/414909?s=40&v=4", + "name": "kingtim", + "url": "https://github.com/kingtim" }, { - "avatar": "https://avatars.githubusercontent.com/u/2240174?s=40&v=4", - "name": "tbazant", - "url": "https://github.com/tbazant" + "avatar": "https://avatars.githubusercontent.com/u/1162994?s=40&v=4", + "name": "vemv", + "url": "https://github.com/vemv" }, { - "avatar": "https://avatars.githubusercontent.com/u/9992273?s=40&v=4", - "name": "lvicoun", - "url": "https://github.com/lvicoun" + "avatar": "https://avatars.githubusercontent.com/u/1363467?s=40&v=4", + "name": "vspinu", + "url": "https://github.com/vspinu" } ] } diff --git a/data/daily/emacs-lisp.xml b/data/daily/emacs-lisp.xml index 82a678c21efb..645617a01887 100644 --- a/data/daily/emacs-lisp.xml +++ b/data/daily/emacs-lisp.xml @@ -3,83 +3,7 @@ GitHub Emacs-lisp Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Emacs-lisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - emacs-mirror/emacs - https://github.com/emacs-mirror/emacs - Mirror of GNU Emacs - https://github.com/emacs-mirror/emacs - Emacs Lisp - #c065db - 4,456 - 1,280 - 2 - - - https://avatars.githubusercontent.com/u/20908835?s=40&v=4 - Eli-Zaretskii - https://github.com/Eli-Zaretskii - - - https://avatars.githubusercontent.com/u/4054496?s=40&v=4 - rgmorris - https://github.com/rgmorris - - - https://avatars.githubusercontent.com/u/3629383?s=40&v=4 - monnier - https://github.com/monnier - - - https://avatars.githubusercontent.com/u/572024?s=40&v=4 - eggert - https://github.com/eggert - - - https://avatars.githubusercontent.com/u/553982?s=40&v=4 - larsmagne - https://github.com/larsmagne - - - - - karthink/gptel - https://github.com/karthink/gptel - A simple LLM client for Emacs - https://github.com/karthink/gptel - Emacs Lisp - #c065db - 1,631 - 151 - 2 - - - https://avatars.githubusercontent.com/u/8607532?s=40&v=4 - karthink - https://github.com/karthink - - - https://avatars.githubusercontent.com/u/387011?s=40&v=4 - joaotavora - https://github.com/joaotavora - - - https://avatars.githubusercontent.com/u/41439659?s=40&v=4 - daedsidog - https://github.com/daedsidog - - - https://avatars.githubusercontent.com/u/5490677?s=40&v=4 - benthamite - https://github.com/benthamite - - - https://avatars.githubusercontent.com/u/2225391?s=40&v=4 - PalaceChan - https://github.com/PalaceChan - - - + Mon, 25 Nov 2024 13:30:36 GMT millejoh/emacs-ipython-notebook https://github.com/millejoh/emacs-ipython-notebook @@ -119,40 +43,78 @@ - seagle0128/doom-modeline - https://github.com/seagle0128/doom-modeline - A fancy and fast mode-line inspired by minimalism design. - https://github.com/seagle0128/doom-modeline + s-kostyaev/ellama + https://github.com/s-kostyaev/ellama + Ellama is a tool for interacting with large language models from Emacs. + https://github.com/s-kostyaev/ellama Emacs Lisp #c065db - 1,307 - 158 - 2 + 575 + 38 + 8 - https://avatars.githubusercontent.com/u/140797?s=40&v=4 - seagle0128 - https://github.com/seagle0128 + https://avatars.githubusercontent.com/u/8576745?s=40&v=4 + s-kostyaev + https://github.com/s-kostyaev - https://avatars.githubusercontent.com/u/510883?s=40&v=4 - hlissner - https://github.com/hlissner + https://avatars.githubusercontent.com/u/310393?s=40&v=4 + Stebalien + https://github.com/Stebalien + + + https://avatars.githubusercontent.com/u/21566?s=40&v=4 + r0man + https://github.com/r0man + + + https://avatars.githubusercontent.com/u/54563?s=40&v=4 + stephenwithav + https://github.com/stephenwithav + + + https://avatars.githubusercontent.com/u/16169950?s=40&v=4 + LionyxML + https://github.com/LionyxML + + + + + org-roam/org-roam + https://github.com/org-roam/org-roam + Rudimentary Roam replica with Org-mode + https://github.com/org-roam/org-roam + Emacs Lisp + #c065db + 5,530 + 482 + 3 + + + https://avatars.githubusercontent.com/u/1667473?s=40&v=4 + jethrokuan + https://github.com/jethrokuan + + + https://avatars.githubusercontent.com/u/12202828?s=40&v=4 + zaeph + https://github.com/zaeph - https://avatars.githubusercontent.com/u/4433943?s=40&v=4 - jmromer - https://github.com/jmromer + https://avatars.githubusercontent.com/u/44036031?s=40&v=4 + progfolio + https://github.com/progfolio - https://avatars.githubusercontent.com/u/59777257?s=40&v=4 - dr-scsi - https://github.com/dr-scsi + https://avatars.githubusercontent.com/u/52547?s=40&v=4 + juergenhoetzel + https://github.com/juergenhoetzel - https://avatars.githubusercontent.com/u/20887?s=40&v=4 - seanfarley - https://github.com/seanfarley + https://avatars.githubusercontent.com/u/12507865?s=40&v=4 + nobiot + https://github.com/nobiot @@ -195,339 +157,301 @@ - manateelazycat/lsp-bridge - https://github.com/manateelazycat/lsp-bridge - A blazingly fast LSP client for Emacs - https://github.com/manateelazycat/lsp-bridge - Emacs Lisp - #c065db - 1,464 - 214 - 1 - - - https://avatars.githubusercontent.com/u/237487?s=40&v=4 - manateelazycat - https://github.com/manateelazycat - - - https://avatars.githubusercontent.com/u/15964105?s=40&v=4 - werhner - https://github.com/werhner - - - https://avatars.githubusercontent.com/u/19282154?s=40&v=4 - kongds - https://github.com/kongds - - - https://avatars.githubusercontent.com/u/20219056?s=40&v=4 - zerolfx - https://github.com/zerolfx - - - https://avatars.githubusercontent.com/u/75873372?s=40&v=4 - mumu-lhl - https://github.com/mumu-lhl - - - - - Fanael/rainbow-delimiters - https://github.com/Fanael/rainbow-delimiters - Emacs rainbow delimiters mode - https://github.com/Fanael/rainbow-delimiters + emacs-mirror/emacs + https://github.com/emacs-mirror/emacs + Mirror of GNU Emacs + https://github.com/emacs-mirror/emacs Emacs Lisp #c065db - 686 - 33 - 1 + 4,456 + 1,280 + 2 - https://avatars.githubusercontent.com/u/270470?s=40&v=4 - Fanael - https://github.com/Fanael + https://avatars.githubusercontent.com/u/20908835?s=40&v=4 + Eli-Zaretskii + https://github.com/Eli-Zaretskii - https://avatars.githubusercontent.com/u/116911?s=40&v=4 - jlr - https://github.com/jlr + https://avatars.githubusercontent.com/u/4054496?s=40&v=4 + rgmorris + https://github.com/rgmorris - https://avatars.githubusercontent.com/u/5636?s=40&v=4 - purcell - https://github.com/purcell + https://avatars.githubusercontent.com/u/3629383?s=40&v=4 + monnier + https://github.com/monnier - https://avatars.githubusercontent.com/u/224922?s=40&v=4 - swsnr - https://github.com/swsnr + https://avatars.githubusercontent.com/u/572024?s=40&v=4 + eggert + https://github.com/eggert - https://avatars.githubusercontent.com/u/84364?s=40&v=4 - skangas - https://github.com/skangas + https://avatars.githubusercontent.com/u/553982?s=40&v=4 + larsmagne + https://github.com/larsmagne - melpa/melpa - https://github.com/melpa/melpa - Recipes and build machinery for the biggest Emacs package repo - https://github.com/melpa/melpa + karthink/gptel + https://github.com/karthink/gptel + A simple LLM client for Emacs + https://github.com/karthink/gptel Emacs Lisp #c065db - 2,762 - 2,456 + 1,631 + 151 2 - https://avatars.githubusercontent.com/u/5636?s=40&v=4 - purcell - https://github.com/purcell + https://avatars.githubusercontent.com/u/8607532?s=40&v=4 + karthink + https://github.com/karthink - https://avatars.githubusercontent.com/u/25046?s=40&v=4 - tarsius - https://github.com/tarsius + https://avatars.githubusercontent.com/u/387011?s=40&v=4 + joaotavora + https://github.com/joaotavora - https://avatars.githubusercontent.com/u/38066?s=40&v=4 - milkypostman - https://github.com/milkypostman + https://avatars.githubusercontent.com/u/41439659?s=40&v=4 + daedsidog + https://github.com/daedsidog - https://avatars.githubusercontent.com/u/3079551?s=40&v=4 - yasuyk - https://github.com/yasuyk + https://avatars.githubusercontent.com/u/5490677?s=40&v=4 + benthamite + https://github.com/benthamite - https://avatars.githubusercontent.com/u/554281?s=40&v=4 - syohex - https://github.com/syohex + https://avatars.githubusercontent.com/u/2225391?s=40&v=4 + PalaceChan + https://github.com/PalaceChan - emacs-lsp/lsp-mode - https://github.com/emacs-lsp/lsp-mode - Emacs client/library for the Language Server Protocol - https://github.com/emacs-lsp/lsp-mode + manateelazycat/lsp-bridge + https://github.com/manateelazycat/lsp-bridge + A blazingly fast LSP client for Emacs + https://github.com/manateelazycat/lsp-bridge Emacs Lisp #c065db - 4,807 - 890 + 1,464 + 214 1 - https://avatars.githubusercontent.com/u/13259670?s=40&v=4 - yyoncho - https://github.com/yyoncho + https://avatars.githubusercontent.com/u/237487?s=40&v=4 + manateelazycat + https://github.com/manateelazycat - https://avatars.githubusercontent.com/u/2142872?s=40&v=4 - vibhavp - https://github.com/vibhavp + https://avatars.githubusercontent.com/u/15964105?s=40&v=4 + werhner + https://github.com/werhner - https://avatars.githubusercontent.com/u/7820865?s=40&v=4 - ericdallo - https://github.com/ericdallo + https://avatars.githubusercontent.com/u/19282154?s=40&v=4 + kongds + https://github.com/kongds - https://avatars.githubusercontent.com/u/33116358?s=40&v=4 - traviscibot - https://github.com/traviscibot + https://avatars.githubusercontent.com/u/20219056?s=40&v=4 + zerolfx + https://github.com/zerolfx - https://avatars.githubusercontent.com/u/8685505?s=40&v=4 - jcs090218 - https://github.com/jcs090218 + https://avatars.githubusercontent.com/u/75873372?s=40&v=4 + mumu-lhl + https://github.com/mumu-lhl - Sterlingg/json-snatcher - https://github.com/Sterlingg/json-snatcher - Get the path to a JSON element in Emacs. - https://github.com/Sterlingg/json-snatcher + bbatsov/projectile + https://github.com/bbatsov/projectile + Project Interaction Library for Emacs + https://github.com/bbatsov/projectile Emacs Lisp #c065db - 96 - 15 - 0 + 4,004 + 582 + 1 - https://avatars.githubusercontent.com/u/2342105?s=40&v=4 - Sterlingg - https://github.com/Sterlingg + https://avatars.githubusercontent.com/u/103882?s=40&v=4 + bbatsov + https://github.com/bbatsov - https://avatars.githubusercontent.com/u/5636?s=40&v=4 - purcell - https://github.com/purcell + https://avatars.githubusercontent.com/u/2937359?s=40&v=4 + abo-abo + https://github.com/abo-abo - https://avatars.githubusercontent.com/u/30171?s=40&v=4 - dougm - https://github.com/dougm + https://avatars.githubusercontent.com/u/17688577?s=40&v=4 + LaurenceWarne + https://github.com/LaurenceWarne - https://avatars.githubusercontent.com/u/36711?s=40&v=4 - joshwnj - https://github.com/joshwnj + https://avatars.githubusercontent.com/u/20277?s=40&v=4 + rejeep + https://github.com/rejeep - https://avatars.githubusercontent.com/u/4299776?s=40&v=4 - tgbugs - https://github.com/tgbugs + https://avatars.githubusercontent.com/u/25046?s=40&v=4 + tarsius + https://github.com/tarsius - tumashu/posframe - https://github.com/tumashu/posframe - Pop a posframe (just a child-frame) at point, posframe is a **GNU ELPA** package! - https://github.com/tumashu/posframe + magnars/multiple-cursors.el + https://github.com/magnars/multiple-cursors.el + Multiple cursors for emacs. + https://github.com/magnars/multiple-cursors.el Emacs Lisp #c065db - 453 - 58 + 2,304 + 166 0 - https://avatars.githubusercontent.com/u/67725?s=40&v=4 - tumashu - https://github.com/tumashu + https://avatars.githubusercontent.com/u/265785?s=40&v=4 + magnars + https://github.com/magnars - https://avatars.githubusercontent.com/u/106838?s=40&v=4 - stepnem - https://github.com/stepnem + https://avatars.githubusercontent.com/u/5892061?s=40&v=4 + jtamagnan + https://github.com/jtamagnan - https://avatars.githubusercontent.com/u/25046?s=40&v=4 - tarsius - https://github.com/tarsius + https://avatars.githubusercontent.com/u/179487?s=40&v=4 + mathrick + https://github.com/mathrick - https://avatars.githubusercontent.com/u/271877?s=40&v=4 - dgutov - https://github.com/dgutov + https://avatars.githubusercontent.com/u/513643?s=40&v=4 + segv + https://github.com/segv - https://avatars.githubusercontent.com/u/193967?s=40&v=4 - taquangtrung - https://github.com/taquangtrung + https://avatars.githubusercontent.com/u/29282?s=40&v=4 + tkf + https://github.com/tkf - NixOS/nix-mode - https://github.com/NixOS/nix-mode - An Emacs major mode for editing Nix expressions. - https://github.com/NixOS/nix-mode + vspinu/sesman + https://github.com/vspinu/sesman + Session manager for Emacs based IDEs. + https://github.com/vspinu/sesman Emacs Lisp #c065db - 299 - 76 + 71 + 8 0 - https://avatars.githubusercontent.com/u/19036?s=40&v=4 - matthewbauer - https://github.com/matthewbauer + https://avatars.githubusercontent.com/u/1363467?s=40&v=4 + vspinu + https://github.com/vspinu - https://avatars.githubusercontent.com/u/6270544?s=40&v=4 - akirak - https://github.com/akirak + https://avatars.githubusercontent.com/u/103882?s=40&v=4 + bbatsov + https://github.com/bbatsov - https://avatars.githubusercontent.com/u/17250100?s=40&v=4 - j-piecuch - https://github.com/j-piecuch + https://avatars.githubusercontent.com/u/16015869?s=40&v=4 + markgdawson + https://github.com/markgdawson - https://avatars.githubusercontent.com/u/692274?s=40&v=4 - nagy - https://github.com/nagy + https://avatars.githubusercontent.com/u/25046?s=40&v=4 + tarsius + https://github.com/tarsius - https://avatars.githubusercontent.com/u/461970?s=40&v=4 - etu - https://github.com/etu + https://avatars.githubusercontent.com/u/33044872?s=40&v=4 + sogaiu + https://github.com/sogaiu - ahyatt/emacs-websocket - https://github.com/ahyatt/emacs-websocket - A websocket implementation in elisp, for emacs. - https://github.com/ahyatt/emacs-websocket + pashky/restclient.el + https://github.com/pashky/restclient.el + HTTP REST client tool for emacs + https://github.com/pashky/restclient.el Emacs Lisp #c065db - 324 - 42 + 1,992 + 171 0 - https://avatars.githubusercontent.com/u/20452?s=40&v=4 - ahyatt - https://github.com/ahyatt + https://avatars.githubusercontent.com/u/663876?s=40&v=4 + pashky + https://github.com/pashky - https://avatars.githubusercontent.com/u/29282?s=40&v=4 - tkf - https://github.com/tkf + https://avatars.githubusercontent.com/u/591567?s=40&v=4 + markus1189 + https://github.com/markus1189 - https://avatars.githubusercontent.com/u/3055271?s=40&v=4 - yuya373 - https://github.com/yuya373 + https://avatars.githubusercontent.com/u/554281?s=40&v=4 + syohex + https://github.com/syohex - https://avatars.githubusercontent.com/u/11372289?s=40&v=4 - sten0 - https://github.com/sten0 + https://avatars.githubusercontent.com/u/1216?s=40&v=4 + vetler + https://github.com/vetler - https://avatars.githubusercontent.com/u/3514?s=40&v=4 - jscheid - https://github.com/jscheid + https://avatars.githubusercontent.com/u/5636?s=40&v=4 + purcell + https://github.com/purcell - editorconfig/editorconfig-emacs - https://github.com/editorconfig/editorconfig-emacs - EditorConfig plugin for Emacs - https://github.com/editorconfig/editorconfig-emacs + emacs-lsp/lsp-mode + https://github.com/emacs-lsp/lsp-mode + Emacs client/library for the Language Server Protocol + https://github.com/emacs-lsp/lsp-mode Emacs Lisp #c065db - 748 - 106 - 0 + 4,807 + 890 + 1 - https://avatars.githubusercontent.com/u/139241?s=40&v=4 - 10sr - https://github.com/10sr + https://avatars.githubusercontent.com/u/13259670?s=40&v=4 + yyoncho + https://github.com/yyoncho - https://avatars.githubusercontent.com/u/325476?s=40&v=4 - xuhdev - https://github.com/xuhdev + https://avatars.githubusercontent.com/u/2142872?s=40&v=4 + vibhavp + https://github.com/vibhavp - https://avatars.githubusercontent.com/u/285352?s=40&v=4 - treyhunner - https://github.com/treyhunner + https://avatars.githubusercontent.com/u/7820865?s=40&v=4 + ericdallo + https://github.com/ericdallo - https://avatars.githubusercontent.com/u/2459?s=40&v=4 - johan - https://github.com/johan + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot https://avatars.githubusercontent.com/u/8685505?s=40&v=4 @@ -537,154 +461,154 @@ - syl20bnr/spacemacs - https://github.com/syl20bnr/spacemacs - A community-driven Emacs distribution - The best editor is neither Emacs nor Vim, it's Emacs *and* Vim! - https://github.com/syl20bnr/spacemacs + dominikh/go-mode.el + https://github.com/dominikh/go-mode.el + Emacs mode for the Go programming language + https://github.com/dominikh/go-mode.el Emacs Lisp #c065db - 23,710 - 4,897 + 1,388 + 211 0 - https://avatars.githubusercontent.com/u/1243537?s=40&v=4 - syl20bnr - https://github.com/syl20bnr + https://avatars.githubusercontent.com/u/39825?s=40&v=4 + dominikh + https://github.com/dominikh - https://avatars.githubusercontent.com/u/619375?s=40&v=4 - TheBB - https://github.com/TheBB + https://avatars.githubusercontent.com/u/11356572?s=40&v=4 + muirdm + https://github.com/muirdm - https://avatars.githubusercontent.com/u/18098560?s=40&v=4 - smile13241324 - https://github.com/smile13241324 + https://avatars.githubusercontent.com/u/8433140?s=40&v=4 + alandonovan + https://github.com/alandonovan - https://avatars.githubusercontent.com/u/1898905?s=40&v=4 - JAremko - https://github.com/JAremko + https://avatars.githubusercontent.com/u/155394?s=40&v=4 + Olivia5k + https://github.com/Olivia5k - https://avatars.githubusercontent.com/u/13420573?s=40&v=4 - duianto - https://github.com/duianto + https://avatars.githubusercontent.com/u/33375?s=40&v=4 + psanford + https://github.com/psanford - joaotavora/yasnippet - https://github.com/joaotavora/yasnippet - A template system for Emacs - https://github.com/joaotavora/yasnippet + melpa/melpa + https://github.com/melpa/melpa + Recipes and build machinery for the biggest Emacs package repo + https://github.com/melpa/melpa Emacs Lisp #c065db - 2,811 - 311 - 0 + 2,763 + 2,456 + 2 - https://avatars.githubusercontent.com/u/387011?s=40&v=4 - joaotavora - https://github.com/joaotavora + https://avatars.githubusercontent.com/u/5636?s=40&v=4 + purcell + https://github.com/purcell - https://avatars.githubusercontent.com/u/287742?s=40&v=4 - npostavs - https://github.com/npostavs + https://avatars.githubusercontent.com/u/25046?s=40&v=4 + tarsius + https://github.com/tarsius - https://avatars.githubusercontent.com/u/3542?s=40&v=4 - pluskid - https://github.com/pluskid + https://avatars.githubusercontent.com/u/38066?s=40&v=4 + milkypostman + https://github.com/milkypostman - https://avatars.githubusercontent.com/u/3629383?s=40&v=4 - monnier - https://github.com/monnier + https://avatars.githubusercontent.com/u/3079551?s=40&v=4 + yasuyk + https://github.com/yasuyk - https://avatars.githubusercontent.com/u/39563?s=40&v=4 - jimeh - https://github.com/jimeh + https://avatars.githubusercontent.com/u/554281?s=40&v=4 + syohex + https://github.com/syohex - dominikh/go-mode.el - https://github.com/dominikh/go-mode.el - Emacs mode for the Go programming language - https://github.com/dominikh/go-mode.el + rust-lang/rust-mode + https://github.com/rust-lang/rust-mode + Emacs configuration for Rust + https://github.com/rust-lang/rust-mode Emacs Lisp #c065db - 1,388 - 211 - 0 + 1,126 + 181 + 1 - https://avatars.githubusercontent.com/u/39825?s=40&v=4 - dominikh - https://github.com/dominikh + https://avatars.githubusercontent.com/u/25367303?s=40&v=4 + brotzeit + https://github.com/brotzeit - https://avatars.githubusercontent.com/u/11356572?s=40&v=4 - muirdm - https://github.com/muirdm + https://avatars.githubusercontent.com/u/698400?s=40&v=4 + MicahChalmer + https://github.com/MicahChalmer - https://avatars.githubusercontent.com/u/8433140?s=40&v=4 - alandonovan - https://github.com/alandonovan + https://avatars.githubusercontent.com/u/155238?s=40&v=4 + nikomatsakis + https://github.com/nikomatsakis - https://avatars.githubusercontent.com/u/155394?s=40&v=4 - Olivia5k - https://github.com/Olivia5k + https://avatars.githubusercontent.com/u/737477?s=40&v=4 + psibi + https://github.com/psibi - https://avatars.githubusercontent.com/u/33375?s=40&v=4 - psanford - https://github.com/psanford + https://avatars.githubusercontent.com/u/173127?s=40&v=4 + pnkfelix + https://github.com/pnkfelix - SUSE/doc-sle - https://github.com/SUSE/doc-sle - Official SUSE Linux Enterprise Documentation [Everyone, please use feature/ branches, create PRs and ensure CI runs successfully rather than just pushing to main!] - https://github.com/SUSE/doc-sle + clojure-emacs/cider + https://github.com/clojure-emacs/cider + The Clojure Interactive Development Environment that Rocks for Emacs + https://github.com/clojure-emacs/cider Emacs Lisp #c065db - 92 - 243 - 0 + 3,553 + 645 + 1 - https://avatars.githubusercontent.com/u/1872885?s=40&v=4 - fsundermeyer - https://github.com/fsundermeyer + https://avatars.githubusercontent.com/u/103882?s=40&v=4 + bbatsov + https://github.com/bbatsov - https://avatars.githubusercontent.com/u/1875958?s=40&v=4 - taroth21 - https://github.com/taroth21 + https://avatars.githubusercontent.com/u/453029?s=40&v=4 + Malabarba + https://github.com/Malabarba - https://avatars.githubusercontent.com/u/972070?s=40&v=4 - cwickert - https://github.com/cwickert + https://avatars.githubusercontent.com/u/414909?s=40&v=4 + kingtim + https://github.com/kingtim - https://avatars.githubusercontent.com/u/2240174?s=40&v=4 - tbazant - https://github.com/tbazant + https://avatars.githubusercontent.com/u/1162994?s=40&v=4 + vemv + https://github.com/vemv - https://avatars.githubusercontent.com/u/9992273?s=40&v=4 - lvicoun - https://github.com/lvicoun + https://avatars.githubusercontent.com/u/1363467?s=40&v=4 + vspinu + https://github.com/vspinu diff --git a/data/daily/emberscript.json b/data/daily/emberscript.json index f81a99198367..3cc15ccdf87e 100644 --- a/data/daily/emberscript.json +++ b/data/daily/emberscript.json @@ -2,6 +2,44 @@ "title": "GitHub Emberscript Languages Daily Trending", "description": "Daily Trending of Emberscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "catkin/catkin_simple", + "url": "https://github.com/catkin/catkin_simple", + "description": "catkin, simpler", + "language": "EmberScript", + "languageColor": "#FFF4F3", + "stars": "173", + "forks": "149", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/100427?s=40&v=4", + "name": "wjwwood", + "url": "https://github.com/wjwwood" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1335366?s=40&v=4", + "name": "dirk-thomas", + "url": "https://github.com/dirk-thomas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1216052?s=40&v=4", + "name": "furgalep", + "url": "https://github.com/furgalep" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4038464?s=40&v=4", + "name": "flaviofontana", + "url": "https://github.com/flaviofontana" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2638770?s=40&v=4", + "name": "uschwes", + "url": "https://github.com/uschwes" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/emberscript.xml b/data/daily/emberscript.xml index d235d319cf48..0b998a08356b 100644 --- a/data/daily/emberscript.xml +++ b/data/daily/emberscript.xml @@ -3,6 +3,44 @@ GitHub Emberscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Emberscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + catkin/catkin_simple + https://github.com/catkin/catkin_simple + catkin, simpler + https://github.com/catkin/catkin_simple + EmberScript + #FFF4F3 + 173 + 149 + 0 + + + https://avatars.githubusercontent.com/u/100427?s=40&v=4 + wjwwood + https://github.com/wjwwood + + + https://avatars.githubusercontent.com/u/1335366?s=40&v=4 + dirk-thomas + https://github.com/dirk-thomas + + + https://avatars.githubusercontent.com/u/1216052?s=40&v=4 + furgalep + https://github.com/furgalep + + + https://avatars.githubusercontent.com/u/4038464?s=40&v=4 + flaviofontana + https://github.com/flaviofontana + + + https://avatars.githubusercontent.com/u/2638770?s=40&v=4 + uschwes + https://github.com/uschwes + + + \ No newline at end of file diff --git a/data/daily/eq.json b/data/daily/eq.json index 83d3bfd09614..7850a246b5cb 100644 --- a/data/daily/eq.json +++ b/data/daily/eq.json @@ -2,6 +2,6 @@ "title": "GitHub Eq Languages Daily Trending", "description": "Daily Trending of Eq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/eq.xml b/data/daily/eq.xml index 160d00f0baf6..9fcc2b62d7a7 100644 --- a/data/daily/eq.xml +++ b/data/daily/eq.xml @@ -3,6 +3,6 @@ GitHub Eq Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Eq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/erlang.json b/data/daily/erlang.json index b127192fd8d8..f78e85531bd4 100644 --- a/data/daily/erlang.json +++ b/data/daily/erlang.json @@ -2,116 +2,42 @@ "title": "GitHub Erlang Languages Daily Trending", "description": "Daily Trending of Erlang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "erlang/otp", - "url": "https://github.com/erlang/otp", - "description": "Erlang/OTP", - "language": "Erlang", - "languageColor": "#B83998", - "stars": "11,408", - "forks": "2,954", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/74840?s=40&v=4", - "name": "bjorng", - "url": "https://github.com/bjorng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/158050?s=40&v=4", - "name": "bmk", - "url": "https://github.com/bmk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/111762?s=40&v=4", - "name": "garazdawi", - "url": "https://github.com/garazdawi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/294507?s=40&v=4", - "name": "IngelaAndin", - "url": "https://github.com/IngelaAndin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/229591?s=40&v=4", - "name": "sverker", - "url": "https://github.com/sverker" - } - ] - }, - { - "title": "sile/jsone", - "url": "https://github.com/sile/jsone", - "description": "Erlang JSON library", - "language": "Erlang", - "languageColor": "#B83998", - "stars": "291", - "forks": "71", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/181413?s=40&v=4", - "name": "sile", - "url": "https://github.com/sile" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45734?s=40&v=4", - "name": "pichi", - "url": "https://github.com/pichi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/870638?s=40&v=4", - "name": "srenatus", - "url": "https://github.com/srenatus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14498?s=40&v=4", - "name": "benoitc", - "url": "https://github.com/benoitc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/119093?s=40&v=4", - "name": "Licenser", - "url": "https://github.com/Licenser" - } - ] - }, - { - "title": "erlang-ls/erlang_ls", - "url": "https://github.com/erlang-ls/erlang_ls", - "description": "The Erlang Language Server", + "title": "emqx/emqx", + "url": "https://github.com/emqx/emqx", + "description": "The most scalable open-source MQTT broker for IoT, IIoT, and connected vehicles", "language": "Erlang", "languageColor": "#B83998", - "stars": "633", - "forks": "137", - "addStars": "1", + "stars": "14,148", + "forks": "2,245", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/91769?s=40&v=4", - "name": "robertoaloi", - "url": "https://github.com/robertoaloi" + "avatar": "https://avatars.githubusercontent.com/u/164324?s=40&v=4", + "name": "zmstone", + "url": "https://github.com/zmstone" }, { - "avatar": "https://avatars.githubusercontent.com/u/1522569?s=40&v=4", - "name": "jfacorro", - "url": "https://github.com/jfacorro" + "avatar": "https://avatars.githubusercontent.com/u/16166434?s=40&v=4", + "name": "thalesmg", + "url": "https://github.com/thalesmg" }, { - "avatar": "https://avatars.githubusercontent.com/u/56249?s=40&v=4", - "name": "plux", - "url": "https://github.com/plux" + "avatar": "https://avatars.githubusercontent.com/u/3116225?s=40&v=4", + "name": "zhongwencool", + "url": "https://github.com/zhongwencool" }, { - "avatar": "https://avatars.githubusercontent.com/u/409607?s=40&v=4", - "name": "alanz", - "url": "https://github.com/alanz" + "avatar": "https://avatars.githubusercontent.com/u/13825269?s=40&v=4", + "name": "HJianBo", + "url": "https://github.com/HJianBo" }, { - "avatar": "https://avatars.githubusercontent.com/u/111762?s=40&v=4", - "name": "garazdawi", - "url": "https://github.com/garazdawi" + "avatar": "https://avatars.githubusercontent.com/u/1148366?s=40&v=4", + "name": "keynslug", + "url": "https://github.com/keynslug" } ] }, @@ -153,76 +79,76 @@ ] }, { - "title": "ninenines/cowlib", - "url": "https://github.com/ninenines/cowlib", - "description": "Support library for manipulating Web protocols.", + "title": "epgsql/epgsql", + "url": "https://github.com/epgsql/epgsql", + "description": "Erlang PostgreSQL client library.", "language": "Erlang", "languageColor": "#B83998", - "stars": "281", - "forks": "172", - "addStars": "0", + "stars": "419", + "forks": "162", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/141739?s=40&v=4", - "name": "essen", - "url": "https://github.com/essen" + "avatar": "https://avatars.githubusercontent.com/u/422014?s=40&v=4", + "name": "seriyps", + "url": "https://github.com/seriyps" }, { - "avatar": "https://avatars.githubusercontent.com/u/439058?s=40&v=4", - "name": "manifest", - "url": "https://github.com/manifest" + "avatar": "https://avatars.githubusercontent.com/u/302767?s=40&v=4", + "name": "mabrek", + "url": "https://github.com/mabrek" }, { - "avatar": "https://avatars.githubusercontent.com/u/32519?s=40&v=4", - "name": "ppolv", - "url": "https://github.com/ppolv" + "avatar": "https://avatars.githubusercontent.com/u/5064?s=40&v=4", + "name": "davidw", + "url": "https://github.com/davidw" }, { - "avatar": "https://avatars.githubusercontent.com/u/273886?s=40&v=4", - "name": "zuiderkwast", - "url": "https://github.com/zuiderkwast" + "avatar": "https://avatars.githubusercontent.com/u/94529?s=40&v=4", + "name": "wg", + "url": "https://github.com/wg" }, { - "avatar": "https://avatars.githubusercontent.com/u/1253659?s=40&v=4", - "name": "tony612", - "url": "https://github.com/tony612" + "avatar": "https://avatars.githubusercontent.com/u/1423421?s=40&v=4", + "name": "enidgjoleka", + "url": "https://github.com/enidgjoleka" } ] }, { - "title": "josefs/Gradualizer", - "url": "https://github.com/josefs/Gradualizer", - "description": "A Gradual type system for Erlang", + "title": "chef/chef-server", + "url": "https://github.com/chef/chef-server", + "description": "Chef Infra Server is a hub for configuration data; storing cookbooks, node policies and metadata of managed nodes.", "language": "Erlang", "languageColor": "#B83998", - "stars": "613", - "forks": "35", - "addStars": "0", + "stars": "291", + "forks": "210", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/112145?s=40&v=4", - "name": "erszcz", - "url": "https://github.com/erszcz" + "avatar": "https://avatars.githubusercontent.com/u/852371?s=40&v=4", + "name": "stevendanna", + "url": "https://github.com/stevendanna" }, { - "avatar": "https://avatars.githubusercontent.com/u/152020?s=40&v=4", - "name": "josefs", - "url": "https://github.com/josefs" + "avatar": "https://avatars.githubusercontent.com/u/11068739?s=40&v=4", + "name": "chef-ci", + "url": "https://github.com/chef-ci" }, { - "avatar": "https://avatars.githubusercontent.com/u/273886?s=40&v=4", - "name": "zuiderkwast", - "url": "https://github.com/zuiderkwast" + "avatar": "https://avatars.githubusercontent.com/u/1130204?s=40&v=4", + "name": "marcparadise", + "url": "https://github.com/marcparadise" }, { - "avatar": "https://avatars.githubusercontent.com/u/3725991?s=40&v=4", - "name": "gomoripeti", - "url": "https://github.com/gomoripeti" + "avatar": "https://avatars.githubusercontent.com/u/1015200?s=40&v=4", + "name": "tas50", + "url": "https://github.com/tas50" }, { - "avatar": "https://avatars.githubusercontent.com/u/908496?s=40&v=4", - "name": "Zalastax", - "url": "https://github.com/Zalastax" + "avatar": "https://avatars.githubusercontent.com/u/1627015?s=40&v=4", + "name": "PrajaktaPurohit", + "url": "https://github.com/PrajaktaPurohit" } ] }, @@ -264,76 +190,150 @@ ] }, { - "title": "emqx/emqx", - "url": "https://github.com/emqx/emqx", - "description": "The most scalable open-source MQTT broker for IoT, IIoT, and connected vehicles", + "title": "erlang/otp", + "url": "https://github.com/erlang/otp", + "description": "Erlang/OTP", "language": "Erlang", "languageColor": "#B83998", - "stars": "14,148", - "forks": "2,244", - "addStars": "4", + "stars": "11,409", + "forks": "2,954", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/164324?s=40&v=4", - "name": "zmstone", - "url": "https://github.com/zmstone" + "avatar": "https://avatars.githubusercontent.com/u/74840?s=40&v=4", + "name": "bjorng", + "url": "https://github.com/bjorng" }, { - "avatar": "https://avatars.githubusercontent.com/u/16166434?s=40&v=4", - "name": "thalesmg", - "url": "https://github.com/thalesmg" + "avatar": "https://avatars.githubusercontent.com/u/158050?s=40&v=4", + "name": "bmk", + "url": "https://github.com/bmk" }, { - "avatar": "https://avatars.githubusercontent.com/u/3116225?s=40&v=4", - "name": "zhongwencool", - "url": "https://github.com/zhongwencool" + "avatar": "https://avatars.githubusercontent.com/u/111762?s=40&v=4", + "name": "garazdawi", + "url": "https://github.com/garazdawi" }, { - "avatar": "https://avatars.githubusercontent.com/u/13825269?s=40&v=4", - "name": "HJianBo", - "url": "https://github.com/HJianBo" + "avatar": "https://avatars.githubusercontent.com/u/294507?s=40&v=4", + "name": "IngelaAndin", + "url": "https://github.com/IngelaAndin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1148366?s=40&v=4", - "name": "keynslug", - "url": "https://github.com/keynslug" + "avatar": "https://avatars.githubusercontent.com/u/229591?s=40&v=4", + "name": "sverker", + "url": "https://github.com/sverker" } ] }, { - "title": "proper-testing/proper", - "url": "https://github.com/proper-testing/proper", - "description": "PropEr: a QuickCheck-inspired property-based testing tool for Erlang", + "title": "ninenines/cowlib", + "url": "https://github.com/ninenines/cowlib", + "description": "Support library for manipulating Web protocols.", "language": "Erlang", "languageColor": "#B83998", - "stars": "882", - "forks": "167", + "stars": "281", + "forks": "172", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/165033?s=40&v=4", - "name": "kostis", - "url": "https://github.com/kostis" + "avatar": "https://avatars.githubusercontent.com/u/141739?s=40&v=4", + "name": "essen", + "url": "https://github.com/essen" }, { - "avatar": "https://avatars.githubusercontent.com/u/215728?s=40&v=4", - "name": "manopapad", - "url": "https://github.com/manopapad" + "avatar": "https://avatars.githubusercontent.com/u/439058?s=40&v=4", + "name": "manifest", + "url": "https://github.com/manifest" }, { - "avatar": "https://avatars.githubusercontent.com/u/422347?s=40&v=4", - "name": "eiriniar", - "url": "https://github.com/eiriniar" + "avatar": "https://avatars.githubusercontent.com/u/32519?s=40&v=4", + "name": "ppolv", + "url": "https://github.com/ppolv" }, { - "avatar": "https://avatars.githubusercontent.com/u/34399797?s=40&v=4", - "name": "xspirus", - "url": "https://github.com/xspirus" + "avatar": "https://avatars.githubusercontent.com/u/273886?s=40&v=4", + "name": "zuiderkwast", + "url": "https://github.com/zuiderkwast" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1253659?s=40&v=4", + "name": "tony612", + "url": "https://github.com/tony612" + } + ] + }, + { + "title": "erlydtl/erlydtl", + "url": "https://github.com/erlydtl/erlydtl", + "description": "Django templates for Erlang", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "504", + "forks": "190", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/72965?s=40&v=4", + "name": "kaos", + "url": "https://github.com/kaos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/134711?s=40&v=4", + "name": "evanmiller", + "url": "https://github.com/evanmiller" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16122?s=40&v=4", + "name": "rsaccon", + "url": "https://github.com/rsaccon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/422014?s=40&v=4", + "name": "seriyps", + "url": "https://github.com/seriyps" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1273?s=40&v=4", + "name": "noss", + "url": "https://github.com/noss" + } + ] + }, + { + "title": "sile/jsone", + "url": "https://github.com/sile/jsone", + "description": "Erlang JSON library", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "291", + "forks": "71", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/181413?s=40&v=4", + "name": "sile", + "url": "https://github.com/sile" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45734?s=40&v=4", + "name": "pichi", + "url": "https://github.com/pichi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/870638?s=40&v=4", + "name": "srenatus", + "url": "https://github.com/srenatus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14498?s=40&v=4", + "name": "benoitc", + "url": "https://github.com/benoitc" }, { - "avatar": "https://avatars.githubusercontent.com/u/292239?s=40&v=4", - "name": "TheGeorge", - "url": "https://github.com/TheGeorge" + "avatar": "https://avatars.githubusercontent.com/u/119093?s=40&v=4", + "name": "Licenser", + "url": "https://github.com/Licenser" } ] }, @@ -375,150 +375,113 @@ ] }, { - "title": "eproxus/meck", - "url": "https://github.com/eproxus/meck", - "description": "A mocking library for Erlang", - "language": "Erlang", - "languageColor": "#B83998", - "stars": "815", - "forks": "231", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/112878?s=40&v=4", - "name": "eproxus", - "url": "https://github.com/eproxus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/798874?s=40&v=4", - "name": "horkhe", - "url": "https://github.com/horkhe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/548769?s=40&v=4", - "name": "daha", - "url": "https://github.com/daha" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7303768?s=40&v=4", - "name": "paulo-ferraz-oliveira", - "url": "https://github.com/paulo-ferraz-oliveira" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12242?s=40&v=4", - "name": "myers", - "url": "https://github.com/myers" - } - ] - }, - { - "title": "uwiger/gproc", - "url": "https://github.com/uwiger/gproc", - "description": "Extended process registry for Erlang", + "title": "erlang-ls/erlang_ls", + "url": "https://github.com/erlang-ls/erlang_ls", + "description": "The Erlang Language Server", "language": "Erlang", "languageColor": "#B83998", - "stars": "1,071", - "forks": "232", + "stars": "633", + "forks": "137", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/160216?s=40&v=4", - "name": "uwiger", - "url": "https://github.com/uwiger" + "avatar": "https://avatars.githubusercontent.com/u/91769?s=40&v=4", + "name": "robertoaloi", + "url": "https://github.com/robertoaloi" }, { - "avatar": "https://avatars.githubusercontent.com/u/72710?s=40&v=4", - "name": "norton", - "url": "https://github.com/norton" + "avatar": "https://avatars.githubusercontent.com/u/1522569?s=40&v=4", + "name": "jfacorro", + "url": "https://github.com/jfacorro" }, { - "avatar": "https://avatars.githubusercontent.com/u/1107?s=40&v=4", - "name": "tolbrino", - "url": "https://github.com/tolbrino" + "avatar": "https://avatars.githubusercontent.com/u/56249?s=40&v=4", + "name": "plux", + "url": "https://github.com/plux" }, { - "avatar": "https://avatars.githubusercontent.com/u/41835?s=40&v=4", - "name": "lemenkov", - "url": "https://github.com/lemenkov" + "avatar": "https://avatars.githubusercontent.com/u/409607?s=40&v=4", + "name": "alanz", + "url": "https://github.com/alanz" }, { - "avatar": "https://avatars.githubusercontent.com/u/144281?s=40&v=4", - "name": "sg2342", - "url": "https://github.com/sg2342" + "avatar": "https://avatars.githubusercontent.com/u/111762?s=40&v=4", + "name": "garazdawi", + "url": "https://github.com/garazdawi" } ] }, { - "title": "willemdj/erlsom", - "url": "https://github.com/willemdj/erlsom", - "description": "XML parser for Erlang", + "title": "erlang/rebar3", + "url": "https://github.com/erlang/rebar3", + "description": "Erlang build tool that makes it easy to compile and test Erlang applications and releases.", "language": "Erlang", "languageColor": "#B83998", - "stars": "267", - "forks": "103", + "stars": "1,701", + "forks": "518", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/156615?s=40&v=4", - "name": "willemdj", - "url": "https://github.com/willemdj" + "avatar": "https://avatars.githubusercontent.com/u/111141?s=40&v=4", + "name": "ferd", + "url": "https://github.com/ferd" }, { - "avatar": "https://avatars.githubusercontent.com/u/12833?s=40&v=4", - "name": "zuckschwerdt", - "url": "https://github.com/zuckschwerdt" + "avatar": "https://avatars.githubusercontent.com/u/36227?s=40&v=4", + "name": "tsloughter", + "url": "https://github.com/tsloughter" }, { - "avatar": "https://avatars.githubusercontent.com/u/113785?s=40&v=4", - "name": "reachfh", - "url": "https://github.com/reachfh" + "avatar": "https://avatars.githubusercontent.com/u/20146?s=40&v=4", + "name": "dizzyd", + "url": "https://github.com/dizzyd" }, { - "avatar": "https://avatars.githubusercontent.com/u/639796?s=40&v=4", - "name": "arcusfelis", - "url": "https://github.com/arcusfelis" + "avatar": "https://avatars.githubusercontent.com/u/7303768?s=40&v=4", + "name": "paulo-ferraz-oliveira", + "url": "https://github.com/paulo-ferraz-oliveira" }, { - "avatar": "https://avatars.githubusercontent.com/u/134183?s=40&v=4", - "name": "jcomellas", - "url": "https://github.com/jcomellas" + "avatar": "https://avatars.githubusercontent.com/u/718326?s=40&v=4", + "name": "jaredmorrow", + "url": "https://github.com/jaredmorrow" } ] }, { - "title": "benoitc/hackney", - "url": "https://github.com/benoitc/hackney", - "description": "simple HTTP client in Erlang", + "title": "talentdeficit/jsx", + "url": "https://github.com/talentdeficit/jsx", + "description": "an erlang application for consuming, producing and manipulating json. inspired by yajl", "language": "Erlang", "languageColor": "#B83998", - "stars": "1,337", - "forks": "427", + "stars": "694", + "forks": "217", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/14498?s=40&v=4", - "name": "benoitc", - "url": "https://github.com/benoitc" + "avatar": "https://avatars.githubusercontent.com/u/36227?s=40&v=4", + "name": "tsloughter", + "url": "https://github.com/tsloughter" }, { - "avatar": "https://avatars.githubusercontent.com/u/2064785?s=40&v=4", - "name": "tnt-dev", - "url": "https://github.com/tnt-dev" + "avatar": "https://avatars.githubusercontent.com/u/7303768?s=40&v=4", + "name": "paulo-ferraz-oliveira", + "url": "https://github.com/paulo-ferraz-oliveira" }, { - "avatar": "https://avatars.githubusercontent.com/u/30873?s=40&v=4", - "name": "edgurgel", - "url": "https://github.com/edgurgel" + "avatar": "https://avatars.githubusercontent.com/u/4431?s=40&v=4", + "name": "talentdeficit", + "url": "https://github.com/talentdeficit" }, { - "avatar": "https://avatars.githubusercontent.com/u/248290?s=40&v=4", - "name": "lexmag", - "url": "https://github.com/lexmag" + "avatar": "https://avatars.githubusercontent.com/u/61850?s=40&v=4", + "name": "okeuday", + "url": "https://github.com/okeuday" }, { - "avatar": "https://avatars.githubusercontent.com/u/172311?s=40&v=4", - "name": "deadtrickster", - "url": "https://github.com/deadtrickster" + "avatar": "https://avatars.githubusercontent.com/u/5471891?s=40&v=4", + "name": "Ledest", + "url": "https://github.com/Ledest" } ] }, @@ -560,13 +523,13 @@ ] }, { - "title": "open-telemetry/opentelemetry-erlang", - "url": "https://github.com/open-telemetry/opentelemetry-erlang", - "description": "OpenTelemetry Erlang SDK", + "title": "erlware/relx", + "url": "https://github.com/erlware/relx", + "description": "Sane, simple release creation for Erlang", "language": "Erlang", "languageColor": "#B83998", - "stars": "333", - "forks": "105", + "stars": "697", + "forks": "231", "addStars": "0", "contributors": [ { @@ -575,130 +538,135 @@ "url": "https://github.com/tsloughter" }, { - "avatar": "https://avatars.githubusercontent.com/u/5731285?s=40&v=4", - "name": "bryannaegele", - "url": "https://github.com/bryannaegele" + "avatar": "https://avatars.githubusercontent.com/u/4828?s=40&v=4", + "name": "ericbmerritt", + "url": "https://github.com/ericbmerritt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36241?s=40&v=4", + "name": "jwilberding", + "url": "https://github.com/jwilberding" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/639415?s=40&v=4", + "name": "lrascao", + "url": "https://github.com/lrascao" }, { "avatar": "https://avatars.githubusercontent.com/u/111141?s=40&v=4", "name": "ferd", "url": "https://github.com/ferd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5650488?s=40&v=4", - "name": "albertored", - "url": "https://github.com/albertored" } ] }, { - "title": "epgsql/epgsql", - "url": "https://github.com/epgsql/epgsql", - "description": "Erlang PostgreSQL client library.", + "title": "rustyio/sync", + "url": "https://github.com/rustyio/sync", + "description": "On-the-fly recompiling and reloading in Erlang. Code without friction.", "language": "Erlang", "languageColor": "#B83998", - "stars": "419", - "forks": "162", - "addStars": "1", + "stars": "749", + "forks": "163", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/422014?s=40&v=4", - "name": "seriyps", - "url": "https://github.com/seriyps" + "avatar": "https://avatars.githubusercontent.com/u/186524?s=40&v=4", + "name": "choptastic", + "url": "https://github.com/choptastic" }, { - "avatar": "https://avatars.githubusercontent.com/u/302767?s=40&v=4", - "name": "mabrek", - "url": "https://github.com/mabrek" + "avatar": "https://avatars.githubusercontent.com/u/28964?s=40&v=4", + "name": "rustyio", + "url": "https://github.com/rustyio" }, { - "avatar": "https://avatars.githubusercontent.com/u/5064?s=40&v=4", - "name": "davidw", - "url": "https://github.com/davidw" + "avatar": "https://avatars.githubusercontent.com/u/93657?s=40&v=4", + "name": "elbrujohalcon", + "url": "https://github.com/elbrujohalcon" }, { - "avatar": "https://avatars.githubusercontent.com/u/94529?s=40&v=4", - "name": "wg", - "url": "https://github.com/wg" + "avatar": "https://avatars.githubusercontent.com/u/3865300?s=40&v=4", + "name": "Lol4t0", + "url": "https://github.com/Lol4t0" }, { - "avatar": "https://avatars.githubusercontent.com/u/1423421?s=40&v=4", - "name": "enidgjoleka", - "url": "https://github.com/enidgjoleka" + "avatar": "https://avatars.githubusercontent.com/u/708161?s=40&v=4", + "name": "andreineculau", + "url": "https://github.com/andreineculau" } ] }, { - "title": "chef/chef-server", - "url": "https://github.com/chef/chef-server", - "description": "Chef Infra Server is a hub for configuration data; storing cookbooks, node policies and metadata of managed nodes.", + "title": "benoitc/hackney", + "url": "https://github.com/benoitc/hackney", + "description": "simple HTTP client in Erlang", "language": "Erlang", "languageColor": "#B83998", - "stars": "291", - "forks": "210", - "addStars": "1", + "stars": "1,337", + "forks": "427", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/852371?s=40&v=4", - "name": "stevendanna", - "url": "https://github.com/stevendanna" + "avatar": "https://avatars.githubusercontent.com/u/14498?s=40&v=4", + "name": "benoitc", + "url": "https://github.com/benoitc" }, { - "avatar": "https://avatars.githubusercontent.com/u/11068739?s=40&v=4", - "name": "chef-ci", - "url": "https://github.com/chef-ci" + "avatar": "https://avatars.githubusercontent.com/u/2064785?s=40&v=4", + "name": "tnt-dev", + "url": "https://github.com/tnt-dev" }, { - "avatar": "https://avatars.githubusercontent.com/u/1130204?s=40&v=4", - "name": "marcparadise", - "url": "https://github.com/marcparadise" + "avatar": "https://avatars.githubusercontent.com/u/30873?s=40&v=4", + "name": "edgurgel", + "url": "https://github.com/edgurgel" }, { - "avatar": "https://avatars.githubusercontent.com/u/1015200?s=40&v=4", - "name": "tas50", - "url": "https://github.com/tas50" + "avatar": "https://avatars.githubusercontent.com/u/248290?s=40&v=4", + "name": "lexmag", + "url": "https://github.com/lexmag" }, { - "avatar": "https://avatars.githubusercontent.com/u/1627015?s=40&v=4", - "name": "PrajaktaPurohit", - "url": "https://github.com/PrajaktaPurohit" + "avatar": "https://avatars.githubusercontent.com/u/172311?s=40&v=4", + "name": "deadtrickster", + "url": "https://github.com/deadtrickster" } ] }, { - "title": "rustyio/sync", - "url": "https://github.com/rustyio/sync", - "description": "On-the-fly recompiling and reloading in Erlang. Code without friction.", + "title": "willemdj/erlsom", + "url": "https://github.com/willemdj/erlsom", + "description": "XML parser for Erlang", "language": "Erlang", "languageColor": "#B83998", - "stars": "749", - "forks": "163", + "stars": "267", + "forks": "103", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/186524?s=40&v=4", - "name": "choptastic", - "url": "https://github.com/choptastic" + "avatar": "https://avatars.githubusercontent.com/u/156615?s=40&v=4", + "name": "willemdj", + "url": "https://github.com/willemdj" }, { - "avatar": "https://avatars.githubusercontent.com/u/28964?s=40&v=4", - "name": "rustyio", - "url": "https://github.com/rustyio" + "avatar": "https://avatars.githubusercontent.com/u/12833?s=40&v=4", + "name": "zuckschwerdt", + "url": "https://github.com/zuckschwerdt" }, { - "avatar": "https://avatars.githubusercontent.com/u/93657?s=40&v=4", - "name": "elbrujohalcon", - "url": "https://github.com/elbrujohalcon" + "avatar": "https://avatars.githubusercontent.com/u/113785?s=40&v=4", + "name": "reachfh", + "url": "https://github.com/reachfh" }, { - "avatar": "https://avatars.githubusercontent.com/u/3865300?s=40&v=4", - "name": "Lol4t0", - "url": "https://github.com/Lol4t0" + "avatar": "https://avatars.githubusercontent.com/u/639796?s=40&v=4", + "name": "arcusfelis", + "url": "https://github.com/arcusfelis" }, { - "avatar": "https://avatars.githubusercontent.com/u/708161?s=40&v=4", - "name": "andreineculau", - "url": "https://github.com/andreineculau" + "avatar": "https://avatars.githubusercontent.com/u/134183?s=40&v=4", + "name": "jcomellas", + "url": "https://github.com/jcomellas" } ] }, @@ -708,7 +676,7 @@ "description": "Small, fast, modern HTTP server for Erlang/OTP.", "language": "Erlang", "languageColor": "#B83998", - "stars": "7,310", + "stars": "7,311", "forks": "1,168", "addStars": "0", "contributors": [ @@ -738,6 +706,43 @@ "url": "https://github.com/fishcakez" } ] + }, + { + "title": "ninenines/gun", + "url": "https://github.com/ninenines/gun", + "description": "HTTP/1.1, HTTP/2, Websocket client (and more) for Erlang/OTP.", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "904", + "forks": "229", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/141739?s=40&v=4", + "name": "essen", + "url": "https://github.com/essen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/273886?s=40&v=4", + "name": "zuiderkwast", + "url": "https://github.com/zuiderkwast" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1524063?s=40&v=4", + "name": "unix1", + "url": "https://github.com/unix1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/439058?s=40&v=4", + "name": "manifest", + "url": "https://github.com/manifest" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30848?s=40&v=4", + "name": "filmor", + "url": "https://github.com/filmor" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/erlang.xml b/data/daily/erlang.xml index f1ff71dbb3eb..849210dbfd3d 100644 --- a/data/daily/erlang.xml +++ b/data/daily/erlang.xml @@ -3,118 +3,42 @@ GitHub Erlang Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Erlang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - erlang/otp - https://github.com/erlang/otp - Erlang/OTP - https://github.com/erlang/otp - Erlang - #B83998 - 11,408 - 2,954 - 1 - - - https://avatars.githubusercontent.com/u/74840?s=40&v=4 - bjorng - https://github.com/bjorng - - - https://avatars.githubusercontent.com/u/158050?s=40&v=4 - bmk - https://github.com/bmk - - - https://avatars.githubusercontent.com/u/111762?s=40&v=4 - garazdawi - https://github.com/garazdawi - - - https://avatars.githubusercontent.com/u/294507?s=40&v=4 - IngelaAndin - https://github.com/IngelaAndin - - - https://avatars.githubusercontent.com/u/229591?s=40&v=4 - sverker - https://github.com/sverker - - - - - sile/jsone - https://github.com/sile/jsone - Erlang JSON library - https://github.com/sile/jsone - Erlang - #B83998 - 291 - 71 - 0 - - - https://avatars.githubusercontent.com/u/181413?s=40&v=4 - sile - https://github.com/sile - - - https://avatars.githubusercontent.com/u/45734?s=40&v=4 - pichi - https://github.com/pichi - - - https://avatars.githubusercontent.com/u/870638?s=40&v=4 - srenatus - https://github.com/srenatus - - - https://avatars.githubusercontent.com/u/14498?s=40&v=4 - benoitc - https://github.com/benoitc - - - https://avatars.githubusercontent.com/u/119093?s=40&v=4 - Licenser - https://github.com/Licenser - - - - - erlang-ls/erlang_ls - https://github.com/erlang-ls/erlang_ls - The Erlang Language Server - https://github.com/erlang-ls/erlang_ls + emqx/emqx + https://github.com/emqx/emqx + The most scalable open-source MQTT broker for IoT, IIoT, and connected vehicles + https://github.com/emqx/emqx Erlang #B83998 - 633 - 137 - 1 + 14,148 + 2,245 + 4 - https://avatars.githubusercontent.com/u/91769?s=40&v=4 - robertoaloi - https://github.com/robertoaloi + https://avatars.githubusercontent.com/u/164324?s=40&v=4 + zmstone + https://github.com/zmstone - https://avatars.githubusercontent.com/u/1522569?s=40&v=4 - jfacorro - https://github.com/jfacorro + https://avatars.githubusercontent.com/u/16166434?s=40&v=4 + thalesmg + https://github.com/thalesmg - https://avatars.githubusercontent.com/u/56249?s=40&v=4 - plux - https://github.com/plux + https://avatars.githubusercontent.com/u/3116225?s=40&v=4 + zhongwencool + https://github.com/zhongwencool - https://avatars.githubusercontent.com/u/409607?s=40&v=4 - alanz - https://github.com/alanz + https://avatars.githubusercontent.com/u/13825269?s=40&v=4 + HJianBo + https://github.com/HJianBo - https://avatars.githubusercontent.com/u/111762?s=40&v=4 - garazdawi - https://github.com/garazdawi + https://avatars.githubusercontent.com/u/1148366?s=40&v=4 + keynslug + https://github.com/keynslug @@ -157,78 +81,78 @@ - ninenines/cowlib - https://github.com/ninenines/cowlib - Support library for manipulating Web protocols. - https://github.com/ninenines/cowlib + epgsql/epgsql + https://github.com/epgsql/epgsql + Erlang PostgreSQL client library. + https://github.com/epgsql/epgsql Erlang #B83998 - 281 - 172 - 0 + 419 + 162 + 1 - https://avatars.githubusercontent.com/u/141739?s=40&v=4 - essen - https://github.com/essen + https://avatars.githubusercontent.com/u/422014?s=40&v=4 + seriyps + https://github.com/seriyps - https://avatars.githubusercontent.com/u/439058?s=40&v=4 - manifest - https://github.com/manifest + https://avatars.githubusercontent.com/u/302767?s=40&v=4 + mabrek + https://github.com/mabrek - https://avatars.githubusercontent.com/u/32519?s=40&v=4 - ppolv - https://github.com/ppolv + https://avatars.githubusercontent.com/u/5064?s=40&v=4 + davidw + https://github.com/davidw - https://avatars.githubusercontent.com/u/273886?s=40&v=4 - zuiderkwast - https://github.com/zuiderkwast + https://avatars.githubusercontent.com/u/94529?s=40&v=4 + wg + https://github.com/wg - https://avatars.githubusercontent.com/u/1253659?s=40&v=4 - tony612 - https://github.com/tony612 + https://avatars.githubusercontent.com/u/1423421?s=40&v=4 + enidgjoleka + https://github.com/enidgjoleka - josefs/Gradualizer - https://github.com/josefs/Gradualizer - A Gradual type system for Erlang - https://github.com/josefs/Gradualizer + chef/chef-server + https://github.com/chef/chef-server + Chef Infra Server is a hub for configuration data; storing cookbooks, node policies and metadata of managed nodes. + https://github.com/chef/chef-server Erlang #B83998 - 613 - 35 - 0 + 291 + 210 + 1 - https://avatars.githubusercontent.com/u/112145?s=40&v=4 - erszcz - https://github.com/erszcz + https://avatars.githubusercontent.com/u/852371?s=40&v=4 + stevendanna + https://github.com/stevendanna - https://avatars.githubusercontent.com/u/152020?s=40&v=4 - josefs - https://github.com/josefs + https://avatars.githubusercontent.com/u/11068739?s=40&v=4 + chef-ci + https://github.com/chef-ci - https://avatars.githubusercontent.com/u/273886?s=40&v=4 - zuiderkwast - https://github.com/zuiderkwast + https://avatars.githubusercontent.com/u/1130204?s=40&v=4 + marcparadise + https://github.com/marcparadise - https://avatars.githubusercontent.com/u/3725991?s=40&v=4 - gomoripeti - https://github.com/gomoripeti + https://avatars.githubusercontent.com/u/1015200?s=40&v=4 + tas50 + https://github.com/tas50 - https://avatars.githubusercontent.com/u/908496?s=40&v=4 - Zalastax - https://github.com/Zalastax + https://avatars.githubusercontent.com/u/1627015?s=40&v=4 + PrajaktaPurohit + https://github.com/PrajaktaPurohit @@ -271,268 +195,306 @@ - emqx/emqx - https://github.com/emqx/emqx - The most scalable open-source MQTT broker for IoT, IIoT, and connected vehicles - https://github.com/emqx/emqx + erlang/otp + https://github.com/erlang/otp + Erlang/OTP + https://github.com/erlang/otp Erlang #B83998 - 14,148 - 2,244 - 4 + 11,409 + 2,954 + 1 - https://avatars.githubusercontent.com/u/164324?s=40&v=4 - zmstone - https://github.com/zmstone + https://avatars.githubusercontent.com/u/74840?s=40&v=4 + bjorng + https://github.com/bjorng - https://avatars.githubusercontent.com/u/16166434?s=40&v=4 - thalesmg - https://github.com/thalesmg + https://avatars.githubusercontent.com/u/158050?s=40&v=4 + bmk + https://github.com/bmk - https://avatars.githubusercontent.com/u/3116225?s=40&v=4 - zhongwencool - https://github.com/zhongwencool + https://avatars.githubusercontent.com/u/111762?s=40&v=4 + garazdawi + https://github.com/garazdawi - https://avatars.githubusercontent.com/u/13825269?s=40&v=4 - HJianBo - https://github.com/HJianBo + https://avatars.githubusercontent.com/u/294507?s=40&v=4 + IngelaAndin + https://github.com/IngelaAndin - https://avatars.githubusercontent.com/u/1148366?s=40&v=4 - keynslug - https://github.com/keynslug + https://avatars.githubusercontent.com/u/229591?s=40&v=4 + sverker + https://github.com/sverker - proper-testing/proper - https://github.com/proper-testing/proper - PropEr: a QuickCheck-inspired property-based testing tool for Erlang - https://github.com/proper-testing/proper + ninenines/cowlib + https://github.com/ninenines/cowlib + Support library for manipulating Web protocols. + https://github.com/ninenines/cowlib Erlang #B83998 - 882 - 167 + 281 + 172 0 - https://avatars.githubusercontent.com/u/165033?s=40&v=4 - kostis - https://github.com/kostis + https://avatars.githubusercontent.com/u/141739?s=40&v=4 + essen + https://github.com/essen - https://avatars.githubusercontent.com/u/215728?s=40&v=4 - manopapad - https://github.com/manopapad + https://avatars.githubusercontent.com/u/439058?s=40&v=4 + manifest + https://github.com/manifest - https://avatars.githubusercontent.com/u/422347?s=40&v=4 - eiriniar - https://github.com/eiriniar + https://avatars.githubusercontent.com/u/32519?s=40&v=4 + ppolv + https://github.com/ppolv - https://avatars.githubusercontent.com/u/34399797?s=40&v=4 - xspirus - https://github.com/xspirus + https://avatars.githubusercontent.com/u/273886?s=40&v=4 + zuiderkwast + https://github.com/zuiderkwast - https://avatars.githubusercontent.com/u/292239?s=40&v=4 - TheGeorge - https://github.com/TheGeorge + https://avatars.githubusercontent.com/u/1253659?s=40&v=4 + tony612 + https://github.com/tony612 - ninenines/ranch - https://github.com/ninenines/ranch - Socket acceptor pool for TCP protocols. - https://github.com/ninenines/ranch + erlydtl/erlydtl + https://github.com/erlydtl/erlydtl + Django templates for Erlang + https://github.com/erlydtl/erlydtl Erlang #B83998 - 1,191 - 336 + 504 + 190 0 - https://avatars.githubusercontent.com/u/141739?s=40&v=4 - essen - https://github.com/essen + https://avatars.githubusercontent.com/u/72965?s=40&v=4 + kaos + https://github.com/kaos - https://avatars.githubusercontent.com/u/23236642?s=40&v=4 - juhlig - https://github.com/juhlig + https://avatars.githubusercontent.com/u/134711?s=40&v=4 + evanmiller + https://github.com/evanmiller - https://avatars.githubusercontent.com/u/67057258?s=40&v=4 - Maria-12648430 - https://github.com/Maria-12648430 + https://avatars.githubusercontent.com/u/16122?s=40&v=4 + rsaccon + https://github.com/rsaccon - https://avatars.githubusercontent.com/u/2001229?s=40&v=4 - fishcakez - https://github.com/fishcakez + https://avatars.githubusercontent.com/u/422014?s=40&v=4 + seriyps + https://github.com/seriyps - https://avatars.githubusercontent.com/u/1148366?s=40&v=4 - keynslug - https://github.com/keynslug + https://avatars.githubusercontent.com/u/1273?s=40&v=4 + noss + https://github.com/noss - eproxus/meck - https://github.com/eproxus/meck - A mocking library for Erlang - https://github.com/eproxus/meck + sile/jsone + https://github.com/sile/jsone + Erlang JSON library + https://github.com/sile/jsone Erlang #B83998 - 815 - 231 + 291 + 71 + 0 + + + https://avatars.githubusercontent.com/u/181413?s=40&v=4 + sile + https://github.com/sile + + + https://avatars.githubusercontent.com/u/45734?s=40&v=4 + pichi + https://github.com/pichi + + + https://avatars.githubusercontent.com/u/870638?s=40&v=4 + srenatus + https://github.com/srenatus + + + https://avatars.githubusercontent.com/u/14498?s=40&v=4 + benoitc + https://github.com/benoitc + + + https://avatars.githubusercontent.com/u/119093?s=40&v=4 + Licenser + https://github.com/Licenser + + + + + ninenines/ranch + https://github.com/ninenines/ranch + Socket acceptor pool for TCP protocols. + https://github.com/ninenines/ranch + Erlang + #B83998 + 1,191 + 336 0 - https://avatars.githubusercontent.com/u/112878?s=40&v=4 - eproxus - https://github.com/eproxus + https://avatars.githubusercontent.com/u/141739?s=40&v=4 + essen + https://github.com/essen - https://avatars.githubusercontent.com/u/798874?s=40&v=4 - horkhe - https://github.com/horkhe + https://avatars.githubusercontent.com/u/23236642?s=40&v=4 + juhlig + https://github.com/juhlig - https://avatars.githubusercontent.com/u/548769?s=40&v=4 - daha - https://github.com/daha + https://avatars.githubusercontent.com/u/67057258?s=40&v=4 + Maria-12648430 + https://github.com/Maria-12648430 - https://avatars.githubusercontent.com/u/7303768?s=40&v=4 - paulo-ferraz-oliveira - https://github.com/paulo-ferraz-oliveira + https://avatars.githubusercontent.com/u/2001229?s=40&v=4 + fishcakez + https://github.com/fishcakez - https://avatars.githubusercontent.com/u/12242?s=40&v=4 - myers - https://github.com/myers + https://avatars.githubusercontent.com/u/1148366?s=40&v=4 + keynslug + https://github.com/keynslug - uwiger/gproc - https://github.com/uwiger/gproc - Extended process registry for Erlang - https://github.com/uwiger/gproc + erlang-ls/erlang_ls + https://github.com/erlang-ls/erlang_ls + The Erlang Language Server + https://github.com/erlang-ls/erlang_ls Erlang #B83998 - 1,071 - 232 + 633 + 137 1 - https://avatars.githubusercontent.com/u/160216?s=40&v=4 - uwiger - https://github.com/uwiger + https://avatars.githubusercontent.com/u/91769?s=40&v=4 + robertoaloi + https://github.com/robertoaloi - https://avatars.githubusercontent.com/u/72710?s=40&v=4 - norton - https://github.com/norton + https://avatars.githubusercontent.com/u/1522569?s=40&v=4 + jfacorro + https://github.com/jfacorro - https://avatars.githubusercontent.com/u/1107?s=40&v=4 - tolbrino - https://github.com/tolbrino + https://avatars.githubusercontent.com/u/56249?s=40&v=4 + plux + https://github.com/plux - https://avatars.githubusercontent.com/u/41835?s=40&v=4 - lemenkov - https://github.com/lemenkov + https://avatars.githubusercontent.com/u/409607?s=40&v=4 + alanz + https://github.com/alanz - https://avatars.githubusercontent.com/u/144281?s=40&v=4 - sg2342 - https://github.com/sg2342 + https://avatars.githubusercontent.com/u/111762?s=40&v=4 + garazdawi + https://github.com/garazdawi - willemdj/erlsom - https://github.com/willemdj/erlsom - XML parser for Erlang - https://github.com/willemdj/erlsom + erlang/rebar3 + https://github.com/erlang/rebar3 + Erlang build tool that makes it easy to compile and test Erlang applications and releases. + https://github.com/erlang/rebar3 Erlang #B83998 - 267 - 103 + 1,701 + 518 0 - https://avatars.githubusercontent.com/u/156615?s=40&v=4 - willemdj - https://github.com/willemdj + https://avatars.githubusercontent.com/u/111141?s=40&v=4 + ferd + https://github.com/ferd - https://avatars.githubusercontent.com/u/12833?s=40&v=4 - zuckschwerdt - https://github.com/zuckschwerdt + https://avatars.githubusercontent.com/u/36227?s=40&v=4 + tsloughter + https://github.com/tsloughter - https://avatars.githubusercontent.com/u/113785?s=40&v=4 - reachfh - https://github.com/reachfh + https://avatars.githubusercontent.com/u/20146?s=40&v=4 + dizzyd + https://github.com/dizzyd - https://avatars.githubusercontent.com/u/639796?s=40&v=4 - arcusfelis - https://github.com/arcusfelis + https://avatars.githubusercontent.com/u/7303768?s=40&v=4 + paulo-ferraz-oliveira + https://github.com/paulo-ferraz-oliveira - https://avatars.githubusercontent.com/u/134183?s=40&v=4 - jcomellas - https://github.com/jcomellas + https://avatars.githubusercontent.com/u/718326?s=40&v=4 + jaredmorrow + https://github.com/jaredmorrow - benoitc/hackney - https://github.com/benoitc/hackney - simple HTTP client in Erlang - https://github.com/benoitc/hackney + talentdeficit/jsx + https://github.com/talentdeficit/jsx + an erlang application for consuming, producing and manipulating json. inspired by yajl + https://github.com/talentdeficit/jsx Erlang #B83998 - 1,337 - 427 + 694 + 217 0 - https://avatars.githubusercontent.com/u/14498?s=40&v=4 - benoitc - https://github.com/benoitc + https://avatars.githubusercontent.com/u/36227?s=40&v=4 + tsloughter + https://github.com/tsloughter - https://avatars.githubusercontent.com/u/2064785?s=40&v=4 - tnt-dev - https://github.com/tnt-dev + https://avatars.githubusercontent.com/u/7303768?s=40&v=4 + paulo-ferraz-oliveira + https://github.com/paulo-ferraz-oliveira - https://avatars.githubusercontent.com/u/30873?s=40&v=4 - edgurgel - https://github.com/edgurgel + https://avatars.githubusercontent.com/u/4431?s=40&v=4 + talentdeficit + https://github.com/talentdeficit - https://avatars.githubusercontent.com/u/248290?s=40&v=4 - lexmag - https://github.com/lexmag + https://avatars.githubusercontent.com/u/61850?s=40&v=4 + okeuday + https://github.com/okeuday - https://avatars.githubusercontent.com/u/172311?s=40&v=4 - deadtrickster - https://github.com/deadtrickster + https://avatars.githubusercontent.com/u/5471891?s=40&v=4 + Ledest + https://github.com/Ledest @@ -575,14 +537,14 @@ - open-telemetry/opentelemetry-erlang - https://github.com/open-telemetry/opentelemetry-erlang - OpenTelemetry Erlang SDK - https://github.com/open-telemetry/opentelemetry-erlang + erlware/relx + https://github.com/erlware/relx + Sane, simple release creation for Erlang + https://github.com/erlware/relx Erlang #B83998 - 333 - 105 + 697 + 231 0 @@ -591,133 +553,138 @@ https://github.com/tsloughter - https://avatars.githubusercontent.com/u/5731285?s=40&v=4 - bryannaegele - https://github.com/bryannaegele + https://avatars.githubusercontent.com/u/4828?s=40&v=4 + ericbmerritt + https://github.com/ericbmerritt + + + https://avatars.githubusercontent.com/u/36241?s=40&v=4 + jwilberding + https://github.com/jwilberding + + + https://avatars.githubusercontent.com/u/639415?s=40&v=4 + lrascao + https://github.com/lrascao https://avatars.githubusercontent.com/u/111141?s=40&v=4 ferd https://github.com/ferd - - https://avatars.githubusercontent.com/u/5650488?s=40&v=4 - albertored - https://github.com/albertored - - epgsql/epgsql - https://github.com/epgsql/epgsql - Erlang PostgreSQL client library. - https://github.com/epgsql/epgsql + rustyio/sync + https://github.com/rustyio/sync + On-the-fly recompiling and reloading in Erlang. Code without friction. + https://github.com/rustyio/sync Erlang #B83998 - 419 - 162 - 1 + 749 + 163 + 0 - https://avatars.githubusercontent.com/u/422014?s=40&v=4 - seriyps - https://github.com/seriyps + https://avatars.githubusercontent.com/u/186524?s=40&v=4 + choptastic + https://github.com/choptastic - https://avatars.githubusercontent.com/u/302767?s=40&v=4 - mabrek - https://github.com/mabrek + https://avatars.githubusercontent.com/u/28964?s=40&v=4 + rustyio + https://github.com/rustyio - https://avatars.githubusercontent.com/u/5064?s=40&v=4 - davidw - https://github.com/davidw + https://avatars.githubusercontent.com/u/93657?s=40&v=4 + elbrujohalcon + https://github.com/elbrujohalcon - https://avatars.githubusercontent.com/u/94529?s=40&v=4 - wg - https://github.com/wg + https://avatars.githubusercontent.com/u/3865300?s=40&v=4 + Lol4t0 + https://github.com/Lol4t0 - https://avatars.githubusercontent.com/u/1423421?s=40&v=4 - enidgjoleka - https://github.com/enidgjoleka + https://avatars.githubusercontent.com/u/708161?s=40&v=4 + andreineculau + https://github.com/andreineculau - chef/chef-server - https://github.com/chef/chef-server - Chef Infra Server is a hub for configuration data; storing cookbooks, node policies and metadata of managed nodes. - https://github.com/chef/chef-server + benoitc/hackney + https://github.com/benoitc/hackney + simple HTTP client in Erlang + https://github.com/benoitc/hackney Erlang #B83998 - 291 - 210 - 1 + 1,337 + 427 + 0 - https://avatars.githubusercontent.com/u/852371?s=40&v=4 - stevendanna - https://github.com/stevendanna + https://avatars.githubusercontent.com/u/14498?s=40&v=4 + benoitc + https://github.com/benoitc - https://avatars.githubusercontent.com/u/11068739?s=40&v=4 - chef-ci - https://github.com/chef-ci + https://avatars.githubusercontent.com/u/2064785?s=40&v=4 + tnt-dev + https://github.com/tnt-dev - https://avatars.githubusercontent.com/u/1130204?s=40&v=4 - marcparadise - https://github.com/marcparadise + https://avatars.githubusercontent.com/u/30873?s=40&v=4 + edgurgel + https://github.com/edgurgel - https://avatars.githubusercontent.com/u/1015200?s=40&v=4 - tas50 - https://github.com/tas50 + https://avatars.githubusercontent.com/u/248290?s=40&v=4 + lexmag + https://github.com/lexmag - https://avatars.githubusercontent.com/u/1627015?s=40&v=4 - PrajaktaPurohit - https://github.com/PrajaktaPurohit + https://avatars.githubusercontent.com/u/172311?s=40&v=4 + deadtrickster + https://github.com/deadtrickster - rustyio/sync - https://github.com/rustyio/sync - On-the-fly recompiling and reloading in Erlang. Code without friction. - https://github.com/rustyio/sync + willemdj/erlsom + https://github.com/willemdj/erlsom + XML parser for Erlang + https://github.com/willemdj/erlsom Erlang #B83998 - 749 - 163 + 267 + 103 0 - https://avatars.githubusercontent.com/u/186524?s=40&v=4 - choptastic - https://github.com/choptastic + https://avatars.githubusercontent.com/u/156615?s=40&v=4 + willemdj + https://github.com/willemdj - https://avatars.githubusercontent.com/u/28964?s=40&v=4 - rustyio - https://github.com/rustyio + https://avatars.githubusercontent.com/u/12833?s=40&v=4 + zuckschwerdt + https://github.com/zuckschwerdt - https://avatars.githubusercontent.com/u/93657?s=40&v=4 - elbrujohalcon - https://github.com/elbrujohalcon + https://avatars.githubusercontent.com/u/113785?s=40&v=4 + reachfh + https://github.com/reachfh - https://avatars.githubusercontent.com/u/3865300?s=40&v=4 - Lol4t0 - https://github.com/Lol4t0 + https://avatars.githubusercontent.com/u/639796?s=40&v=4 + arcusfelis + https://github.com/arcusfelis - https://avatars.githubusercontent.com/u/708161?s=40&v=4 - andreineculau - https://github.com/andreineculau + https://avatars.githubusercontent.com/u/134183?s=40&v=4 + jcomellas + https://github.com/jcomellas @@ -728,7 +695,7 @@ https://github.com/ninenines/cowboy Erlang #B83998 - 7,310 + 7,311 1,168 0 @@ -759,5 +726,43 @@ + + ninenines/gun + https://github.com/ninenines/gun + HTTP/1.1, HTTP/2, Websocket client (and more) for Erlang/OTP. + https://github.com/ninenines/gun + Erlang + #B83998 + 904 + 229 + 0 + + + https://avatars.githubusercontent.com/u/141739?s=40&v=4 + essen + https://github.com/essen + + + https://avatars.githubusercontent.com/u/273886?s=40&v=4 + zuiderkwast + https://github.com/zuiderkwast + + + https://avatars.githubusercontent.com/u/1524063?s=40&v=4 + unix1 + https://github.com/unix1 + + + https://avatars.githubusercontent.com/u/439058?s=40&v=4 + manifest + https://github.com/manifest + + + https://avatars.githubusercontent.com/u/30848?s=40&v=4 + filmor + https://github.com/filmor + + + \ No newline at end of file diff --git a/data/daily/euphoria.json b/data/daily/euphoria.json index 41c4892a5457..973f93388c81 100644 --- a/data/daily/euphoria.json +++ b/data/daily/euphoria.json @@ -2,6 +2,6 @@ "title": "GitHub Euphoria Languages Daily Trending", "description": "Daily Trending of Euphoria Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/euphoria.xml b/data/daily/euphoria.xml index 7994333dd5bc..68d51be50b90 100644 --- a/data/daily/euphoria.xml +++ b/data/daily/euphoria.xml @@ -3,6 +3,6 @@ GitHub Euphoria Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Euphoria Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/f#.json b/data/daily/f#.json index a5e9f89308bd..ef151fe163d4 100644 --- a/data/daily/f#.json +++ b/data/daily/f#.json @@ -2,6 +2,6 @@ "title": "GitHub F# Languages Daily Trending", "description": "Daily Trending of F# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/f#.xml b/data/daily/f#.xml index 7c36e9d69c2f..836c1dab9fd5 100644 --- a/data/daily/f#.xml +++ b/data/daily/f#.xml @@ -3,6 +3,6 @@ GitHub F# Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of F# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/f*.json b/data/daily/f*.json index 3598c7ce6437..3d799167f3f9 100644 --- a/data/daily/f*.json +++ b/data/daily/f*.json @@ -2,6 +2,6 @@ "title": "GitHub F* Languages Daily Trending", "description": "Daily Trending of F* Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/f*.xml b/data/daily/f*.xml index cafec9561b5e..d0f74df32b1b 100644 --- a/data/daily/f*.xml +++ b/data/daily/f*.xml @@ -3,6 +3,6 @@ GitHub F* Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of F* Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/factor.json b/data/daily/factor.json index 917242245b4a..e34aeddfb839 100644 --- a/data/daily/factor.json +++ b/data/daily/factor.json @@ -2,6 +2,6 @@ "title": "GitHub Factor Languages Daily Trending", "description": "Daily Trending of Factor Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/factor.xml b/data/daily/factor.xml index 538e4e9677b1..72e74fc8d3e7 100644 --- a/data/daily/factor.xml +++ b/data/daily/factor.xml @@ -3,6 +3,6 @@ GitHub Factor Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Factor Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/fancy.json b/data/daily/fancy.json index 9c2b82837f6f..498e93cc4399 100644 --- a/data/daily/fancy.json +++ b/data/daily/fancy.json @@ -2,6 +2,6 @@ "title": "GitHub Fancy Languages Daily Trending", "description": "Daily Trending of Fancy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/fancy.xml b/data/daily/fancy.xml index e93798c3d4db..4434bc0adcae 100644 --- a/data/daily/fancy.xml +++ b/data/daily/fancy.xml @@ -3,6 +3,6 @@ GitHub Fancy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Fancy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/fantom.json b/data/daily/fantom.json index 0d7d1e6b7117..4b9676d5a6e0 100644 --- a/data/daily/fantom.json +++ b/data/daily/fantom.json @@ -2,6 +2,6 @@ "title": "GitHub Fantom Languages Daily Trending", "description": "Daily Trending of Fantom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/fantom.xml b/data/daily/fantom.xml index ab98ad937d62..0ba7178d966b 100644 --- a/data/daily/fantom.xml +++ b/data/daily/fantom.xml @@ -3,6 +3,6 @@ GitHub Fantom Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Fantom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/faust.json b/data/daily/faust.json index f1cf4da06901..7a5dfe11808d 100644 --- a/data/daily/faust.json +++ b/data/daily/faust.json @@ -2,6 +2,6 @@ "title": "GitHub Faust Languages Daily Trending", "description": "Daily Trending of Faust Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/faust.xml b/data/daily/faust.xml index 0bc57b584198..26fd84fee10c 100644 --- a/data/daily/faust.xml +++ b/data/daily/faust.xml @@ -3,6 +3,6 @@ GitHub Faust Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Faust Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/fennel.json b/data/daily/fennel.json index b83bbac9431a..e927526f8f94 100644 --- a/data/daily/fennel.json +++ b/data/daily/fennel.json @@ -2,7 +2,7 @@ "title": "GitHub Fennel Languages Daily Trending", "description": "Daily Trending of Fennel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ggandor/leap.nvim", @@ -10,7 +10,7 @@ "description": "Neovim's answer to the mouse 🦘", "language": "Fennel", "languageColor": "#fff3d7", - "stars": "4,405", + "stars": "4,408", "forks": "46", "addStars": "3", "contributors": [ @@ -41,6 +41,43 @@ } ] }, + { + "title": "Olical/conjure", + "url": "https://github.com/Olical/conjure", + "description": "Interactive evaluation for Neovim (Clojure, Fennel, Janet, Racket, Hy, MIT Scheme, Guile, Python and more!)", + "language": "Fennel", + "languageColor": "#fff3d7", + "stars": "1,790", + "forks": "110", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/315229?s=40&v=4", + "name": "Olical", + "url": "https://github.com/Olical" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8532864?s=40&v=4", + "name": "russtoku", + "url": "https://github.com/russtoku" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12870742?s=40&v=4", + "name": "glyh", + "url": "https://github.com/glyh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25135057?s=40&v=4", + "name": "taw10", + "url": "https://github.com/taw10" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36173945?s=40&v=4", + "name": "TerseTears", + "url": "https://github.com/TerseTears" + } + ] + }, { "title": "nyoom-engineering/oxocarbon.nvim", "url": "https://github.com/nyoom-engineering/oxocarbon.nvim", @@ -79,14 +116,14 @@ ] }, { - "title": "Olical/conjure", - "url": "https://github.com/Olical/conjure", - "description": "Interactive evaluation for Neovim (Clojure, Fennel, Janet, Racket, Hy, MIT Scheme, Guile, Python and more!)", + "title": "Olical/nfnl", + "url": "https://github.com/Olical/nfnl", + "description": "Enhance your Neovim with Fennel", "language": "Fennel", "languageColor": "#fff3d7", - "stars": "1,790", - "forks": "110", - "addStars": "1", + "stars": "234", + "forks": "8", + "addStars": "0", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/315229?s=40&v=4", @@ -99,19 +136,19 @@ "url": "https://github.com/russtoku" }, { - "avatar": "https://avatars.githubusercontent.com/u/12870742?s=40&v=4", - "name": "glyh", - "url": "https://github.com/glyh" + "avatar": "https://avatars.githubusercontent.com/u/13547250?s=40&v=4", + "name": "Kamholtz", + "url": "https://github.com/Kamholtz" }, { - "avatar": "https://avatars.githubusercontent.com/u/25135057?s=40&v=4", - "name": "taw10", - "url": "https://github.com/taw10" + "avatar": "https://avatars.githubusercontent.com/u/3897953?s=40&v=4", + "name": "SolaWing", + "url": "https://github.com/SolaWing" }, { - "avatar": "https://avatars.githubusercontent.com/u/36173945?s=40&v=4", - "name": "TerseTears", - "url": "https://github.com/TerseTears" + "avatar": "https://avatars.githubusercontent.com/u/37723586?s=40&v=4", + "name": "datwaft", + "url": "https://github.com/datwaft" } ] } diff --git a/data/daily/fennel.xml b/data/daily/fennel.xml index 985b86df32fb..f453deea3d2c 100644 --- a/data/daily/fennel.xml +++ b/data/daily/fennel.xml @@ -3,7 +3,7 @@ GitHub Fennel Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Fennel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ggandor/leap.nvim https://github.com/ggandor/leap.nvim @@ -11,7 +11,7 @@ https://github.com/ggandor/leap.nvim Fennel #fff3d7 - 4,405 + 4,408 46 3 @@ -42,6 +42,44 @@ + + Olical/conjure + https://github.com/Olical/conjure + Interactive evaluation for Neovim (Clojure, Fennel, Janet, Racket, Hy, MIT Scheme, Guile, Python and more!) + https://github.com/Olical/conjure + Fennel + #fff3d7 + 1,790 + 110 + 1 + + + https://avatars.githubusercontent.com/u/315229?s=40&v=4 + Olical + https://github.com/Olical + + + https://avatars.githubusercontent.com/u/8532864?s=40&v=4 + russtoku + https://github.com/russtoku + + + https://avatars.githubusercontent.com/u/12870742?s=40&v=4 + glyh + https://github.com/glyh + + + https://avatars.githubusercontent.com/u/25135057?s=40&v=4 + taw10 + https://github.com/taw10 + + + https://avatars.githubusercontent.com/u/36173945?s=40&v=4 + TerseTears + https://github.com/TerseTears + + + nyoom-engineering/oxocarbon.nvim https://github.com/nyoom-engineering/oxocarbon.nvim @@ -81,15 +119,15 @@ - Olical/conjure - https://github.com/Olical/conjure - Interactive evaluation for Neovim (Clojure, Fennel, Janet, Racket, Hy, MIT Scheme, Guile, Python and more!) - https://github.com/Olical/conjure + Olical/nfnl + https://github.com/Olical/nfnl + Enhance your Neovim with Fennel + https://github.com/Olical/nfnl Fennel #fff3d7 - 1,790 - 110 - 1 + 234 + 8 + 0 https://avatars.githubusercontent.com/u/315229?s=40&v=4 @@ -102,19 +140,19 @@ https://github.com/russtoku - https://avatars.githubusercontent.com/u/12870742?s=40&v=4 - glyh - https://github.com/glyh + https://avatars.githubusercontent.com/u/13547250?s=40&v=4 + Kamholtz + https://github.com/Kamholtz - https://avatars.githubusercontent.com/u/25135057?s=40&v=4 - taw10 - https://github.com/taw10 + https://avatars.githubusercontent.com/u/3897953?s=40&v=4 + SolaWing + https://github.com/SolaWing - https://avatars.githubusercontent.com/u/36173945?s=40&v=4 - TerseTears - https://github.com/TerseTears + https://avatars.githubusercontent.com/u/37723586?s=40&v=4 + datwaft + https://github.com/datwaft diff --git a/data/daily/figlet-font.json b/data/daily/figlet-font.json index 992d00d57eb1..c6473162ff68 100644 --- a/data/daily/figlet-font.json +++ b/data/daily/figlet-font.json @@ -2,6 +2,6 @@ "title": "GitHub Figlet-font Languages Daily Trending", "description": "Daily Trending of Figlet-font Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/figlet-font.xml b/data/daily/figlet-font.xml index 506245f2dd76..39c09bf4494b 100644 --- a/data/daily/figlet-font.xml +++ b/data/daily/figlet-font.xml @@ -3,6 +3,6 @@ GitHub Figlet-font Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Figlet-font Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/filebench-wml.json b/data/daily/filebench-wml.json index 01202a1e0d89..785aa721b9ca 100644 --- a/data/daily/filebench-wml.json +++ b/data/daily/filebench-wml.json @@ -2,6 +2,6 @@ "title": "GitHub Filebench-wml Languages Daily Trending", "description": "Daily Trending of Filebench-wml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/filebench-wml.xml b/data/daily/filebench-wml.xml index a767a873d5e0..adf004cfca8c 100644 --- a/data/daily/filebench-wml.xml +++ b/data/daily/filebench-wml.xml @@ -3,6 +3,6 @@ GitHub Filebench-wml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Filebench-wml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/filterscript.json b/data/daily/filterscript.json index 28d487710df9..c45da261d957 100644 --- a/data/daily/filterscript.json +++ b/data/daily/filterscript.json @@ -2,6 +2,6 @@ "title": "GitHub Filterscript Languages Daily Trending", "description": "Daily Trending of Filterscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/filterscript.xml b/data/daily/filterscript.xml index 2264fc3a6ee2..f64af0428375 100644 --- a/data/daily/filterscript.xml +++ b/data/daily/filterscript.xml @@ -3,6 +3,6 @@ GitHub Filterscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Filterscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/firrtl.json b/data/daily/firrtl.json index 6d9699bab93d..482bc4a59eb4 100644 --- a/data/daily/firrtl.json +++ b/data/daily/firrtl.json @@ -2,6 +2,6 @@ "title": "GitHub Firrtl Languages Daily Trending", "description": "Daily Trending of Firrtl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/firrtl.xml b/data/daily/firrtl.xml index 1f38a87074b0..3e84b1ca8a72 100644 --- a/data/daily/firrtl.xml +++ b/data/daily/firrtl.xml @@ -3,6 +3,6 @@ GitHub Firrtl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Firrtl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/fish.json b/data/daily/fish.json index f26b7c65c528..8f7573d6a542 100644 --- a/data/daily/fish.json +++ b/data/daily/fish.json @@ -2,6 +2,6 @@ "title": "GitHub Fish Languages Daily Trending", "description": "Daily Trending of Fish Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/fish.xml b/data/daily/fish.xml index 55b086de0d4b..412cba55e71c 100644 --- a/data/daily/fish.xml +++ b/data/daily/fish.xml @@ -3,6 +3,6 @@ GitHub Fish Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Fish Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/fluent.json b/data/daily/fluent.json index 7a5d7abda471..23df60c2dba2 100644 --- a/data/daily/fluent.json +++ b/data/daily/fluent.json @@ -2,7 +2,7 @@ "title": "GitHub Fluent Languages Daily Trending", "description": "Daily Trending of Fluent Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "janeczku/calibre-web", @@ -10,7 +10,7 @@ "description": "📚 Web app for browsing, reading and downloading eBooks stored in a Calibre database", "language": "Fluent", "languageColor": "#ffcc33", - "stars": "13,157", + "stars": "13,162", "forks": "1,405", "addStars": "8", "contributors": [ diff --git a/data/daily/fluent.xml b/data/daily/fluent.xml index 57a2a5638d99..359bc11f4c44 100644 --- a/data/daily/fluent.xml +++ b/data/daily/fluent.xml @@ -3,7 +3,7 @@ GitHub Fluent Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Fluent Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT janeczku/calibre-web https://github.com/janeczku/calibre-web @@ -11,7 +11,7 @@ https://github.com/janeczku/calibre-web Fluent #ffcc33 - 13,157 + 13,162 1,405 8 diff --git a/data/daily/flux.json b/data/daily/flux.json index 53f2145fefee..0817d9ad66e5 100644 --- a/data/daily/flux.json +++ b/data/daily/flux.json @@ -2,6 +2,6 @@ "title": "GitHub Flux Languages Daily Trending", "description": "Daily Trending of Flux Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/flux.xml b/data/daily/flux.xml index 8427a6177f40..4f337f4bc0a2 100644 --- a/data/daily/flux.xml +++ b/data/daily/flux.xml @@ -3,6 +3,6 @@ GitHub Flux Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Flux Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/formatted.json b/data/daily/formatted.json index 82edf653655f..c7775cf28ac4 100644 --- a/data/daily/formatted.json +++ b/data/daily/formatted.json @@ -2,6 +2,6 @@ "title": "GitHub Formatted Languages Daily Trending", "description": "Daily Trending of Formatted Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/formatted.xml b/data/daily/formatted.xml index d0fd9af37920..5f173bca1f76 100644 --- a/data/daily/formatted.xml +++ b/data/daily/formatted.xml @@ -3,6 +3,6 @@ GitHub Formatted Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Formatted Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/forth.json b/data/daily/forth.json index e0a8f05d10a6..f001c5c7e997 100644 --- a/data/daily/forth.json +++ b/data/daily/forth.json @@ -2,6 +2,6 @@ "title": "GitHub Forth Languages Daily Trending", "description": "Daily Trending of Forth Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/forth.xml b/data/daily/forth.xml index 1eba56fcda8a..ec23659ad162 100644 --- a/data/daily/forth.xml +++ b/data/daily/forth.xml @@ -3,6 +3,6 @@ GitHub Forth Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Forth Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/fortran-free-form.json b/data/daily/fortran-free-form.json index 99b7504d45f7..d580c3617f9a 100644 --- a/data/daily/fortran-free-form.json +++ b/data/daily/fortran-free-form.json @@ -2,6 +2,6 @@ "title": "GitHub Fortran-free-form Languages Daily Trending", "description": "Daily Trending of Fortran-free-form Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/fortran-free-form.xml b/data/daily/fortran-free-form.xml index 0d6ff2d66029..2c2271ae52e5 100644 --- a/data/daily/fortran-free-form.xml +++ b/data/daily/fortran-free-form.xml @@ -3,6 +3,6 @@ GitHub Fortran-free-form Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Fortran-free-form Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/fortran.json b/data/daily/fortran.json index b5a53b33f778..748a410b098f 100644 --- a/data/daily/fortran.json +++ b/data/daily/fortran.json @@ -2,77 +2,8 @@ "title": "GitHub Fortran Languages Daily Trending", "description": "Daily Trending of Fortran Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "Goddard-Fortran-Ecosystem/fArgParse", - "url": "https://github.com/Goddard-Fortran-Ecosystem/fArgParse", - "description": "Command line argument parsing for Fortran", - "language": "Fortran", - "languageColor": "#4d41b1", - "stars": "24", - "forks": "5", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6225888?s=40&v=4", - "name": "tclune", - "url": "https://github.com/tclune" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2982494?s=40&v=4", - "name": "mathomp4", - "url": "https://github.com/mathomp4" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1486942?s=40&v=4", - "name": "ZedThree", - "url": "https://github.com/ZedThree" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2684626?s=40&v=4", - "name": "cferenba", - "url": "https://github.com/cferenba" - } - ] - }, - { - "title": "Goddard-Fortran-Ecosystem/gFTL", - "url": "https://github.com/Goddard-Fortran-Ecosystem/gFTL", - "description": "Software containers for Fortran", - "language": "Fortran", - "languageColor": "#4d41b1", - "stars": "41", - "forks": "10", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6225888?s=40&v=4", - "name": "tclune", - "url": "https://github.com/tclune" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2982494?s=40&v=4", - "name": "mathomp4", - "url": "https://github.com/mathomp4" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25363473?s=40&v=4", - "name": "EmilyBourne", - "url": "https://github.com/EmilyBourne" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52509753?s=40&v=4", - "name": "weiyuan-jiang", - "url": "https://github.com/weiyuan-jiang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1486942?s=40&v=4", - "name": "ZedThree", - "url": "https://github.com/ZedThree" - } - ] - }, { "title": "esmf-org/esmf", "url": "https://github.com/esmf-org/esmf", @@ -111,39 +42,71 @@ ] }, { - "title": "E3SM-Project/E3SM", - "url": "https://github.com/E3SM-Project/E3SM", - "description": "Energy Exascale Earth System Model source code. NOTE: use \"maint\" branches for your work. Head of master is not validated.", + "title": "Reference-LAPACK/lapack", + "url": "https://github.com/Reference-LAPACK/lapack", + "description": "LAPACK development repository", "language": "Fortran", "languageColor": "#4d41b1", - "stars": "353", - "forks": "365", - "addStars": "0", + "stars": "1,526", + "forks": "442", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7292036?s=40&v=4", - "name": "jgfouca", - "url": "https://github.com/jgfouca" + "avatar": "https://avatars.githubusercontent.com/u/1789628?s=40&v=4", + "name": "langou", + "url": "https://github.com/langou" }, { - "avatar": "https://avatars.githubusercontent.com/u/8136848?s=40&v=4", - "name": "singhbalwinder", - "url": "https://github.com/singhbalwinder" + "avatar": "https://avatars.githubusercontent.com/u/16517625?s=40&v=4", + "name": "weslleyspereira", + "url": "https://github.com/weslleyspereira" }, { - "avatar": "https://avatars.githubusercontent.com/u/13684848?s=40&v=4", - "name": "jonbob", - "url": "https://github.com/jonbob" + "avatar": "https://avatars.githubusercontent.com/u/16792376?s=40&v=4", + "name": "julielangou", + "url": "https://github.com/julielangou" }, { - "avatar": "https://avatars.githubusercontent.com/u/3226046?s=40&v=4", - "name": "bartgol", - "url": "https://github.com/bartgol" + "avatar": "https://avatars.githubusercontent.com/u/1015908?s=40&v=4", + "name": "scr2016", + "url": "https://github.com/scr2016" }, { - "avatar": "https://avatars.githubusercontent.com/u/334984?s=40&v=4", - "name": "douglasjacobsen", - "url": "https://github.com/douglasjacobsen" + "avatar": "https://avatars.githubusercontent.com/u/6192365?s=40&v=4", + "name": "martin-frbg", + "url": "https://github.com/martin-frbg" + } + ] + }, + { + "title": "Goddard-Fortran-Ecosystem/fArgParse", + "url": "https://github.com/Goddard-Fortran-Ecosystem/fArgParse", + "description": "Command line argument parsing for Fortran", + "language": "Fortran", + "languageColor": "#4d41b1", + "stars": "24", + "forks": "5", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6225888?s=40&v=4", + "name": "tclune", + "url": "https://github.com/tclune" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2982494?s=40&v=4", + "name": "mathomp4", + "url": "https://github.com/mathomp4" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1486942?s=40&v=4", + "name": "ZedThree", + "url": "https://github.com/ZedThree" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2684626?s=40&v=4", + "name": "cferenba", + "url": "https://github.com/cferenba" } ] }, @@ -185,76 +148,76 @@ ] }, { - "title": "NGEET/fates", - "url": "https://github.com/NGEET/fates", - "description": "repository for the Functionally Assembled Terrestrial Ecosystem Simulator (FATES)", + "title": "Goddard-Fortran-Ecosystem/gFTL", + "url": "https://github.com/Goddard-Fortran-Ecosystem/gFTL", + "description": "Software containers for Fortran", "language": "Fortran", "languageColor": "#4d41b1", - "stars": "105", - "forks": "92", + "stars": "41", + "forks": "10", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5891980?s=40&v=4", - "name": "rgknox", - "url": "https://github.com/rgknox" + "avatar": "https://avatars.githubusercontent.com/u/6225888?s=40&v=4", + "name": "tclune", + "url": "https://github.com/tclune" }, { - "avatar": "https://avatars.githubusercontent.com/u/7565064?s=40&v=4", - "name": "glemieux", - "url": "https://github.com/glemieux" + "avatar": "https://avatars.githubusercontent.com/u/2982494?s=40&v=4", + "name": "mathomp4", + "url": "https://github.com/mathomp4" }, { - "avatar": "https://avatars.githubusercontent.com/u/10852790?s=40&v=4", - "name": "ckoven", - "url": "https://github.com/ckoven" + "avatar": "https://avatars.githubusercontent.com/u/25363473?s=40&v=4", + "name": "EmilyBourne", + "url": "https://github.com/EmilyBourne" }, { - "avatar": "https://avatars.githubusercontent.com/u/12954691?s=40&v=4", - "name": "rosiealice", - "url": "https://github.com/rosiealice" + "avatar": "https://avatars.githubusercontent.com/u/52509753?s=40&v=4", + "name": "weiyuan-jiang", + "url": "https://github.com/weiyuan-jiang" }, { - "avatar": "https://avatars.githubusercontent.com/u/8231111?s=40&v=4", - "name": "xuchongang", - "url": "https://github.com/xuchongang" + "avatar": "https://avatars.githubusercontent.com/u/1486942?s=40&v=4", + "name": "ZedThree", + "url": "https://github.com/ZedThree" } ] }, { - "title": "MCSclimate/MCT", - "url": "https://github.com/MCSclimate/MCT", - "description": "Model Coupling Tookit", + "title": "E3SM-Project/E3SM", + "url": "https://github.com/E3SM-Project/E3SM", + "description": "Energy Exascale Earth System Model source code. NOTE: use \"maint\" branches for your work. Head of master is not validated.", "language": "Fortran", "languageColor": "#4d41b1", - "stars": "43", - "forks": "18", + "stars": "353", + "forks": "365", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/947068?s=40&v=4", - "name": "rljacob", - "url": "https://github.com/rljacob" + "avatar": "https://avatars.githubusercontent.com/u/7292036?s=40&v=4", + "name": "jgfouca", + "url": "https://github.com/jgfouca" }, { - "avatar": "https://avatars.githubusercontent.com/u/1740206?s=40&v=4", - "name": "quantheory", - "url": "https://github.com/quantheory" + "avatar": "https://avatars.githubusercontent.com/u/8136848?s=40&v=4", + "name": "singhbalwinder", + "url": "https://github.com/singhbalwinder" }, { - "avatar": "https://avatars.githubusercontent.com/u/6126104?s=40&v=4", - "name": "jedwards4b", - "url": "https://github.com/jedwards4b" + "avatar": "https://avatars.githubusercontent.com/u/13684848?s=40&v=4", + "name": "jonbob", + "url": "https://github.com/jonbob" }, { - "avatar": "https://avatars.githubusercontent.com/u/5412?s=40&v=4", - "name": "steder", - "url": "https://github.com/steder" + "avatar": "https://avatars.githubusercontent.com/u/3226046?s=40&v=4", + "name": "bartgol", + "url": "https://github.com/bartgol" }, { - "avatar": "https://avatars.githubusercontent.com/u/13952101?s=40&v=4", - "name": "Katetc", - "url": "https://github.com/Katetc" + "avatar": "https://avatars.githubusercontent.com/u/334984?s=40&v=4", + "name": "douglasjacobsen", + "url": "https://github.com/douglasjacobsen" } ] } diff --git a/data/daily/fortran.xml b/data/daily/fortran.xml index 0fb0d5a2fc97..394486d0b972 100644 --- a/data/daily/fortran.xml +++ b/data/daily/fortran.xml @@ -3,78 +3,7 @@ GitHub Fortran Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Fortran Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - Goddard-Fortran-Ecosystem/fArgParse - https://github.com/Goddard-Fortran-Ecosystem/fArgParse - Command line argument parsing for Fortran - https://github.com/Goddard-Fortran-Ecosystem/fArgParse - Fortran - #4d41b1 - 24 - 5 - 0 - - - https://avatars.githubusercontent.com/u/6225888?s=40&v=4 - tclune - https://github.com/tclune - - - https://avatars.githubusercontent.com/u/2982494?s=40&v=4 - mathomp4 - https://github.com/mathomp4 - - - https://avatars.githubusercontent.com/u/1486942?s=40&v=4 - ZedThree - https://github.com/ZedThree - - - https://avatars.githubusercontent.com/u/2684626?s=40&v=4 - cferenba - https://github.com/cferenba - - - - - Goddard-Fortran-Ecosystem/gFTL - https://github.com/Goddard-Fortran-Ecosystem/gFTL - Software containers for Fortran - https://github.com/Goddard-Fortran-Ecosystem/gFTL - Fortran - #4d41b1 - 41 - 10 - 0 - - - https://avatars.githubusercontent.com/u/6225888?s=40&v=4 - tclune - https://github.com/tclune - - - https://avatars.githubusercontent.com/u/2982494?s=40&v=4 - mathomp4 - https://github.com/mathomp4 - - - https://avatars.githubusercontent.com/u/25363473?s=40&v=4 - EmilyBourne - https://github.com/EmilyBourne - - - https://avatars.githubusercontent.com/u/52509753?s=40&v=4 - weiyuan-jiang - https://github.com/weiyuan-jiang - - - https://avatars.githubusercontent.com/u/1486942?s=40&v=4 - ZedThree - https://github.com/ZedThree - - - + Mon, 25 Nov 2024 13:30:36 GMT esmf-org/esmf https://github.com/esmf-org/esmf @@ -114,40 +43,73 @@ - E3SM-Project/E3SM - https://github.com/E3SM-Project/E3SM - Energy Exascale Earth System Model source code. NOTE: use "maint" branches for your work. Head of master is not validated. - https://github.com/E3SM-Project/E3SM + Reference-LAPACK/lapack + https://github.com/Reference-LAPACK/lapack + LAPACK development repository + https://github.com/Reference-LAPACK/lapack Fortran #4d41b1 - 353 - 365 - 0 + 1,526 + 442 + 1 - https://avatars.githubusercontent.com/u/7292036?s=40&v=4 - jgfouca - https://github.com/jgfouca + https://avatars.githubusercontent.com/u/1789628?s=40&v=4 + langou + https://github.com/langou - https://avatars.githubusercontent.com/u/8136848?s=40&v=4 - singhbalwinder - https://github.com/singhbalwinder + https://avatars.githubusercontent.com/u/16517625?s=40&v=4 + weslleyspereira + https://github.com/weslleyspereira - https://avatars.githubusercontent.com/u/13684848?s=40&v=4 - jonbob - https://github.com/jonbob + https://avatars.githubusercontent.com/u/16792376?s=40&v=4 + julielangou + https://github.com/julielangou - https://avatars.githubusercontent.com/u/3226046?s=40&v=4 - bartgol - https://github.com/bartgol + https://avatars.githubusercontent.com/u/1015908?s=40&v=4 + scr2016 + https://github.com/scr2016 - https://avatars.githubusercontent.com/u/334984?s=40&v=4 - douglasjacobsen - https://github.com/douglasjacobsen + https://avatars.githubusercontent.com/u/6192365?s=40&v=4 + martin-frbg + https://github.com/martin-frbg + + + + + Goddard-Fortran-Ecosystem/fArgParse + https://github.com/Goddard-Fortran-Ecosystem/fArgParse + Command line argument parsing for Fortran + https://github.com/Goddard-Fortran-Ecosystem/fArgParse + Fortran + #4d41b1 + 24 + 5 + 0 + + + https://avatars.githubusercontent.com/u/6225888?s=40&v=4 + tclune + https://github.com/tclune + + + https://avatars.githubusercontent.com/u/2982494?s=40&v=4 + mathomp4 + https://github.com/mathomp4 + + + https://avatars.githubusercontent.com/u/1486942?s=40&v=4 + ZedThree + https://github.com/ZedThree + + + https://avatars.githubusercontent.com/u/2684626?s=40&v=4 + cferenba + https://github.com/cferenba @@ -190,78 +152,78 @@ - NGEET/fates - https://github.com/NGEET/fates - repository for the Functionally Assembled Terrestrial Ecosystem Simulator (FATES) - https://github.com/NGEET/fates + Goddard-Fortran-Ecosystem/gFTL + https://github.com/Goddard-Fortran-Ecosystem/gFTL + Software containers for Fortran + https://github.com/Goddard-Fortran-Ecosystem/gFTL Fortran #4d41b1 - 105 - 92 + 41 + 10 0 - https://avatars.githubusercontent.com/u/5891980?s=40&v=4 - rgknox - https://github.com/rgknox + https://avatars.githubusercontent.com/u/6225888?s=40&v=4 + tclune + https://github.com/tclune - https://avatars.githubusercontent.com/u/7565064?s=40&v=4 - glemieux - https://github.com/glemieux + https://avatars.githubusercontent.com/u/2982494?s=40&v=4 + mathomp4 + https://github.com/mathomp4 - https://avatars.githubusercontent.com/u/10852790?s=40&v=4 - ckoven - https://github.com/ckoven + https://avatars.githubusercontent.com/u/25363473?s=40&v=4 + EmilyBourne + https://github.com/EmilyBourne - https://avatars.githubusercontent.com/u/12954691?s=40&v=4 - rosiealice - https://github.com/rosiealice + https://avatars.githubusercontent.com/u/52509753?s=40&v=4 + weiyuan-jiang + https://github.com/weiyuan-jiang - https://avatars.githubusercontent.com/u/8231111?s=40&v=4 - xuchongang - https://github.com/xuchongang + https://avatars.githubusercontent.com/u/1486942?s=40&v=4 + ZedThree + https://github.com/ZedThree - MCSclimate/MCT - https://github.com/MCSclimate/MCT - Model Coupling Tookit - https://github.com/MCSclimate/MCT + E3SM-Project/E3SM + https://github.com/E3SM-Project/E3SM + Energy Exascale Earth System Model source code. NOTE: use "maint" branches for your work. Head of master is not validated. + https://github.com/E3SM-Project/E3SM Fortran #4d41b1 - 43 - 18 + 353 + 365 0 - https://avatars.githubusercontent.com/u/947068?s=40&v=4 - rljacob - https://github.com/rljacob + https://avatars.githubusercontent.com/u/7292036?s=40&v=4 + jgfouca + https://github.com/jgfouca - https://avatars.githubusercontent.com/u/1740206?s=40&v=4 - quantheory - https://github.com/quantheory + https://avatars.githubusercontent.com/u/8136848?s=40&v=4 + singhbalwinder + https://github.com/singhbalwinder - https://avatars.githubusercontent.com/u/6126104?s=40&v=4 - jedwards4b - https://github.com/jedwards4b + https://avatars.githubusercontent.com/u/13684848?s=40&v=4 + jonbob + https://github.com/jonbob - https://avatars.githubusercontent.com/u/5412?s=40&v=4 - steder - https://github.com/steder + https://avatars.githubusercontent.com/u/3226046?s=40&v=4 + bartgol + https://github.com/bartgol - https://avatars.githubusercontent.com/u/13952101?s=40&v=4 - Katetc - https://github.com/Katetc + https://avatars.githubusercontent.com/u/334984?s=40&v=4 + douglasjacobsen + https://github.com/douglasjacobsen diff --git a/data/daily/freebasic.json b/data/daily/freebasic.json index 88baa3c64a13..ffe9a246d103 100644 --- a/data/daily/freebasic.json +++ b/data/daily/freebasic.json @@ -2,6 +2,6 @@ "title": "GitHub Freebasic Languages Daily Trending", "description": "Daily Trending of Freebasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/freebasic.xml b/data/daily/freebasic.xml index db72ca47cac6..98ba6cd90e55 100644 --- a/data/daily/freebasic.xml +++ b/data/daily/freebasic.xml @@ -3,6 +3,6 @@ GitHub Freebasic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Freebasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/freemarker.json b/data/daily/freemarker.json index beb08cc2a715..d7e4e71ab1ab 100644 --- a/data/daily/freemarker.json +++ b/data/daily/freemarker.json @@ -2,6 +2,6 @@ "title": "GitHub Freemarker Languages Daily Trending", "description": "Daily Trending of Freemarker Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/freemarker.xml b/data/daily/freemarker.xml index 5267cda7b48e..613085f1beaf 100644 --- a/data/daily/freemarker.xml +++ b/data/daily/freemarker.xml @@ -3,6 +3,6 @@ GitHub Freemarker Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Freemarker Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/frege.json b/data/daily/frege.json index f6c9e149b410..4ad5db2c241e 100644 --- a/data/daily/frege.json +++ b/data/daily/frege.json @@ -2,6 +2,6 @@ "title": "GitHub Frege Languages Daily Trending", "description": "Daily Trending of Frege Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/frege.xml b/data/daily/frege.xml index 9f4e63d2ca25..d7e6d36cadfe 100644 --- a/data/daily/frege.xml +++ b/data/daily/frege.xml @@ -3,6 +3,6 @@ GitHub Frege Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Frege Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/futhark.json b/data/daily/futhark.json index 502c8db471ae..c60c04ff3915 100644 --- a/data/daily/futhark.json +++ b/data/daily/futhark.json @@ -2,6 +2,6 @@ "title": "GitHub Futhark Languages Daily Trending", "description": "Daily Trending of Futhark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/futhark.xml b/data/daily/futhark.xml index 160c5ab7ea90..8118dd694ea2 100644 --- a/data/daily/futhark.xml +++ b/data/daily/futhark.xml @@ -3,6 +3,6 @@ GitHub Futhark Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Futhark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/g-code.json b/data/daily/g-code.json index 95c7e1e9640e..6ea482195013 100644 --- a/data/daily/g-code.json +++ b/data/daily/g-code.json @@ -2,7 +2,7 @@ "title": "GitHub G-code Languages Daily Trending", "description": "Daily Trending of G-code Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tanaes/whopping_Voron_mods", @@ -10,7 +10,7 @@ "description": "", "language": "G-code", "languageColor": "#D08CF2", - "stars": "567", + "stars": "566", "forks": "97", "addStars": "0", "contributors": [ diff --git a/data/daily/g-code.xml b/data/daily/g-code.xml index b58871a8a122..93c912cac45b 100644 --- a/data/daily/g-code.xml +++ b/data/daily/g-code.xml @@ -3,7 +3,7 @@ GitHub G-code Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of G-code Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tanaes/whopping_Voron_mods https://github.com/tanaes/whopping_Voron_mods @@ -11,7 +11,7 @@ https://github.com/tanaes/whopping_Voron_mods G-code #D08CF2 - 567 + 566 97 0 diff --git a/data/daily/game-maker-language.json b/data/daily/game-maker-language.json index fa1cec053583..8f5c636581a9 100644 --- a/data/daily/game-maker-language.json +++ b/data/daily/game-maker-language.json @@ -2,6 +2,6 @@ "title": "GitHub Game-maker-language Languages Daily Trending", "description": "Daily Trending of Game-maker-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/game-maker-language.xml b/data/daily/game-maker-language.xml index 54d049a60c41..44e30ff79adf 100644 --- a/data/daily/game-maker-language.xml +++ b/data/daily/game-maker-language.xml @@ -3,6 +3,6 @@ GitHub Game-maker-language Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Game-maker-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gaml.json b/data/daily/gaml.json index 1d336896767a..dd568596e1ae 100644 --- a/data/daily/gaml.json +++ b/data/daily/gaml.json @@ -2,6 +2,6 @@ "title": "GitHub Gaml Languages Daily Trending", "description": "Daily Trending of Gaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gaml.xml b/data/daily/gaml.xml index ef200c18eb97..9d9191758661 100644 --- a/data/daily/gaml.xml +++ b/data/daily/gaml.xml @@ -3,6 +3,6 @@ GitHub Gaml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gams.json b/data/daily/gams.json index 62ca7c5522a3..cacbcaccc6bd 100644 --- a/data/daily/gams.json +++ b/data/daily/gams.json @@ -2,6 +2,44 @@ "title": "GitHub Gams Languages Daily Trending", "description": "Daily Trending of Gams Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "remindmodel/remind", + "url": "https://github.com/remindmodel/remind", + "description": "REMIND - REgional Model of INvestments and Development", + "language": "GAMS", + "languageColor": "#f49a22", + "stars": "102", + "forks": "132", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/28868582?s=40&v=4", + "name": "LaviniaBaumstark", + "url": "https://github.com/LaviniaBaumstark" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/90761609?s=40&v=4", + "name": "orichters", + "url": "https://github.com/orichters" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33692508?s=40&v=4", + "name": "Renato-Rodrigues", + "url": "https://github.com/Renato-Rodrigues" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18702529?s=40&v=4", + "name": "dklein-pik", + "url": "https://github.com/dklein-pik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/93198240?s=40&v=4", + "name": "RSEBot", + "url": "https://github.com/RSEBot" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/gams.xml b/data/daily/gams.xml index 6a4909674ce0..fc65f2cdfa58 100644 --- a/data/daily/gams.xml +++ b/data/daily/gams.xml @@ -3,6 +3,44 @@ GitHub Gams Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gams Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + remindmodel/remind + https://github.com/remindmodel/remind + REMIND - REgional Model of INvestments and Development + https://github.com/remindmodel/remind + GAMS + #f49a22 + 102 + 132 + 0 + + + https://avatars.githubusercontent.com/u/28868582?s=40&v=4 + LaviniaBaumstark + https://github.com/LaviniaBaumstark + + + https://avatars.githubusercontent.com/u/90761609?s=40&v=4 + orichters + https://github.com/orichters + + + https://avatars.githubusercontent.com/u/33692508?s=40&v=4 + Renato-Rodrigues + https://github.com/Renato-Rodrigues + + + https://avatars.githubusercontent.com/u/18702529?s=40&v=4 + dklein-pik + https://github.com/dklein-pik + + + https://avatars.githubusercontent.com/u/93198240?s=40&v=4 + RSEBot + https://github.com/RSEBot + + + \ No newline at end of file diff --git a/data/daily/gap.json b/data/daily/gap.json index bb46f2e68380..3b017eefcbc0 100644 --- a/data/daily/gap.json +++ b/data/daily/gap.json @@ -2,6 +2,6 @@ "title": "GitHub Gap Languages Daily Trending", "description": "Daily Trending of Gap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gap.xml b/data/daily/gap.xml index 79ad18758e3f..88de3222bdea 100644 --- a/data/daily/gap.xml +++ b/data/daily/gap.xml @@ -3,6 +3,6 @@ GitHub Gap Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gcc-machine-description.json b/data/daily/gcc-machine-description.json index 2ab7078f23ba..c7cbfdcddde8 100644 --- a/data/daily/gcc-machine-description.json +++ b/data/daily/gcc-machine-description.json @@ -2,6 +2,6 @@ "title": "GitHub Gcc-machine-description Languages Daily Trending", "description": "Daily Trending of Gcc-machine-description Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gcc-machine-description.xml b/data/daily/gcc-machine-description.xml index 3aeab608b7dd..ef18da8d5469 100644 --- a/data/daily/gcc-machine-description.xml +++ b/data/daily/gcc-machine-description.xml @@ -3,6 +3,6 @@ GitHub Gcc-machine-description Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gcc-machine-description Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gdb.json b/data/daily/gdb.json index 23ab2506fa0e..d3a3ff359b70 100644 --- a/data/daily/gdb.json +++ b/data/daily/gdb.json @@ -2,6 +2,6 @@ "title": "GitHub Gdb Languages Daily Trending", "description": "Daily Trending of Gdb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gdb.xml b/data/daily/gdb.xml index 808ae9932105..976b1ef9c593 100644 --- a/data/daily/gdb.xml +++ b/data/daily/gdb.xml @@ -3,6 +3,6 @@ GitHub Gdb Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gdb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gdscript.json b/data/daily/gdscript.json index 6f5bad4fb2c4..eb00fdb2ff8a 100644 --- a/data/daily/gdscript.json +++ b/data/daily/gdscript.json @@ -2,7 +2,7 @@ "title": "GitHub Gdscript Languages Daily Trending", "description": "Daily Trending of Gdscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "godotengine/godot-demo-projects", @@ -10,7 +10,7 @@ "description": "Demonstration and Template Projects", "language": "GDScript", "languageColor": "#355570", - "stars": "6,019", + "stars": "6,020", "forks": "1,660", "addStars": "2", "contributors": [ @@ -42,335 +42,372 @@ ] }, { - "title": "dialogic-godot/dialogic", - "url": "https://github.com/dialogic-godot/dialogic", - "description": "💬 Create Dialogs, Visual Novels, RPGs, and manage Characters with Godot to create your Game!", + "title": "foxssake/netfox", + "url": "https://github.com/foxssake/netfox", + "description": "Addons for building multiplayer games with Godot", "language": "GDScript", "languageColor": "#355570", - "stars": "4,034", - "forks": "239", - "addStars": "4", + "stars": "430", + "forks": "17", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2206700?s=40&v=4", - "name": "coppolaemilio", - "url": "https://github.com/coppolaemilio" + "avatar": "https://avatars.githubusercontent.com/u/4706096?s=40&v=4", + "name": "elementbound", + "url": "https://github.com/elementbound" }, { - "avatar": "https://avatars.githubusercontent.com/u/42868150?s=40&v=4", - "name": "Jowan-Spooner", - "url": "https://github.com/Jowan-Spooner" + "avatar": "https://avatars.githubusercontent.com/u/25630803?s=40&v=4", + "name": "TheYellowArchitect", + "url": "https://github.com/TheYellowArchitect" }, { - "avatar": "https://avatars.githubusercontent.com/u/2214793?s=40&v=4", - "name": "ii4y-studios", - "url": "https://github.com/ii4y-studios" + "avatar": "https://avatars.githubusercontent.com/u/5311294?s=40&v=4", + "name": "albertok", + "url": "https://github.com/albertok" }, { - "avatar": "https://avatars.githubusercontent.com/u/7741797?s=40&v=4", - "name": "zaknafean", - "url": "https://github.com/zaknafean" + "avatar": "https://avatars.githubusercontent.com/u/623463?s=40&v=4", + "name": "krazyjakee", + "url": "https://github.com/krazyjakee" }, { - "avatar": "https://avatars.githubusercontent.com/u/65981767?s=40&v=4", - "name": "CakeVR", - "url": "https://github.com/CakeVR" + "avatar": "https://avatars.githubusercontent.com/u/97706756?s=40&v=4", + "name": "zibetnu", + "url": "https://github.com/zibetnu" } ] }, { - "title": "Alexofp/BDCC", - "url": "https://github.com/Alexofp/BDCC", - "description": "Text based game about being a prisoner in a space prison with a lot of adult themes. Working title is Broken Dreams Correctional Center or BDCC for short. Test the latest version here: (could be unstable)", + "title": "Orama-Interactive/Pixelorama", + "url": "https://github.com/Orama-Interactive/Pixelorama", + "description": "Unleash your creativity with Pixelorama, a powerful and accessible open-source pixel art multitool. Whether you want to create sprites, tiles, animations, or just express yourself in the language of pixel art, this software will realize your pixel-perfect dreams with a vast toolbox of features. Available on Windows, Linux, macOS and the Web!", "language": "GDScript", "languageColor": "#355570", - "stars": "148", - "forks": "50", - "addStars": "0", + "stars": "7,235", + "forks": "387", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/14040378?s=40&v=4", - "name": "Alexofp", - "url": "https://github.com/Alexofp" + "avatar": "https://avatars.githubusercontent.com/u/35376950?s=40&v=4", + "name": "OverloadedOrama", + "url": "https://github.com/OverloadedOrama" }, { - "avatar": "https://avatars.githubusercontent.com/u/1812417?s=40&v=4", - "name": "PeteTimesSix", - "url": "https://github.com/PeteTimesSix" + "avatar": "https://avatars.githubusercontent.com/u/77773850?s=40&v=4", + "name": "Variable-ind", + "url": "https://github.com/Variable-ind" }, { - "avatar": "https://avatars.githubusercontent.com/u/45681350?s=40&v=4", - "name": "Rayforward", - "url": "https://github.com/Rayforward" + "avatar": "https://avatars.githubusercontent.com/u/8699302?s=40&v=4", + "name": "LoonyMoth", + "url": "https://github.com/LoonyMoth" }, { - "avatar": "https://avatars.githubusercontent.com/u/73659199?s=40&v=4", - "name": "CanInBad", - "url": "https://github.com/CanInBad" + "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", + "name": "Calinou", + "url": "https://github.com/Calinou" }, { - "avatar": "https://avatars.githubusercontent.com/u/132950864?s=40&v=4", - "name": "Firiman", - "url": "https://github.com/Firiman" + "avatar": "https://avatars.githubusercontent.com/u/58960464?s=40&v=4", + "name": "Erevoid", + "url": "https://github.com/Erevoid" } ] }, { - "title": "KoBeWi/Metroidvania-System", - "url": "https://github.com/KoBeWi/Metroidvania-System", - "description": "General-purpose framework for creating metroidvania games in Godot.", + "title": "ramokz/phantom-camera", + "url": "https://github.com/ramokz/phantom-camera", + "description": "👻🎥 Control the movement and dynamically tween 2D & 3D cameras. Built for Godot 4. Inspired by Cinemachine.", "language": "GDScript", "languageColor": "#355570", - "stars": "1,095", - "forks": "56", - "addStars": "0", + "stars": "2,279", + "forks": "77", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2223172?s=40&v=4", - "name": "KoBeWi", - "url": "https://github.com/KoBeWi" + "avatar": "https://avatars.githubusercontent.com/u/5159399?s=40&v=4", + "name": "ramokz", + "url": "https://github.com/ramokz" }, { - "avatar": "https://avatars.githubusercontent.com/u/78984?s=40&v=4", - "name": "nathanhoad", - "url": "https://github.com/nathanhoad" + "avatar": "https://avatars.githubusercontent.com/u/12200619?s=40&v=4", + "name": "ZenithStar", + "url": "https://github.com/ZenithStar" }, { - "avatar": "https://avatars.githubusercontent.com/u/1596350?s=40&v=4", - "name": "russmatney", - "url": "https://github.com/russmatney" + "avatar": "https://avatars.githubusercontent.com/u/55060124?s=40&v=4", + "name": "audeck", + "url": "https://github.com/audeck" }, { - "avatar": "https://avatars.githubusercontent.com/u/1664813?s=40&v=4", - "name": "ekiwookie", - "url": "https://github.com/ekiwookie" + "avatar": "https://avatars.githubusercontent.com/u/44378225?s=40&v=4", + "name": "P5ina", + "url": "https://github.com/P5ina" }, { - "avatar": "https://avatars.githubusercontent.com/u/41642326?s=40&v=4", - "name": "rbaltrusch", - "url": "https://github.com/rbaltrusch" + "avatar": "https://avatars.githubusercontent.com/u/145708933?s=40&v=4", + "name": "GrogsyShovel", + "url": "https://github.com/GrogsyShovel" } ] }, { - "title": "ThePat02/BehaviourToolkit", - "url": "https://github.com/ThePat02/BehaviourToolkit", - "description": "A collection of tools for AI Behaviour in the Godot 4 Game Engine!", + "title": "don-tnowe/godot-resources-as-sheets-plugin", + "url": "https://github.com/don-tnowe/godot-resources-as-sheets-plugin", + "description": "I needed to edit many Resource files, so figured someone would too.", "language": "GDScript", "languageColor": "#355570", - "stars": "402", - "forks": "15", - "addStars": "1", + "stars": "515", + "forks": "21", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27511950?s=40&v=4", - "name": "ThePat02", - "url": "https://github.com/ThePat02" + "avatar": "https://avatars.githubusercontent.com/u/67479453?s=40&v=4", + "name": "don-tnowe", + "url": "https://github.com/don-tnowe" }, { - "avatar": "https://avatars.githubusercontent.com/u/6152134?s=40&v=4", - "name": "SirPigeonz", - "url": "https://github.com/SirPigeonz" + "avatar": "https://avatars.githubusercontent.com/u/8480048?s=40&v=4", + "name": "darloth", + "url": "https://github.com/darloth" }, { - "avatar": "https://avatars.githubusercontent.com/u/696544?s=40&v=4", - "name": "dfego", - "url": "https://github.com/dfego" + "avatar": "https://avatars.githubusercontent.com/u/43048142?s=40&v=4", + "name": "vmedea", + "url": "https://github.com/vmedea" }, { - "avatar": "https://avatars.githubusercontent.com/u/4712573?s=40&v=4", - "name": "psantimauro", - "url": "https://github.com/psantimauro" + "avatar": "https://avatars.githubusercontent.com/u/4174525?s=40&v=4", + "name": "LucaVazz", + "url": "https://github.com/LucaVazz" }, { - "avatar": "https://avatars.githubusercontent.com/u/117705451?s=40&v=4", - "name": "MomentSum", - "url": "https://github.com/MomentSum" + "avatar": "https://avatars.githubusercontent.com/u/36209557?s=40&v=4", + "name": "ch0m5", + "url": "https://github.com/ch0m5" } ] }, { - "title": "Phazorknight/Cogito", - "url": "https://github.com/Phazorknight/Cogito", - "description": "Immersive Sim Template Project for GODOT 4", + "title": "git-learning-game/oh-my-git", + "url": "https://github.com/git-learning-game/oh-my-git", + "description": "An interactive Git learning game!", "language": "GDScript", "languageColor": "#355570", - "stars": "969", - "forks": "110", - "addStars": "1", + "stars": "2,060", + "forks": "146", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/70389309?s=40&v=4", - "name": "Phazorknight", - "url": "https://github.com/Phazorknight" + "avatar": "https://avatars.githubusercontent.com/u/81277?s=40&v=4", + "name": "blinry", + "url": "https://github.com/blinry" }, { - "avatar": "https://avatars.githubusercontent.com/u/99533148?s=40&v=4", - "name": "niefia", - "url": "https://github.com/niefia" + "avatar": "https://avatars.githubusercontent.com/u/5028973?s=40&v=4", + "name": "bleeptrack", + "url": "https://github.com/bleeptrack" }, { - "avatar": "https://avatars.githubusercontent.com/u/5461795?s=40&v=4", - "name": "ac-arcana", - "url": "https://github.com/ac-arcana" + "avatar": "https://avatars.githubusercontent.com/u/1395806?s=40&v=4", + "name": "mundifex", + "url": "https://github.com/mundifex" }, { - "avatar": "https://avatars.githubusercontent.com/u/9100196?s=40&v=4", - "name": "FailSpy", - "url": "https://github.com/FailSpy" + "avatar": "https://avatars.githubusercontent.com/u/480915?s=40&v=4", + "name": "jspricke", + "url": "https://github.com/jspricke" }, { - "avatar": "https://avatars.githubusercontent.com/u/71503746?s=40&v=4", - "name": "aronand", - "url": "https://github.com/aronand" + "avatar": "https://avatars.githubusercontent.com/u/12937331?s=40&v=4", + "name": "derNiklaas", + "url": "https://github.com/derNiklaas" } ] }, { - "title": "bitbrain/pandora", - "url": "https://github.com/bitbrain/pandora", - "description": "Godot 4 addon for RPG data management such items, inventories, spells, mobs, quests and NPCs.", + "title": "Zylann/godot_heightmap_plugin", + "url": "https://github.com/Zylann/godot_heightmap_plugin", + "description": "HeightMap terrain for Godot implemented in GDScript", "language": "GDScript", "languageColor": "#355570", - "stars": "642", - "forks": "28", - "addStars": "1", + "stars": "1,765", + "forks": "160", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/822035?s=40&v=4", - "name": "bitbrain", - "url": "https://github.com/bitbrain" + "avatar": "https://avatars.githubusercontent.com/u/1311555?s=40&v=4", + "name": "Zylann", + "url": "https://github.com/Zylann" }, { - "avatar": "https://avatars.githubusercontent.com/u/24225909?s=40&v=4", - "name": "mrfelipemartins", - "url": "https://github.com/mrfelipemartins" + "avatar": "https://avatars.githubusercontent.com/u/7832163?s=40&v=4", + "name": "GammaGames", + "url": "https://github.com/GammaGames" }, { - "avatar": "https://avatars.githubusercontent.com/u/61943525?s=40&v=4", - "name": "shomykohai", - "url": "https://github.com/shomykohai" + "avatar": "https://avatars.githubusercontent.com/u/7196697?s=40&v=4", + "name": "Antokolos", + "url": "https://github.com/Antokolos" }, { - "avatar": "https://avatars.githubusercontent.com/u/1347854?s=40&v=4", - "name": "eth0net", - "url": "https://github.com/eth0net" + "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", + "name": "Calinou", + "url": "https://github.com/Calinou" }, { - "avatar": "https://avatars.githubusercontent.com/u/1596350?s=40&v=4", - "name": "russmatney", - "url": "https://github.com/russmatney" + "avatar": "https://avatars.githubusercontent.com/u/3084189?s=40&v=4", + "name": "GustJc", + "url": "https://github.com/GustJc" } ] }, { - "title": "gdquest-demos/godot-shaders", - "url": "https://github.com/gdquest-demos/godot-shaders", - "description": "A large library of free and open-source shaders for the Godot game engine. Here, you'll get 2D and 3D shaders with playable demos.", + "title": "Alexofp/BDCC", + "url": "https://github.com/Alexofp/BDCC", + "description": "Text based game about being a prisoner in a space prison with a lot of adult themes. Working title is Broken Dreams Correctional Center or BDCC for short. Test the latest version here: (could be unstable)", "language": "GDScript", "languageColor": "#355570", - "stars": "3,188", - "forks": "193", - "addStars": "1", + "stars": "148", + "forks": "50", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12694995?s=40&v=4", - "name": "NathanLovato", - "url": "https://github.com/NathanLovato" + "avatar": "https://avatars.githubusercontent.com/u/14040378?s=40&v=4", + "name": "Alexofp", + "url": "https://github.com/Alexofp" }, { - "avatar": "https://avatars.githubusercontent.com/u/2823241?s=40&v=4", - "name": "Razoric480", - "url": "https://github.com/Razoric480" + "avatar": "https://avatars.githubusercontent.com/u/1812417?s=40&v=4", + "name": "PeteTimesSix", + "url": "https://github.com/PeteTimesSix" }, { - "avatar": "https://avatars.githubusercontent.com/u/7917475?s=40&v=4", - "name": "QbieShay", - "url": "https://github.com/QbieShay" + "avatar": "https://avatars.githubusercontent.com/u/45681350?s=40&v=4", + "name": "Rayforward", + "url": "https://github.com/Rayforward" }, { - "avatar": "https://avatars.githubusercontent.com/u/145553014?s=40&v=4", - "name": "tetrapod00", - "url": "https://github.com/tetrapod00" + "avatar": "https://avatars.githubusercontent.com/u/73659199?s=40&v=4", + "name": "CanInBad", + "url": "https://github.com/CanInBad" }, { - "avatar": "https://avatars.githubusercontent.com/u/23744598?s=40&v=4", - "name": "bramreth", - "url": "https://github.com/bramreth" + "avatar": "https://avatars.githubusercontent.com/u/132950864?s=40&v=4", + "name": "Firiman", + "url": "https://github.com/Firiman" } ] }, { - "title": "derkork/godot-statecharts", - "url": "https://github.com/derkork/godot-statecharts", - "description": "A state charts extension for Godot 4", + "title": "dialogic-godot/dialogic", + "url": "https://github.com/dialogic-godot/dialogic", + "description": "💬 Create Dialogs, Visual Novels, RPGs, and manage Characters with Godot to create your Game!", "language": "GDScript", "languageColor": "#355570", - "stars": "865", - "forks": "44", - "addStars": "0", + "stars": "4,036", + "forks": "239", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2206700?s=40&v=4", + "name": "coppolaemilio", + "url": "https://github.com/coppolaemilio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/42868150?s=40&v=4", + "name": "Jowan-Spooner", + "url": "https://github.com/Jowan-Spooner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2214793?s=40&v=4", + "name": "ii4y-studios", + "url": "https://github.com/ii4y-studios" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7741797?s=40&v=4", + "name": "zaknafean", + "url": "https://github.com/zaknafean" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65981767?s=40&v=4", + "name": "CakeVR", + "url": "https://github.com/CakeVR" + } + ] + }, + { + "title": "bitbrain/pandora", + "url": "https://github.com/bitbrain/pandora", + "description": "Godot 4 addon for RPG data management such items, inventories, spells, mobs, quests and NPCs.", + "language": "GDScript", + "languageColor": "#355570", + "stars": "644", + "forks": "28", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/327257?s=40&v=4", - "name": "derkork", - "url": "https://github.com/derkork" + "avatar": "https://avatars.githubusercontent.com/u/822035?s=40&v=4", + "name": "bitbrain", + "url": "https://github.com/bitbrain" }, { - "avatar": "https://avatars.githubusercontent.com/u/19820741?s=40&v=4", - "name": "joelgomes1994", - "url": "https://github.com/joelgomes1994" + "avatar": "https://avatars.githubusercontent.com/u/24225909?s=40&v=4", + "name": "mrfelipemartins", + "url": "https://github.com/mrfelipemartins" }, { - "avatar": "https://avatars.githubusercontent.com/u/640587?s=40&v=4", - "name": "jtakakura", - "url": "https://github.com/jtakakura" + "avatar": "https://avatars.githubusercontent.com/u/61943525?s=40&v=4", + "name": "shomykohai", + "url": "https://github.com/shomykohai" }, { - "avatar": "https://avatars.githubusercontent.com/u/35243344?s=40&v=4", - "name": "alitnk", - "url": "https://github.com/alitnk" + "avatar": "https://avatars.githubusercontent.com/u/1347854?s=40&v=4", + "name": "eth0net", + "url": "https://github.com/eth0net" }, { - "avatar": "https://avatars.githubusercontent.com/u/43023911?s=40&v=4", - "name": "uzkbwza", - "url": "https://github.com/uzkbwza" + "avatar": "https://avatars.githubusercontent.com/u/1596350?s=40&v=4", + "name": "russmatney", + "url": "https://github.com/russmatney" } ] }, { - "title": "RodZill4/material-maker", - "url": "https://github.com/RodZill4/material-maker", - "description": "A procedural textures authoring and 3D model painting tool based on the Godot game engine", + "title": "Phazorknight/Cogito", + "url": "https://github.com/Phazorknight/Cogito", + "description": "Immersive Sim Template Project for GODOT 4", "language": "GDScript", "languageColor": "#355570", - "stars": "3,550", - "forks": "222", + "stars": "969", + "forks": "110", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6957908?s=40&v=4", - "name": "RodZill4", - "url": "https://github.com/RodZill4" + "avatar": "https://avatars.githubusercontent.com/u/70389309?s=40&v=4", + "name": "Phazorknight", + "url": "https://github.com/Phazorknight" }, { - "avatar": "https://avatars.githubusercontent.com/u/830253?s=40&v=4", - "name": "williamchange", - "url": "https://github.com/williamchange" + "avatar": "https://avatars.githubusercontent.com/u/99533148?s=40&v=4", + "name": "niefia", + "url": "https://github.com/niefia" }, { - "avatar": "https://avatars.githubusercontent.com/u/4955051?s=40&v=4", - "name": "Arnklit", - "url": "https://github.com/Arnklit" + "avatar": "https://avatars.githubusercontent.com/u/5461795?s=40&v=4", + "name": "ac-arcana", + "url": "https://github.com/ac-arcana" }, { - "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", - "name": "Calinou", - "url": "https://github.com/Calinou" + "avatar": "https://avatars.githubusercontent.com/u/9100196?s=40&v=4", + "name": "FailSpy", + "url": "https://github.com/FailSpy" }, { - "avatar": "https://avatars.githubusercontent.com/u/42868150?s=40&v=4", - "name": "Jowan-Spooner", - "url": "https://github.com/Jowan-Spooner" + "avatar": "https://avatars.githubusercontent.com/u/71503746?s=40&v=4", + "name": "aronand", + "url": "https://github.com/aronand" } ] }, @@ -380,7 +417,7 @@ "description": "Infinite canvas drawing/whiteboarding app for Windows, Linux and macOS. Made with Godot.", "language": "GDScript", "languageColor": "#355570", - "stars": "5,548", + "stars": "5,550", "forks": "240", "addStars": "3", "contributors": [ @@ -411,6 +448,38 @@ } ] }, + { + "title": "ramaureirac/godot-tactical-rpg", + "url": "https://github.com/ramaureirac/godot-tactical-rpg", + "description": "A tactical role-playing game demo for the Godot Engine", + "language": "GDScript", + "languageColor": "#355570", + "stars": "693", + "forks": "78", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/36780404?s=40&v=4", + "name": "ramaureirac", + "url": "https://github.com/ramaureirac" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17831738?s=40&v=4", + "name": "max-koro", + "url": "https://github.com/max-koro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31360383?s=40&v=4", + "name": "dukemagus", + "url": "https://github.com/dukemagus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15977859?s=40&v=4", + "name": "mechPenSketch", + "url": "https://github.com/mechPenSketch" + } + ] + }, { "title": "bitwes/Gut", "url": "https://github.com/bitwes/Gut", @@ -447,102 +516,6 @@ "url": "https://github.com/aaronfranke" } ] - }, - { - "title": "db0/godot-card-game-framework", - "url": "https://github.com/db0/godot-card-game-framework", - "description": "A framework which comes with prepared scenes and classes to kickstart your card game, as well as a powerful scripting engine to use to provide full rules enforcement.", - "language": "GDScript", - "languageColor": "#355570", - "stars": "979", - "forks": "101", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/296513?s=40&v=4", - "name": "db0", - "url": "https://github.com/db0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25278695?s=40&v=4", - "name": "vmjcv", - "url": "https://github.com/vmjcv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/569845?s=40&v=4", - "name": "zombieCraig", - "url": "https://github.com/zombieCraig" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1479356?s=40&v=4", - "name": "stevosaurus", - "url": "https://github.com/stevosaurus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1074446?s=40&v=4", - "name": "richhl", - "url": "https://github.com/richhl" - } - ] - }, - { - "title": "gb2dev/HLA-NoVR-Launcher", - "url": "https://github.com/gb2dev/HLA-NoVR-Launcher", - "description": "Launcher for Half-Life: Alyx NoVR", - "language": "GDScript", - "languageColor": "#355570", - "stars": "166", - "forks": "14", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/16156986?s=40&v=4", - "name": "gb2dev", - "url": "https://github.com/gb2dev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/76664991?s=40&v=4", - "name": "TarekLP", - "url": "https://github.com/TarekLP" - } - ] - }, - { - "title": "MewPurPur/GodSVG", - "url": "https://github.com/MewPurPur/GodSVG", - "description": "A vector graphics application for structured SVG editing, available on all major desktop platforms. Currently in late alpha.", - "language": "GDScript", - "languageColor": "#355570", - "stars": "1,306", - "forks": "63", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/85438892?s=40&v=4", - "name": "MewPurPur", - "url": "https://github.com/MewPurPur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/142301391?s=40&v=4", - "name": "Kiisu-Master", - "url": "https://github.com/Kiisu-Master" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/94211064?s=40&v=4", - "name": "Qainguin", - "url": "https://github.com/Qainguin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/102416174?s=40&v=4", - "name": "Swarkin", - "url": "https://github.com/Swarkin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54743395?s=40&v=4", - "name": "Vovkiv", - "url": "https://github.com/Vovkiv" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/gdscript.xml b/data/daily/gdscript.xml index 16ca3f291c79..d4d2566fddcd 100644 --- a/data/daily/gdscript.xml +++ b/data/daily/gdscript.xml @@ -3,7 +3,7 @@ GitHub Gdscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gdscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT godotengine/godot-demo-projects https://github.com/godotengine/godot-demo-projects @@ -11,7 +11,7 @@ https://github.com/godotengine/godot-demo-projects GDScript #355570 - 6,019 + 6,020 1,660 2 @@ -43,344 +43,382 @@ - dialogic-godot/dialogic - https://github.com/dialogic-godot/dialogic - 💬 Create Dialogs, Visual Novels, RPGs, and manage Characters with Godot to create your Game! - https://github.com/dialogic-godot/dialogic + foxssake/netfox + https://github.com/foxssake/netfox + Addons for building multiplayer games with Godot + https://github.com/foxssake/netfox GDScript #355570 - 4,034 - 239 - 4 + 430 + 17 + 7 - https://avatars.githubusercontent.com/u/2206700?s=40&v=4 - coppolaemilio - https://github.com/coppolaemilio + https://avatars.githubusercontent.com/u/4706096?s=40&v=4 + elementbound + https://github.com/elementbound - https://avatars.githubusercontent.com/u/42868150?s=40&v=4 - Jowan-Spooner - https://github.com/Jowan-Spooner + https://avatars.githubusercontent.com/u/25630803?s=40&v=4 + TheYellowArchitect + https://github.com/TheYellowArchitect - https://avatars.githubusercontent.com/u/2214793?s=40&v=4 - ii4y-studios - https://github.com/ii4y-studios + https://avatars.githubusercontent.com/u/5311294?s=40&v=4 + albertok + https://github.com/albertok - https://avatars.githubusercontent.com/u/7741797?s=40&v=4 - zaknafean - https://github.com/zaknafean + https://avatars.githubusercontent.com/u/623463?s=40&v=4 + krazyjakee + https://github.com/krazyjakee - https://avatars.githubusercontent.com/u/65981767?s=40&v=4 - CakeVR - https://github.com/CakeVR + https://avatars.githubusercontent.com/u/97706756?s=40&v=4 + zibetnu + https://github.com/zibetnu - Alexofp/BDCC - https://github.com/Alexofp/BDCC - Text based game about being a prisoner in a space prison with a lot of adult themes. Working title is Broken Dreams Correctional Center or BDCC for short. Test the latest version here: (could be unstable) - https://github.com/Alexofp/BDCC + Orama-Interactive/Pixelorama + https://github.com/Orama-Interactive/Pixelorama + Unleash your creativity with Pixelorama, a powerful and accessible open-source pixel art multitool. Whether you want to create sprites, tiles, animations, or just express yourself in the language of pixel art, this software will realize your pixel-perfect dreams with a vast toolbox of features. Available on Windows, Linux, macOS and the Web! + https://github.com/Orama-Interactive/Pixelorama GDScript #355570 - 148 - 50 - 0 + 7,235 + 387 + 9 - https://avatars.githubusercontent.com/u/14040378?s=40&v=4 - Alexofp - https://github.com/Alexofp + https://avatars.githubusercontent.com/u/35376950?s=40&v=4 + OverloadedOrama + https://github.com/OverloadedOrama - https://avatars.githubusercontent.com/u/1812417?s=40&v=4 - PeteTimesSix - https://github.com/PeteTimesSix + https://avatars.githubusercontent.com/u/77773850?s=40&v=4 + Variable-ind + https://github.com/Variable-ind - https://avatars.githubusercontent.com/u/45681350?s=40&v=4 - Rayforward - https://github.com/Rayforward + https://avatars.githubusercontent.com/u/8699302?s=40&v=4 + LoonyMoth + https://github.com/LoonyMoth - https://avatars.githubusercontent.com/u/73659199?s=40&v=4 - CanInBad - https://github.com/CanInBad + https://avatars.githubusercontent.com/u/180032?s=40&v=4 + Calinou + https://github.com/Calinou - https://avatars.githubusercontent.com/u/132950864?s=40&v=4 - Firiman - https://github.com/Firiman + https://avatars.githubusercontent.com/u/58960464?s=40&v=4 + Erevoid + https://github.com/Erevoid - KoBeWi/Metroidvania-System - https://github.com/KoBeWi/Metroidvania-System - General-purpose framework for creating metroidvania games in Godot. - https://github.com/KoBeWi/Metroidvania-System + ramokz/phantom-camera + https://github.com/ramokz/phantom-camera + 👻🎥 Control the movement and dynamically tween 2D & 3D cameras. Built for Godot 4. Inspired by Cinemachine. + https://github.com/ramokz/phantom-camera GDScript #355570 - 1,095 - 56 - 0 + 2,279 + 77 + 3 - https://avatars.githubusercontent.com/u/2223172?s=40&v=4 - KoBeWi - https://github.com/KoBeWi + https://avatars.githubusercontent.com/u/5159399?s=40&v=4 + ramokz + https://github.com/ramokz - https://avatars.githubusercontent.com/u/78984?s=40&v=4 - nathanhoad - https://github.com/nathanhoad + https://avatars.githubusercontent.com/u/12200619?s=40&v=4 + ZenithStar + https://github.com/ZenithStar - https://avatars.githubusercontent.com/u/1596350?s=40&v=4 - russmatney - https://github.com/russmatney + https://avatars.githubusercontent.com/u/55060124?s=40&v=4 + audeck + https://github.com/audeck - https://avatars.githubusercontent.com/u/1664813?s=40&v=4 - ekiwookie - https://github.com/ekiwookie + https://avatars.githubusercontent.com/u/44378225?s=40&v=4 + P5ina + https://github.com/P5ina - https://avatars.githubusercontent.com/u/41642326?s=40&v=4 - rbaltrusch - https://github.com/rbaltrusch + https://avatars.githubusercontent.com/u/145708933?s=40&v=4 + GrogsyShovel + https://github.com/GrogsyShovel - ThePat02/BehaviourToolkit - https://github.com/ThePat02/BehaviourToolkit - A collection of tools for AI Behaviour in the Godot 4 Game Engine! - https://github.com/ThePat02/BehaviourToolkit + don-tnowe/godot-resources-as-sheets-plugin + https://github.com/don-tnowe/godot-resources-as-sheets-plugin + I needed to edit many Resource files, so figured someone would too. + https://github.com/don-tnowe/godot-resources-as-sheets-plugin GDScript #355570 - 402 - 15 - 1 + 515 + 21 + 2 - https://avatars.githubusercontent.com/u/27511950?s=40&v=4 - ThePat02 - https://github.com/ThePat02 + https://avatars.githubusercontent.com/u/67479453?s=40&v=4 + don-tnowe + https://github.com/don-tnowe - https://avatars.githubusercontent.com/u/6152134?s=40&v=4 - SirPigeonz - https://github.com/SirPigeonz + https://avatars.githubusercontent.com/u/8480048?s=40&v=4 + darloth + https://github.com/darloth - https://avatars.githubusercontent.com/u/696544?s=40&v=4 - dfego - https://github.com/dfego + https://avatars.githubusercontent.com/u/43048142?s=40&v=4 + vmedea + https://github.com/vmedea - https://avatars.githubusercontent.com/u/4712573?s=40&v=4 - psantimauro - https://github.com/psantimauro + https://avatars.githubusercontent.com/u/4174525?s=40&v=4 + LucaVazz + https://github.com/LucaVazz - https://avatars.githubusercontent.com/u/117705451?s=40&v=4 - MomentSum - https://github.com/MomentSum + https://avatars.githubusercontent.com/u/36209557?s=40&v=4 + ch0m5 + https://github.com/ch0m5 - Phazorknight/Cogito - https://github.com/Phazorknight/Cogito - Immersive Sim Template Project for GODOT 4 - https://github.com/Phazorknight/Cogito + git-learning-game/oh-my-git + https://github.com/git-learning-game/oh-my-git + An interactive Git learning game! + https://github.com/git-learning-game/oh-my-git GDScript #355570 - 969 - 110 - 1 + 2,060 + 146 + 0 - https://avatars.githubusercontent.com/u/70389309?s=40&v=4 - Phazorknight - https://github.com/Phazorknight + https://avatars.githubusercontent.com/u/81277?s=40&v=4 + blinry + https://github.com/blinry - https://avatars.githubusercontent.com/u/99533148?s=40&v=4 - niefia - https://github.com/niefia + https://avatars.githubusercontent.com/u/5028973?s=40&v=4 + bleeptrack + https://github.com/bleeptrack - https://avatars.githubusercontent.com/u/5461795?s=40&v=4 - ac-arcana - https://github.com/ac-arcana + https://avatars.githubusercontent.com/u/1395806?s=40&v=4 + mundifex + https://github.com/mundifex - https://avatars.githubusercontent.com/u/9100196?s=40&v=4 - FailSpy - https://github.com/FailSpy + https://avatars.githubusercontent.com/u/480915?s=40&v=4 + jspricke + https://github.com/jspricke - https://avatars.githubusercontent.com/u/71503746?s=40&v=4 - aronand - https://github.com/aronand + https://avatars.githubusercontent.com/u/12937331?s=40&v=4 + derNiklaas + https://github.com/derNiklaas - bitbrain/pandora - https://github.com/bitbrain/pandora - Godot 4 addon for RPG data management such items, inventories, spells, mobs, quests and NPCs. - https://github.com/bitbrain/pandora + Zylann/godot_heightmap_plugin + https://github.com/Zylann/godot_heightmap_plugin + HeightMap terrain for Godot implemented in GDScript + https://github.com/Zylann/godot_heightmap_plugin GDScript #355570 - 642 - 28 - 1 + 1,765 + 160 + 2 - https://avatars.githubusercontent.com/u/822035?s=40&v=4 - bitbrain - https://github.com/bitbrain + https://avatars.githubusercontent.com/u/1311555?s=40&v=4 + Zylann + https://github.com/Zylann - https://avatars.githubusercontent.com/u/24225909?s=40&v=4 - mrfelipemartins - https://github.com/mrfelipemartins + https://avatars.githubusercontent.com/u/7832163?s=40&v=4 + GammaGames + https://github.com/GammaGames - https://avatars.githubusercontent.com/u/61943525?s=40&v=4 - shomykohai - https://github.com/shomykohai + https://avatars.githubusercontent.com/u/7196697?s=40&v=4 + Antokolos + https://github.com/Antokolos - https://avatars.githubusercontent.com/u/1347854?s=40&v=4 - eth0net - https://github.com/eth0net + https://avatars.githubusercontent.com/u/180032?s=40&v=4 + Calinou + https://github.com/Calinou - https://avatars.githubusercontent.com/u/1596350?s=40&v=4 - russmatney - https://github.com/russmatney + https://avatars.githubusercontent.com/u/3084189?s=40&v=4 + GustJc + https://github.com/GustJc - gdquest-demos/godot-shaders - https://github.com/gdquest-demos/godot-shaders - A large library of free and open-source shaders for the Godot game engine. Here, you'll get 2D and 3D shaders with playable demos. - https://github.com/gdquest-demos/godot-shaders + Alexofp/BDCC + https://github.com/Alexofp/BDCC + Text based game about being a prisoner in a space prison with a lot of adult themes. Working title is Broken Dreams Correctional Center or BDCC for short. Test the latest version here: (could be unstable) + https://github.com/Alexofp/BDCC GDScript #355570 - 3,188 - 193 - 1 + 148 + 50 + 0 - https://avatars.githubusercontent.com/u/12694995?s=40&v=4 - NathanLovato - https://github.com/NathanLovato + https://avatars.githubusercontent.com/u/14040378?s=40&v=4 + Alexofp + https://github.com/Alexofp - https://avatars.githubusercontent.com/u/2823241?s=40&v=4 - Razoric480 - https://github.com/Razoric480 + https://avatars.githubusercontent.com/u/1812417?s=40&v=4 + PeteTimesSix + https://github.com/PeteTimesSix - https://avatars.githubusercontent.com/u/7917475?s=40&v=4 - QbieShay - https://github.com/QbieShay + https://avatars.githubusercontent.com/u/45681350?s=40&v=4 + Rayforward + https://github.com/Rayforward - https://avatars.githubusercontent.com/u/145553014?s=40&v=4 - tetrapod00 - https://github.com/tetrapod00 + https://avatars.githubusercontent.com/u/73659199?s=40&v=4 + CanInBad + https://github.com/CanInBad - https://avatars.githubusercontent.com/u/23744598?s=40&v=4 - bramreth - https://github.com/bramreth + https://avatars.githubusercontent.com/u/132950864?s=40&v=4 + Firiman + https://github.com/Firiman - derkork/godot-statecharts - https://github.com/derkork/godot-statecharts - A state charts extension for Godot 4 - https://github.com/derkork/godot-statecharts + dialogic-godot/dialogic + https://github.com/dialogic-godot/dialogic + 💬 Create Dialogs, Visual Novels, RPGs, and manage Characters with Godot to create your Game! + https://github.com/dialogic-godot/dialogic GDScript #355570 - 865 - 44 - 0 + 4,036 + 239 + 4 + + + https://avatars.githubusercontent.com/u/2206700?s=40&v=4 + coppolaemilio + https://github.com/coppolaemilio + + + https://avatars.githubusercontent.com/u/42868150?s=40&v=4 + Jowan-Spooner + https://github.com/Jowan-Spooner + + + https://avatars.githubusercontent.com/u/2214793?s=40&v=4 + ii4y-studios + https://github.com/ii4y-studios + + + https://avatars.githubusercontent.com/u/7741797?s=40&v=4 + zaknafean + https://github.com/zaknafean + + + https://avatars.githubusercontent.com/u/65981767?s=40&v=4 + CakeVR + https://github.com/CakeVR + + + + + bitbrain/pandora + https://github.com/bitbrain/pandora + Godot 4 addon for RPG data management such items, inventories, spells, mobs, quests and NPCs. + https://github.com/bitbrain/pandora + GDScript + #355570 + 644 + 28 + 1 - https://avatars.githubusercontent.com/u/327257?s=40&v=4 - derkork - https://github.com/derkork + https://avatars.githubusercontent.com/u/822035?s=40&v=4 + bitbrain + https://github.com/bitbrain - https://avatars.githubusercontent.com/u/19820741?s=40&v=4 - joelgomes1994 - https://github.com/joelgomes1994 + https://avatars.githubusercontent.com/u/24225909?s=40&v=4 + mrfelipemartins + https://github.com/mrfelipemartins - https://avatars.githubusercontent.com/u/640587?s=40&v=4 - jtakakura - https://github.com/jtakakura + https://avatars.githubusercontent.com/u/61943525?s=40&v=4 + shomykohai + https://github.com/shomykohai - https://avatars.githubusercontent.com/u/35243344?s=40&v=4 - alitnk - https://github.com/alitnk + https://avatars.githubusercontent.com/u/1347854?s=40&v=4 + eth0net + https://github.com/eth0net - https://avatars.githubusercontent.com/u/43023911?s=40&v=4 - uzkbwza - https://github.com/uzkbwza + https://avatars.githubusercontent.com/u/1596350?s=40&v=4 + russmatney + https://github.com/russmatney - RodZill4/material-maker - https://github.com/RodZill4/material-maker - A procedural textures authoring and 3D model painting tool based on the Godot game engine - https://github.com/RodZill4/material-maker + Phazorknight/Cogito + https://github.com/Phazorknight/Cogito + Immersive Sim Template Project for GODOT 4 + https://github.com/Phazorknight/Cogito GDScript #355570 - 3,550 - 222 + 969 + 110 1 - https://avatars.githubusercontent.com/u/6957908?s=40&v=4 - RodZill4 - https://github.com/RodZill4 + https://avatars.githubusercontent.com/u/70389309?s=40&v=4 + Phazorknight + https://github.com/Phazorknight - https://avatars.githubusercontent.com/u/830253?s=40&v=4 - williamchange - https://github.com/williamchange + https://avatars.githubusercontent.com/u/99533148?s=40&v=4 + niefia + https://github.com/niefia - https://avatars.githubusercontent.com/u/4955051?s=40&v=4 - Arnklit - https://github.com/Arnklit + https://avatars.githubusercontent.com/u/5461795?s=40&v=4 + ac-arcana + https://github.com/ac-arcana - https://avatars.githubusercontent.com/u/180032?s=40&v=4 - Calinou - https://github.com/Calinou + https://avatars.githubusercontent.com/u/9100196?s=40&v=4 + FailSpy + https://github.com/FailSpy - https://avatars.githubusercontent.com/u/42868150?s=40&v=4 - Jowan-Spooner - https://github.com/Jowan-Spooner + https://avatars.githubusercontent.com/u/71503746?s=40&v=4 + aronand + https://github.com/aronand @@ -391,7 +429,7 @@ https://github.com/mbrlabs/Lorien GDScript #355570 - 5,548 + 5,550 240 3 @@ -422,6 +460,39 @@ + + ramaureirac/godot-tactical-rpg + https://github.com/ramaureirac/godot-tactical-rpg + A tactical role-playing game demo for the Godot Engine + https://github.com/ramaureirac/godot-tactical-rpg + GDScript + #355570 + 693 + 78 + 0 + + + https://avatars.githubusercontent.com/u/36780404?s=40&v=4 + ramaureirac + https://github.com/ramaureirac + + + https://avatars.githubusercontent.com/u/17831738?s=40&v=4 + max-koro + https://github.com/max-koro + + + https://avatars.githubusercontent.com/u/31360383?s=40&v=4 + dukemagus + https://github.com/dukemagus + + + https://avatars.githubusercontent.com/u/15977859?s=40&v=4 + mechPenSketch + https://github.com/mechPenSketch + + + bitwes/Gut https://github.com/bitwes/Gut @@ -460,104 +531,5 @@ - - db0/godot-card-game-framework - https://github.com/db0/godot-card-game-framework - A framework which comes with prepared scenes and classes to kickstart your card game, as well as a powerful scripting engine to use to provide full rules enforcement. - https://github.com/db0/godot-card-game-framework - GDScript - #355570 - 979 - 101 - 0 - - - https://avatars.githubusercontent.com/u/296513?s=40&v=4 - db0 - https://github.com/db0 - - - https://avatars.githubusercontent.com/u/25278695?s=40&v=4 - vmjcv - https://github.com/vmjcv - - - https://avatars.githubusercontent.com/u/569845?s=40&v=4 - zombieCraig - https://github.com/zombieCraig - - - https://avatars.githubusercontent.com/u/1479356?s=40&v=4 - stevosaurus - https://github.com/stevosaurus - - - https://avatars.githubusercontent.com/u/1074446?s=40&v=4 - richhl - https://github.com/richhl - - - - - gb2dev/HLA-NoVR-Launcher - https://github.com/gb2dev/HLA-NoVR-Launcher - Launcher for Half-Life: Alyx NoVR - https://github.com/gb2dev/HLA-NoVR-Launcher - GDScript - #355570 - 166 - 14 - 1 - - - https://avatars.githubusercontent.com/u/16156986?s=40&v=4 - gb2dev - https://github.com/gb2dev - - - https://avatars.githubusercontent.com/u/76664991?s=40&v=4 - TarekLP - https://github.com/TarekLP - - - - - MewPurPur/GodSVG - https://github.com/MewPurPur/GodSVG - A vector graphics application for structured SVG editing, available on all major desktop platforms. Currently in late alpha. - https://github.com/MewPurPur/GodSVG - GDScript - #355570 - 1,306 - 63 - 1 - - - https://avatars.githubusercontent.com/u/85438892?s=40&v=4 - MewPurPur - https://github.com/MewPurPur - - - https://avatars.githubusercontent.com/u/142301391?s=40&v=4 - Kiisu-Master - https://github.com/Kiisu-Master - - - https://avatars.githubusercontent.com/u/94211064?s=40&v=4 - Qainguin - https://github.com/Qainguin - - - https://avatars.githubusercontent.com/u/102416174?s=40&v=4 - Swarkin - https://github.com/Swarkin - - - https://avatars.githubusercontent.com/u/54743395?s=40&v=4 - Vovkiv - https://github.com/Vovkiv - - - \ No newline at end of file diff --git a/data/daily/gedcom.json b/data/daily/gedcom.json index ae676ec3b4fe..5d1854ee09e9 100644 --- a/data/daily/gedcom.json +++ b/data/daily/gedcom.json @@ -2,6 +2,6 @@ "title": "GitHub Gedcom Languages Daily Trending", "description": "Daily Trending of Gedcom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gedcom.xml b/data/daily/gedcom.xml index 179146c7a796..689bccf2c700 100644 --- a/data/daily/gedcom.xml +++ b/data/daily/gedcom.xml @@ -3,6 +3,6 @@ GitHub Gedcom Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gedcom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gemfile.lock.json b/data/daily/gemfile.lock.json index 7aa3d5596f39..d35ead3afe76 100644 --- a/data/daily/gemfile.lock.json +++ b/data/daily/gemfile.lock.json @@ -2,6 +2,6 @@ "title": "GitHub Gemfile.lock Languages Daily Trending", "description": "Daily Trending of Gemfile.lock Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gemfile.lock.xml b/data/daily/gemfile.lock.xml index 965fd281097b..34234d0ea046 100644 --- a/data/daily/gemfile.lock.xml +++ b/data/daily/gemfile.lock.xml @@ -3,6 +3,6 @@ GitHub Gemfile.lock Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gemfile.lock Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gemini.json b/data/daily/gemini.json index b28f25d6309e..224a7ef6c799 100644 --- a/data/daily/gemini.json +++ b/data/daily/gemini.json @@ -2,6 +2,6 @@ "title": "GitHub Gemini Languages Daily Trending", "description": "Daily Trending of Gemini Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gemini.xml b/data/daily/gemini.xml index f60a9d37b0b0..89c860ac6fb2 100644 --- a/data/daily/gemini.xml +++ b/data/daily/gemini.xml @@ -3,6 +3,6 @@ GitHub Gemini Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gemini Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/genero-4gl.json b/data/daily/genero-4gl.json index 3cba1df7cc58..f807bc83f4f9 100644 --- a/data/daily/genero-4gl.json +++ b/data/daily/genero-4gl.json @@ -2,6 +2,6 @@ "title": "GitHub Genero-4gl Languages Daily Trending", "description": "Daily Trending of Genero-4gl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/genero-4gl.xml b/data/daily/genero-4gl.xml index 784b32978ec6..7e298b3057ce 100644 --- a/data/daily/genero-4gl.xml +++ b/data/daily/genero-4gl.xml @@ -3,6 +3,6 @@ GitHub Genero-4gl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Genero-4gl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/genero-per.json b/data/daily/genero-per.json index 36952b37c67c..db4a665e3a0b 100644 --- a/data/daily/genero-per.json +++ b/data/daily/genero-per.json @@ -2,6 +2,6 @@ "title": "GitHub Genero-per Languages Daily Trending", "description": "Daily Trending of Genero-per Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/genero-per.xml b/data/daily/genero-per.xml index 60bf3ebdb9cb..d6fcec9b59ab 100644 --- a/data/daily/genero-per.xml +++ b/data/daily/genero-per.xml @@ -3,6 +3,6 @@ GitHub Genero-per Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Genero-per Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/genie.json b/data/daily/genie.json index 455c8bb3c786..baa072a2dec1 100644 --- a/data/daily/genie.json +++ b/data/daily/genie.json @@ -2,6 +2,6 @@ "title": "GitHub Genie Languages Daily Trending", "description": "Daily Trending of Genie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/genie.xml b/data/daily/genie.xml index 53ce6dbc1709..d9915cd1e6f0 100644 --- a/data/daily/genie.xml +++ b/data/daily/genie.xml @@ -3,6 +3,6 @@ GitHub Genie Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Genie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/genshi.json b/data/daily/genshi.json index 9f9a6a53dfc7..9e057b444df7 100644 --- a/data/daily/genshi.json +++ b/data/daily/genshi.json @@ -2,6 +2,6 @@ "title": "GitHub Genshi Languages Daily Trending", "description": "Daily Trending of Genshi Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/genshi.xml b/data/daily/genshi.xml index 8d5159238ba2..5df9d9774fab 100644 --- a/data/daily/genshi.xml +++ b/data/daily/genshi.xml @@ -3,6 +3,6 @@ GitHub Genshi Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Genshi Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gentoo-ebuild.json b/data/daily/gentoo-ebuild.json index 7f4d8614a4fe..7090011c5fde 100644 --- a/data/daily/gentoo-ebuild.json +++ b/data/daily/gentoo-ebuild.json @@ -2,6 +2,6 @@ "title": "GitHub Gentoo-ebuild Languages Daily Trending", "description": "Daily Trending of Gentoo-ebuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gentoo-ebuild.xml b/data/daily/gentoo-ebuild.xml index df1f1f26b3e9..7b6794bb1cff 100644 --- a/data/daily/gentoo-ebuild.xml +++ b/data/daily/gentoo-ebuild.xml @@ -3,6 +3,6 @@ GitHub Gentoo-ebuild Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gentoo-ebuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gentoo-eclass.json b/data/daily/gentoo-eclass.json index 0b24695334f5..a351b738405c 100644 --- a/data/daily/gentoo-eclass.json +++ b/data/daily/gentoo-eclass.json @@ -2,6 +2,6 @@ "title": "GitHub Gentoo-eclass Languages Daily Trending", "description": "Daily Trending of Gentoo-eclass Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gentoo-eclass.xml b/data/daily/gentoo-eclass.xml index 427507a4cab2..57b9486ba927 100644 --- a/data/daily/gentoo-eclass.xml +++ b/data/daily/gentoo-eclass.xml @@ -3,6 +3,6 @@ GitHub Gentoo-eclass Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gentoo-eclass Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gerber-image.json b/data/daily/gerber-image.json index b1095df67dbd..e6b60127d15b 100644 --- a/data/daily/gerber-image.json +++ b/data/daily/gerber-image.json @@ -2,6 +2,6 @@ "title": "GitHub Gerber-image Languages Daily Trending", "description": "Daily Trending of Gerber-image Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gerber-image.xml b/data/daily/gerber-image.xml index c0864a8f92ba..a436aa0edcac 100644 --- a/data/daily/gerber-image.xml +++ b/data/daily/gerber-image.xml @@ -3,6 +3,6 @@ GitHub Gerber-image Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gerber-image Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gettext-catalog.json b/data/daily/gettext-catalog.json index e6bb5932c739..3d34520b6678 100644 --- a/data/daily/gettext-catalog.json +++ b/data/daily/gettext-catalog.json @@ -2,6 +2,6 @@ "title": "GitHub Gettext-catalog Languages Daily Trending", "description": "Daily Trending of Gettext-catalog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gettext-catalog.xml b/data/daily/gettext-catalog.xml index 47fc1d164a3f..1a36f4462adf 100644 --- a/data/daily/gettext-catalog.xml +++ b/data/daily/gettext-catalog.xml @@ -3,6 +3,6 @@ GitHub Gettext-catalog Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gettext-catalog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gherkin.json b/data/daily/gherkin.json index 19d5510b693e..3554ee205a1c 100644 --- a/data/daily/gherkin.json +++ b/data/daily/gherkin.json @@ -2,45 +2,8 @@ "title": "GitHub Gherkin Languages Daily Trending", "description": "Daily Trending of Gherkin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "wp-cli/dist-archive-command", - "url": "https://github.com/wp-cli/dist-archive-command", - "description": "Create a distribution .zip or .tar.gz based on a plugin or theme's .distignore file", - "language": "Gherkin", - "languageColor": "#5B2063", - "stars": "48", - "forks": "24", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/83631?s=40&v=4", - "name": "schlessera", - "url": "https://github.com/schlessera" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36432?s=40&v=4", - "name": "danielbachhuber", - "url": "https://github.com/danielbachhuber" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4720401?s=40&v=4", - "name": "BrianHenryIE", - "url": "https://github.com/BrianHenryIE" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4176111?s=40&v=4", - "name": "wojsmol", - "url": "https://github.com/wojsmol" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/841956?s=40&v=4", - "name": "swissspidy", - "url": "https://github.com/swissspidy" - } - ] - }, { "title": "wp-cli/i18n-command", "url": "https://github.com/wp-cli/i18n-command", @@ -79,39 +42,39 @@ ] }, { - "title": "sdkman/sdkman-cli", - "url": "https://github.com/sdkman/sdkman-cli", - "description": "The SDKMAN! Command Line Interface", + "title": "wp-cli/dist-archive-command", + "url": "https://github.com/wp-cli/dist-archive-command", + "description": "Create a distribution .zip or .tar.gz based on a plugin or theme's .distignore file", "language": "Gherkin", "languageColor": "#5B2063", - "stars": "6,144", - "forks": "632", + "stars": "48", + "forks": "24", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/144390?s=40&v=4", - "name": "marc0der", - "url": "https://github.com/marc0der" + "avatar": "https://avatars.githubusercontent.com/u/83631?s=40&v=4", + "name": "schlessera", + "url": "https://github.com/schlessera" }, { - "avatar": "https://avatars.githubusercontent.com/u/484615?s=40&v=4", - "name": "rgoldberg", - "url": "https://github.com/rgoldberg" + "avatar": "https://avatars.githubusercontent.com/u/36432?s=40&v=4", + "name": "danielbachhuber", + "url": "https://github.com/danielbachhuber" }, { - "avatar": "https://avatars.githubusercontent.com/u/124075?s=40&v=4", - "name": "dsyer", - "url": "https://github.com/dsyer" + "avatar": "https://avatars.githubusercontent.com/u/4720401?s=40&v=4", + "name": "BrianHenryIE", + "url": "https://github.com/BrianHenryIE" }, { - "avatar": "https://avatars.githubusercontent.com/u/43891734?s=40&v=4", - "name": "mikybars", - "url": "https://github.com/mikybars" + "avatar": "https://avatars.githubusercontent.com/u/4176111?s=40&v=4", + "name": "wojsmol", + "url": "https://github.com/wojsmol" }, { - "avatar": "https://avatars.githubusercontent.com/u/369379?s=40&v=4", - "name": "felipefzdz", - "url": "https://github.com/felipefzdz" + "avatar": "https://avatars.githubusercontent.com/u/841956?s=40&v=4", + "name": "swissspidy", + "url": "https://github.com/swissspidy" } ] } diff --git a/data/daily/gherkin.xml b/data/daily/gherkin.xml index c686ee8e1206..53fd34a00aa9 100644 --- a/data/daily/gherkin.xml +++ b/data/daily/gherkin.xml @@ -3,45 +3,7 @@ GitHub Gherkin Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gherkin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - wp-cli/dist-archive-command - https://github.com/wp-cli/dist-archive-command - Create a distribution .zip or .tar.gz based on a plugin or theme's .distignore file - https://github.com/wp-cli/dist-archive-command - Gherkin - #5B2063 - 48 - 24 - 0 - - - https://avatars.githubusercontent.com/u/83631?s=40&v=4 - schlessera - https://github.com/schlessera - - - https://avatars.githubusercontent.com/u/36432?s=40&v=4 - danielbachhuber - https://github.com/danielbachhuber - - - https://avatars.githubusercontent.com/u/4720401?s=40&v=4 - BrianHenryIE - https://github.com/BrianHenryIE - - - https://avatars.githubusercontent.com/u/4176111?s=40&v=4 - wojsmol - https://github.com/wojsmol - - - https://avatars.githubusercontent.com/u/841956?s=40&v=4 - swissspidy - https://github.com/swissspidy - - - + Mon, 25 Nov 2024 13:30:36 GMT wp-cli/i18n-command https://github.com/wp-cli/i18n-command @@ -81,40 +43,40 @@ - sdkman/sdkman-cli - https://github.com/sdkman/sdkman-cli - The SDKMAN! Command Line Interface - https://github.com/sdkman/sdkman-cli + wp-cli/dist-archive-command + https://github.com/wp-cli/dist-archive-command + Create a distribution .zip or .tar.gz based on a plugin or theme's .distignore file + https://github.com/wp-cli/dist-archive-command Gherkin #5B2063 - 6,144 - 632 + 48 + 24 0 - https://avatars.githubusercontent.com/u/144390?s=40&v=4 - marc0der - https://github.com/marc0der + https://avatars.githubusercontent.com/u/83631?s=40&v=4 + schlessera + https://github.com/schlessera - https://avatars.githubusercontent.com/u/484615?s=40&v=4 - rgoldberg - https://github.com/rgoldberg + https://avatars.githubusercontent.com/u/36432?s=40&v=4 + danielbachhuber + https://github.com/danielbachhuber - https://avatars.githubusercontent.com/u/124075?s=40&v=4 - dsyer - https://github.com/dsyer + https://avatars.githubusercontent.com/u/4720401?s=40&v=4 + BrianHenryIE + https://github.com/BrianHenryIE - https://avatars.githubusercontent.com/u/43891734?s=40&v=4 - mikybars - https://github.com/mikybars + https://avatars.githubusercontent.com/u/4176111?s=40&v=4 + wojsmol + https://github.com/wojsmol - https://avatars.githubusercontent.com/u/369379?s=40&v=4 - felipefzdz - https://github.com/felipefzdz + https://avatars.githubusercontent.com/u/841956?s=40&v=4 + swissspidy + https://github.com/swissspidy diff --git a/data/daily/git-attributes.json b/data/daily/git-attributes.json index 1854213309a7..c24a2ba662e0 100644 --- a/data/daily/git-attributes.json +++ b/data/daily/git-attributes.json @@ -2,6 +2,6 @@ "title": "GitHub Git-attributes Languages Daily Trending", "description": "Daily Trending of Git-attributes Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/git-attributes.xml b/data/daily/git-attributes.xml index 16b6a95ad4c8..776086a4da93 100644 --- a/data/daily/git-attributes.xml +++ b/data/daily/git-attributes.xml @@ -3,6 +3,6 @@ GitHub Git-attributes Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Git-attributes Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/git-config.json b/data/daily/git-config.json index 4f0ca9b19f15..dbc3e3c8ed0b 100644 --- a/data/daily/git-config.json +++ b/data/daily/git-config.json @@ -2,6 +2,6 @@ "title": "GitHub Git-config Languages Daily Trending", "description": "Daily Trending of Git-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/git-config.xml b/data/daily/git-config.xml index bd88c3144518..7557601115ca 100644 --- a/data/daily/git-config.xml +++ b/data/daily/git-config.xml @@ -3,6 +3,6 @@ GitHub Git-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Git-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/git-revision-list.json b/data/daily/git-revision-list.json index 88182ef8af12..16f5b70696f0 100644 --- a/data/daily/git-revision-list.json +++ b/data/daily/git-revision-list.json @@ -2,6 +2,6 @@ "title": "GitHub Git-revision-list Languages Daily Trending", "description": "Daily Trending of Git-revision-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/git-revision-list.xml b/data/daily/git-revision-list.xml index 3e6c7a02cff3..d4277ccb70d9 100644 --- a/data/daily/git-revision-list.xml +++ b/data/daily/git-revision-list.xml @@ -3,6 +3,6 @@ GitHub Git-revision-list Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Git-revision-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gleam.json b/data/daily/gleam.json index 54956d8ad709..776472787d11 100644 --- a/data/daily/gleam.json +++ b/data/daily/gleam.json @@ -2,15 +2,52 @@ "title": "GitHub Gleam Languages Daily Trending", "description": "Daily Trending of Gleam Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "lustre-labs/lustre", + "url": "https://github.com/lustre-labs/lustre", + "description": "A Gleam web framework for building HTML templates, single page applications, and real-time server components.", + "language": "Gleam", + "languageColor": "#ffaff3", + "stars": "1,199", + "forks": "78", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9001354?s=40&v=4", + "name": "hayleigh-dot-dev", + "url": "https://github.com/hayleigh-dot-dev" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20598369?s=40&v=4", + "name": "giacomocavalieri", + "url": "https://github.com/giacomocavalieri" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54418?s=40&v=4", + "name": "brettcannon", + "url": "https://github.com/brettcannon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6106851?s=40&v=4", + "name": "enoonan", + "url": "https://github.com/enoonan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7314118?s=40&v=4", + "name": "ghivert", + "url": "https://github.com/ghivert" + } + ] + }, { "title": "gleam-lang/awesome-gleam", "url": "https://github.com/gleam-lang/awesome-gleam", "description": "💯 A collection of Gleam libraries, projects, and resources", "language": "Gleam", "languageColor": "#ffaff3", - "stars": "1,360", + "stars": "1,361", "forks": "77", "addStars": "4", "contributors": [ diff --git a/data/daily/gleam.xml b/data/daily/gleam.xml index 5c7d3d440eb3..e340d4088ef5 100644 --- a/data/daily/gleam.xml +++ b/data/daily/gleam.xml @@ -3,7 +3,45 @@ GitHub Gleam Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gleam Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + lustre-labs/lustre + https://github.com/lustre-labs/lustre + A Gleam web framework for building HTML templates, single page applications, and real-time server components. + https://github.com/lustre-labs/lustre + Gleam + #ffaff3 + 1,199 + 78 + 5 + + + https://avatars.githubusercontent.com/u/9001354?s=40&v=4 + hayleigh-dot-dev + https://github.com/hayleigh-dot-dev + + + https://avatars.githubusercontent.com/u/20598369?s=40&v=4 + giacomocavalieri + https://github.com/giacomocavalieri + + + https://avatars.githubusercontent.com/u/54418?s=40&v=4 + brettcannon + https://github.com/brettcannon + + + https://avatars.githubusercontent.com/u/6106851?s=40&v=4 + enoonan + https://github.com/enoonan + + + https://avatars.githubusercontent.com/u/7314118?s=40&v=4 + ghivert + https://github.com/ghivert + + + gleam-lang/awesome-gleam https://github.com/gleam-lang/awesome-gleam @@ -11,7 +49,7 @@ https://github.com/gleam-lang/awesome-gleam Gleam #ffaff3 - 1,360 + 1,361 77 4 diff --git a/data/daily/glimmer-js.json b/data/daily/glimmer-js.json index 16393a6281f5..de252d765dbd 100644 --- a/data/daily/glimmer-js.json +++ b/data/daily/glimmer-js.json @@ -2,6 +2,6 @@ "title": "GitHub Glimmer-js Languages Daily Trending", "description": "Daily Trending of Glimmer-js Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/glimmer-js.xml b/data/daily/glimmer-js.xml index c2f25da8471f..524ea37cdec8 100644 --- a/data/daily/glimmer-js.xml +++ b/data/daily/glimmer-js.xml @@ -3,6 +3,6 @@ GitHub Glimmer-js Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Glimmer-js Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/glimmer-ts.json b/data/daily/glimmer-ts.json index 9ed34d40cddb..74aafa1840c7 100644 --- a/data/daily/glimmer-ts.json +++ b/data/daily/glimmer-ts.json @@ -2,6 +2,6 @@ "title": "GitHub Glimmer-ts Languages Daily Trending", "description": "Daily Trending of Glimmer-ts Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/glimmer-ts.xml b/data/daily/glimmer-ts.xml index 940df19aae06..3b2a31ef3306 100644 --- a/data/daily/glimmer-ts.xml +++ b/data/daily/glimmer-ts.xml @@ -3,6 +3,6 @@ GitHub Glimmer-ts Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Glimmer-ts Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/glsl.json b/data/daily/glsl.json index d6a9f54eb96b..121ebde6d669 100644 --- a/data/daily/glsl.json +++ b/data/daily/glsl.json @@ -2,7 +2,7 @@ "title": "GitHub Glsl Languages Daily Trending", "description": "Daily Trending of Glsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "SaschaWillems/Vulkan", @@ -10,7 +10,7 @@ "description": "C++ examples for the Vulkan graphics API", "language": "GLSL", "languageColor": "#5686a5", - "stars": "10,401", + "stars": "10,402", "forks": "2,043", "addStars": "2", "contributors": [ @@ -41,6 +41,43 @@ } ] }, + { + "title": "KhronosGroup/SPIRV-Cross", + "url": "https://github.com/KhronosGroup/SPIRV-Cross", + "description": "SPIRV-Cross is a practical tool and library for performing reflection on SPIR-V and disassembling SPIR-V back to high level languages.", + "language": "GLSL", + "languageColor": "#5686a5", + "stars": "2,079", + "forks": "567", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/17786731?s=40&v=4", + "name": "HansKristian-Work", + "url": "https://github.com/HansKristian-Work" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/356402?s=40&v=4", + "name": "billhollings", + "url": "https://github.com/billhollings" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/42747760?s=40&v=4", + "name": "cdavis5e", + "url": "https://github.com/cdavis5e" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/379761?s=40&v=4", + "name": "RobDangerous", + "url": "https://github.com/RobDangerous" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/194842?s=40&v=4", + "name": "rdb", + "url": "https://github.com/rdb" + } + ] + }, { "title": "X0nk/Bliss-Shader", "url": "https://github.com/X0nk/Bliss-Shader", @@ -143,39 +180,39 @@ ] }, { - "title": "KhronosGroup/SPIRV-Cross", - "url": "https://github.com/KhronosGroup/SPIRV-Cross", - "description": "SPIRV-Cross is a practical tool and library for performing reflection on SPIR-V and disassembling SPIR-V back to high level languages.", + "title": "patriciogonzalezvivo/lygia", + "url": "https://github.com/patriciogonzalezvivo/lygia", + "description": "LYGIA, it's a granular and multi-language (GLSL, HLSL, WGSL, MSL and CUDA) shader library designed for performance and flexibility", "language": "GLSL", "languageColor": "#5686a5", - "stars": "2,079", - "forks": "567", - "addStars": "0", + "stars": "2,648", + "forks": "170", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17786731?s=40&v=4", - "name": "HansKristian-Work", - "url": "https://github.com/HansKristian-Work" + "avatar": "https://avatars.githubusercontent.com/u/346914?s=40&v=4", + "name": "patriciogonzalezvivo", + "url": "https://github.com/patriciogonzalezvivo" }, { - "avatar": "https://avatars.githubusercontent.com/u/356402?s=40&v=4", - "name": "billhollings", - "url": "https://github.com/billhollings" + "avatar": "https://avatars.githubusercontent.com/u/1876198?s=40&v=4", + "name": "shadielhajj", + "url": "https://github.com/shadielhajj" }, { - "avatar": "https://avatars.githubusercontent.com/u/42747760?s=40&v=4", - "name": "cdavis5e", - "url": "https://github.com/cdavis5e" + "avatar": "https://avatars.githubusercontent.com/u/65011?s=40&v=4", + "name": "vade", + "url": "https://github.com/vade" }, { - "avatar": "https://avatars.githubusercontent.com/u/379761?s=40&v=4", - "name": "RobDangerous", - "url": "https://github.com/RobDangerous" + "avatar": "https://avatars.githubusercontent.com/u/65121394?s=40&v=4", + "name": "kfahn22", + "url": "https://github.com/kfahn22" }, { - "avatar": "https://avatars.githubusercontent.com/u/194842?s=40&v=4", - "name": "rdb", - "url": "https://github.com/rdb" + "avatar": "https://avatars.githubusercontent.com/u/1322824?s=40&v=4", + "name": "bonsak", + "url": "https://github.com/bonsak" } ] } diff --git a/data/daily/glsl.xml b/data/daily/glsl.xml index d2d84b4f3429..b69036b531d3 100644 --- a/data/daily/glsl.xml +++ b/data/daily/glsl.xml @@ -3,7 +3,7 @@ GitHub Glsl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Glsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT SaschaWillems/Vulkan https://github.com/SaschaWillems/Vulkan @@ -11,7 +11,7 @@ https://github.com/SaschaWillems/Vulkan GLSL #5686a5 - 10,401 + 10,402 2,043 2 @@ -42,6 +42,44 @@ + + KhronosGroup/SPIRV-Cross + https://github.com/KhronosGroup/SPIRV-Cross + SPIRV-Cross is a practical tool and library for performing reflection on SPIR-V and disassembling SPIR-V back to high level languages. + https://github.com/KhronosGroup/SPIRV-Cross + GLSL + #5686a5 + 2,079 + 567 + 0 + + + https://avatars.githubusercontent.com/u/17786731?s=40&v=4 + HansKristian-Work + https://github.com/HansKristian-Work + + + https://avatars.githubusercontent.com/u/356402?s=40&v=4 + billhollings + https://github.com/billhollings + + + https://avatars.githubusercontent.com/u/42747760?s=40&v=4 + cdavis5e + https://github.com/cdavis5e + + + https://avatars.githubusercontent.com/u/379761?s=40&v=4 + RobDangerous + https://github.com/RobDangerous + + + https://avatars.githubusercontent.com/u/194842?s=40&v=4 + rdb + https://github.com/rdb + + + X0nk/Bliss-Shader https://github.com/X0nk/Bliss-Shader @@ -147,40 +185,40 @@ - KhronosGroup/SPIRV-Cross - https://github.com/KhronosGroup/SPIRV-Cross - SPIRV-Cross is a practical tool and library for performing reflection on SPIR-V and disassembling SPIR-V back to high level languages. - https://github.com/KhronosGroup/SPIRV-Cross + patriciogonzalezvivo/lygia + https://github.com/patriciogonzalezvivo/lygia + LYGIA, it's a granular and multi-language (GLSL, HLSL, WGSL, MSL and CUDA) shader library designed for performance and flexibility + https://github.com/patriciogonzalezvivo/lygia GLSL #5686a5 - 2,079 - 567 - 0 + 2,648 + 170 + 1 - https://avatars.githubusercontent.com/u/17786731?s=40&v=4 - HansKristian-Work - https://github.com/HansKristian-Work + https://avatars.githubusercontent.com/u/346914?s=40&v=4 + patriciogonzalezvivo + https://github.com/patriciogonzalezvivo - https://avatars.githubusercontent.com/u/356402?s=40&v=4 - billhollings - https://github.com/billhollings + https://avatars.githubusercontent.com/u/1876198?s=40&v=4 + shadielhajj + https://github.com/shadielhajj - https://avatars.githubusercontent.com/u/42747760?s=40&v=4 - cdavis5e - https://github.com/cdavis5e + https://avatars.githubusercontent.com/u/65011?s=40&v=4 + vade + https://github.com/vade - https://avatars.githubusercontent.com/u/379761?s=40&v=4 - RobDangerous - https://github.com/RobDangerous + https://avatars.githubusercontent.com/u/65121394?s=40&v=4 + kfahn22 + https://github.com/kfahn22 - https://avatars.githubusercontent.com/u/194842?s=40&v=4 - rdb - https://github.com/rdb + https://avatars.githubusercontent.com/u/1322824?s=40&v=4 + bonsak + https://github.com/bonsak diff --git a/data/daily/glyph-bitmap-distribution-format.json b/data/daily/glyph-bitmap-distribution-format.json index 3c8b00eb81f7..aa6cce8ec593 100644 --- a/data/daily/glyph-bitmap-distribution-format.json +++ b/data/daily/glyph-bitmap-distribution-format.json @@ -2,6 +2,6 @@ "title": "GitHub Glyph-bitmap-distribution-format Languages Daily Trending", "description": "Daily Trending of Glyph-bitmap-distribution-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/glyph-bitmap-distribution-format.xml b/data/daily/glyph-bitmap-distribution-format.xml index b2b2a0e6ab17..a62a516f8bf7 100644 --- a/data/daily/glyph-bitmap-distribution-format.xml +++ b/data/daily/glyph-bitmap-distribution-format.xml @@ -3,6 +3,6 @@ GitHub Glyph-bitmap-distribution-format Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Glyph-bitmap-distribution-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/glyph.json b/data/daily/glyph.json index 00ae392479bd..2915b8eba7d7 100644 --- a/data/daily/glyph.json +++ b/data/daily/glyph.json @@ -2,6 +2,6 @@ "title": "GitHub Glyph Languages Daily Trending", "description": "Daily Trending of Glyph Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/glyph.xml b/data/daily/glyph.xml index 4f4929f9d094..39003db75375 100644 --- a/data/daily/glyph.xml +++ b/data/daily/glyph.xml @@ -3,6 +3,6 @@ GitHub Glyph Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Glyph Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gn.json b/data/daily/gn.json index 37f799d96fd4..f5c771cd9b7d 100644 --- a/data/daily/gn.json +++ b/data/daily/gn.json @@ -2,6 +2,6 @@ "title": "GitHub Gn Languages Daily Trending", "description": "Daily Trending of Gn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gn.xml b/data/daily/gn.xml index 01495c326fdb..1d5dc21c88bf 100644 --- a/data/daily/gn.xml +++ b/data/daily/gn.xml @@ -3,6 +3,6 @@ GitHub Gn Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gnuplot.json b/data/daily/gnuplot.json index c251415927f5..38f5bf8314dc 100644 --- a/data/daily/gnuplot.json +++ b/data/daily/gnuplot.json @@ -2,6 +2,6 @@ "title": "GitHub Gnuplot Languages Daily Trending", "description": "Daily Trending of Gnuplot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gnuplot.xml b/data/daily/gnuplot.xml index c3d3eb8cc9c0..c2a54ecc06cb 100644 --- a/data/daily/gnuplot.xml +++ b/data/daily/gnuplot.xml @@ -3,6 +3,6 @@ GitHub Gnuplot Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gnuplot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/go-checksums.json b/data/daily/go-checksums.json index 3a93bc852d90..1825c60b2345 100644 --- a/data/daily/go-checksums.json +++ b/data/daily/go-checksums.json @@ -2,6 +2,6 @@ "title": "GitHub Go-checksums Languages Daily Trending", "description": "Daily Trending of Go-checksums Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/go-checksums.xml b/data/daily/go-checksums.xml index bde42ffda4de..d170687adb40 100644 --- a/data/daily/go-checksums.xml +++ b/data/daily/go-checksums.xml @@ -3,6 +3,6 @@ GitHub Go-checksums Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Go-checksums Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/go-module.json b/data/daily/go-module.json index a5b82d861c60..557e0869cc7f 100644 --- a/data/daily/go-module.json +++ b/data/daily/go-module.json @@ -2,6 +2,6 @@ "title": "GitHub Go-module Languages Daily Trending", "description": "Daily Trending of Go-module Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/go-module.xml b/data/daily/go-module.xml index 52d974088b1c..9b6a1dc1b3bd 100644 --- a/data/daily/go-module.xml +++ b/data/daily/go-module.xml @@ -3,6 +3,6 @@ GitHub Go-module Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Go-module Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/go-workspace.json b/data/daily/go-workspace.json index 65128cde263b..a73119b6521d 100644 --- a/data/daily/go-workspace.json +++ b/data/daily/go-workspace.json @@ -2,6 +2,6 @@ "title": "GitHub Go-workspace Languages Daily Trending", "description": "Daily Trending of Go-workspace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/go-workspace.xml b/data/daily/go-workspace.xml index a6c192c7f12c..e3a5c1912ec3 100644 --- a/data/daily/go-workspace.xml +++ b/data/daily/go-workspace.xml @@ -3,6 +3,6 @@ GitHub Go-workspace Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Go-workspace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/go.json b/data/daily/go.json index a5f8c416cb9f..1b6f3d3f0a12 100644 --- a/data/daily/go.json +++ b/data/daily/go.json @@ -2,185 +2,264 @@ "title": "GitHub Go Languages Daily Trending", "description": "Daily Trending of Go Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "tmc/langchaingo", - "url": "https://github.com/tmc/langchaingo", - "description": "LangChain for Go, the easiest way to write LLM-based programs in Go", + "title": "jesseduffield/lazydocker", + "url": "https://github.com/jesseduffield/lazydocker", + "description": "The lazier way to manage everything docker", "language": "Go", "languageColor": "#00ADD8", - "stars": "4,946", - "forks": "638", - "addStars": "11", + "stars": "37,794", + "forks": "1,208", + "addStars": "96", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3977?s=40&v=4", - "name": "tmc", - "url": "https://github.com/tmc" + "avatar": "https://avatars.githubusercontent.com/u/8456633?s=40&v=4", + "name": "jesseduffield", + "url": "https://github.com/jesseduffield" }, { - "avatar": "https://avatars.githubusercontent.com/u/61425964?s=40&v=4", - "name": "FluffyKebab", - "url": "https://github.com/FluffyKebab" + "avatar": "https://avatars.githubusercontent.com/u/9713907?s=40&v=4", + "name": "dawidd6", + "url": "https://github.com/dawidd6" }, { - "avatar": "https://avatars.githubusercontent.com/u/1130906?s=40&v=4", - "name": "eliben", - "url": "https://github.com/eliben" + "avatar": "https://avatars.githubusercontent.com/u/15320763?s=40&v=4", + "name": "mjarkk", + "url": "https://github.com/mjarkk" }, { - "avatar": "https://avatars.githubusercontent.com/u/63959220?s=40&v=4", - "name": "Abraxas-365", - "url": "https://github.com/Abraxas-365" + "avatar": "https://avatars.githubusercontent.com/u/15654980?s=40&v=4", + "name": "qdm12", + "url": "https://github.com/qdm12" }, { - "avatar": "https://avatars.githubusercontent.com/u/92272038?s=40&v=4", - "name": "zivkovicn", - "url": "https://github.com/zivkovicn" + "avatar": "https://avatars.githubusercontent.com/u/1804568?s=40&v=4", + "name": "thaJeztah", + "url": "https://github.com/thaJeztah" } ] }, { - "title": "yorukot/superfile", - "url": "https://github.com/yorukot/superfile", - "description": "Pretty fancy and modern terminal file manager", + "title": "SagerNet/sing-box", + "url": "https://github.com/SagerNet/sing-box", + "description": "The universal proxy platform", "language": "Go", "languageColor": "#00ADD8", - "stars": "7,638", - "forks": "171", - "addStars": "45", + "stars": "20,219", + "forks": "2,409", + "addStars": "23", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/107802416?s=40&v=4", - "name": "yorukot", - "url": "https://github.com/yorukot" + "avatar": "https://avatars.githubusercontent.com/u/56506714?s=40&v=4", + "name": "nekohasekai", + "url": "https://github.com/nekohasekai" }, { - "avatar": "https://avatars.githubusercontent.com/u/59335048?s=40&v=4", - "name": "nonepork", - "url": "https://github.com/nonepork" + "avatar": "https://avatars.githubusercontent.com/u/16221246?s=40&v=4", + "name": "PuerNya", + "url": "https://github.com/PuerNya" }, { - "avatar": "https://avatars.githubusercontent.com/u/110094421?s=40&v=4", - "name": "AnshumanNeon", - "url": "https://github.com/AnshumanNeon" + "avatar": "https://avatars.githubusercontent.com/u/16307070?s=40&v=4", + "name": "iosmanthus", + "url": "https://github.com/iosmanthus" }, { - "avatar": "https://avatars.githubusercontent.com/u/134311446?s=40&v=4", - "name": "lescx", - "url": "https://github.com/lescx" + "avatar": "https://avatars.githubusercontent.com/u/6369634?s=40&v=4", + "name": "szouc", + "url": "https://github.com/szouc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/106379370?s=40&v=4", + "name": "H1JK", + "url": "https://github.com/H1JK" + } + ] + }, + { + "title": "pocketbase/pocketbase", + "url": "https://github.com/pocketbase/pocketbase", + "description": "Open Source realtime backend in 1 file", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "40,961", + "forks": "1,923", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8248071?s=40&v=4", + "name": "ganigeorgiev", + "url": "https://github.com/ganigeorgiev" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43366254?s=40&v=4", + "name": "ValleyZw", + "url": "https://github.com/ValleyZw" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17144564?s=40&v=4", + "name": "yuxiang-gao", + "url": "https://github.com/yuxiang-gao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/550684?s=40&v=4", + "name": "pnmcosta", + "url": "https://github.com/pnmcosta" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3968727?s=40&v=4", + "name": "dschissler", + "url": "https://github.com/dschissler" } ] }, { - "title": "ollama/ollama", - "url": "https://github.com/ollama/ollama", - "description": "Get up and running with Llama 3.2, Mistral, Gemma 2, and other large language models.", + "title": "bluesky-social/indigo", + "url": "https://github.com/bluesky-social/indigo", + "description": "Go source code for Bluesky's atproto services.", "language": "Go", "languageColor": "#00ADD8", - "stars": "99,247", - "forks": "7,906", - "addStars": "135", + "stars": "861", + "forks": "115", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2372640?s=40&v=4", - "name": "mxyng", - "url": "https://github.com/mxyng" + "avatar": "https://avatars.githubusercontent.com/u/130077?s=40&v=4", + "name": "bnewbold", + "url": "https://github.com/bnewbold" }, { - "avatar": "https://avatars.githubusercontent.com/u/251292?s=40&v=4", - "name": "jmorganca", - "url": "https://github.com/jmorganca" + "avatar": "https://avatars.githubusercontent.com/u/1617325?s=40&v=4", + "name": "ericvolp12", + "url": "https://github.com/ericvolp12" }, { - "avatar": "https://avatars.githubusercontent.com/u/4033016?s=40&v=4", - "name": "dhiltgen", - "url": "https://github.com/dhiltgen" + "avatar": "https://avatars.githubusercontent.com/u/1243164?s=40&v=4", + "name": "whyrusleeping", + "url": "https://github.com/whyrusleeping" }, { - "avatar": "https://avatars.githubusercontent.com/u/5853428?s=40&v=4", - "name": "BruceMacD", - "url": "https://github.com/BruceMacD" + "avatar": "https://avatars.githubusercontent.com/u/1033975?s=40&v=4", + "name": "brianolson", + "url": "https://github.com/brianolson" }, { - "avatar": "https://avatars.githubusercontent.com/u/633681?s=40&v=4", - "name": "technovangelist", - "url": "https://github.com/technovangelist" + "avatar": "https://avatars.githubusercontent.com/u/627638?s=40&v=4", + "name": "warpfork", + "url": "https://github.com/warpfork" } ] }, { - "title": "daytonaio/daytona", - "url": "https://github.com/daytonaio/daytona", - "description": "The Open Source Dev Environment Manager.", + "title": "wagoodman/dive", + "url": "https://github.com/wagoodman/dive", + "description": "A tool for exploring each layer in a docker image", "language": "Go", "languageColor": "#00ADD8", - "stars": "11,876", - "forks": "850", + "stars": "46,853", + "forks": "1,777", "addStars": "142", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25279767?s=40&v=4", - "name": "idagelic", - "url": "https://github.com/idagelic" + "avatar": "https://avatars.githubusercontent.com/u/590471?s=40&v=4", + "name": "wagoodman", + "url": "https://github.com/wagoodman" }, { - "avatar": "https://avatars.githubusercontent.com/u/26512078?s=40&v=4", - "name": "Tpuljak", - "url": "https://github.com/Tpuljak" + "avatar": "https://avatars.githubusercontent.com/u/12529630?s=40&v=4", + "name": "willmurphyscode", + "url": "https://github.com/willmurphyscode" }, { - "avatar": "https://avatars.githubusercontent.com/u/34857453?s=40&v=4", - "name": "tarunrajput", - "url": "https://github.com/tarunrajput" + "avatar": "https://avatars.githubusercontent.com/u/8781107?s=40&v=4", + "name": "abitrolly", + "url": "https://github.com/abitrolly" }, { - "avatar": "https://avatars.githubusercontent.com/u/116551028?s=40&v=4", - "name": "lbrecic", - "url": "https://github.com/lbrecic" + "avatar": "https://avatars.githubusercontent.com/u/146378?s=40&v=4", + "name": "muesli", + "url": "https://github.com/muesli" }, { - "avatar": "https://avatars.githubusercontent.com/u/13081153?s=40&v=4", - "name": "vedranjukic", - "url": "https://github.com/vedranjukic" + "avatar": "https://avatars.githubusercontent.com/u/10204475?s=40&v=4", + "name": "akshaychhajed", + "url": "https://github.com/akshaychhajed" } ] }, { - "title": "SagerNet/sing-box", - "url": "https://github.com/SagerNet/sing-box", - "description": "The universal proxy platform", + "title": "tmc/langchaingo", + "url": "https://github.com/tmc/langchaingo", + "description": "LangChain for Go, the easiest way to write LLM-based programs in Go", "language": "Go", "languageColor": "#00ADD8", - "stars": "20,209", - "forks": "2,408", + "stars": "4,972", + "forks": "639", + "addStars": "109", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3977?s=40&v=4", + "name": "tmc", + "url": "https://github.com/tmc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61425964?s=40&v=4", + "name": "FluffyKebab", + "url": "https://github.com/FluffyKebab" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1130906?s=40&v=4", + "name": "eliben", + "url": "https://github.com/eliben" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63959220?s=40&v=4", + "name": "Abraxas-365", + "url": "https://github.com/Abraxas-365" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/92272038?s=40&v=4", + "name": "zivkovicn", + "url": "https://github.com/zivkovicn" + } + ] + }, + { + "title": "AdguardTeam/AdGuardHome", + "url": "https://github.com/AdguardTeam/AdGuardHome", + "description": "Network-wide ads & trackers blocking DNS server", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "25,675", + "forks": "1,842", "addStars": "23", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/56506714?s=40&v=4", - "name": "nekohasekai", - "url": "https://github.com/nekohasekai" + "avatar": "https://avatars.githubusercontent.com/u/4964986?s=40&v=4", + "name": "ainar-g", + "url": "https://github.com/ainar-g" }, { - "avatar": "https://avatars.githubusercontent.com/u/16221246?s=40&v=4", - "name": "PuerNya", - "url": "https://github.com/PuerNya" + "avatar": "https://avatars.githubusercontent.com/u/38690158?s=40&v=4", + "name": "szolin", + "url": "https://github.com/szolin" }, { - "avatar": "https://avatars.githubusercontent.com/u/16307070?s=40&v=4", - "name": "iosmanthus", - "url": "https://github.com/iosmanthus" + "avatar": "https://avatars.githubusercontent.com/u/2804667?s=40&v=4", + "name": "IldarKamalov", + "url": "https://github.com/IldarKamalov" }, { - "avatar": "https://avatars.githubusercontent.com/u/6369634?s=40&v=4", - "name": "szouc", - "url": "https://github.com/szouc" + "avatar": "https://avatars.githubusercontent.com/u/5947035?s=40&v=4", + "name": "ameshkov", + "url": "https://github.com/ameshkov" }, { - "avatar": "https://avatars.githubusercontent.com/u/106379370?s=40&v=4", - "name": "H1JK", - "url": "https://github.com/H1JK" + "avatar": "https://avatars.githubusercontent.com/u/739119?s=40&v=4", + "name": "hmage", + "url": "https://github.com/hmage" } ] }, @@ -190,8 +269,8 @@ "description": "Go-blueprint allows users to spin up a quick Go project using a popular framework", "language": "Go", "languageColor": "#00ADD8", - "stars": "5,439", - "forks": "314", + "stars": "5,456", + "forks": "316", "addStars": "82", "contributors": [ { @@ -221,51 +300,14 @@ } ] }, - { - "title": "jesseduffield/lazydocker", - "url": "https://github.com/jesseduffield/lazydocker", - "description": "The lazier way to manage everything docker", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "37,721", - "forks": "1,207", - "addStars": "96", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8456633?s=40&v=4", - "name": "jesseduffield", - "url": "https://github.com/jesseduffield" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9713907?s=40&v=4", - "name": "dawidd6", - "url": "https://github.com/dawidd6" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15320763?s=40&v=4", - "name": "mjarkk", - "url": "https://github.com/mjarkk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15654980?s=40&v=4", - "name": "qdm12", - "url": "https://github.com/qdm12" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1804568?s=40&v=4", - "name": "thaJeztah", - "url": "https://github.com/thaJeztah" - } - ] - }, { "title": "XTLS/Xray-core", "url": "https://github.com/XTLS/Xray-core", "description": "Xray, Penetrates Everything. Also the best v2ray-core, with XTLS support. Fully compatible configuration.", "language": "Go", "languageColor": "#00ADD8", - "stars": "25,757", - "forks": "3,968", + "stars": "25,765", + "forks": "3,969", "addStars": "23", "contributors": [ { @@ -291,34 +333,39 @@ ] }, { - "title": "zeromicro/go-zero", - "url": "https://github.com/zeromicro/go-zero", - "description": "A cloud-native Go microservices framework with cli tool for productivity.", + "title": "AlexxIT/go2rtc", + "url": "https://github.com/AlexxIT/go2rtc", + "description": "Ultimate camera streaming application with support RTSP, RTMP, HTTP-FLV, WebRTC, MSE, HLS, MP4, MJPEG, HomeKit, FFmpeg, etc.", "language": "Go", "languageColor": "#00ADD8", - "stars": "29,365", - "forks": "3,966", - "addStars": "9", + "stars": "7,038", + "forks": "505", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1918356?s=40&v=4", - "name": "kevwan", - "url": "https://github.com/kevwan" + "avatar": "https://avatars.githubusercontent.com/u/511909?s=40&v=4", + "name": "AlexxIT", + "url": "https://github.com/AlexxIT" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15078499?s=40&v=4", + "name": "skrashevich", + "url": "https://github.com/skrashevich" }, { - "avatar": "https://avatars.githubusercontent.com/u/10302073?s=40&v=4", - "name": "kesonan", - "url": "https://github.com/kesonan" + "avatar": "https://avatars.githubusercontent.com/u/29582865?s=40&v=4", + "name": "felipecrs", + "url": "https://github.com/felipecrs" }, { - "avatar": "https://avatars.githubusercontent.com/u/2328454?s=40&v=4", - "name": "kingxt", - "url": "https://github.com/kingxt" + "avatar": "https://avatars.githubusercontent.com/u/777196?s=40&v=4", + "name": "dbuezas", + "url": "https://github.com/dbuezas" }, { - "avatar": "https://avatars.githubusercontent.com/u/20548053?s=40&v=4", - "name": "chenquan", - "url": "https://github.com/chenquan" + "avatar": "https://avatars.githubusercontent.com/u/20200522?s=40&v=4", + "name": "reifl", + "url": "https://github.com/reifl" } ] }, @@ -328,7 +375,7 @@ "description": "Solar Charging ☀️🚘", "language": "Go", "languageColor": "#00ADD8", - "stars": "3,636", + "stars": "3,638", "forks": "676", "addStars": "1", "contributors": [ @@ -360,34 +407,66 @@ ] }, { - "title": "superseriousbusiness/gotosocial", - "url": "https://github.com/superseriousbusiness/gotosocial", - "description": "Fast, fun, small ActivityPub server.", + "title": "danielmiessler/fabric", + "url": "https://github.com/danielmiessler/fabric", + "description": "fabric is an open-source framework for augmenting humans using AI. It provides a modular framework for solving specific problems using a crowdsourced set of AI prompts that can be used anywhere.", "language": "Go", "languageColor": "#00ADD8", - "stars": "3,845", - "forks": "336", - "addStars": "7", + "stars": "25,572", + "forks": "2,721", + "addStars": "30", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/31960611?s=40&v=4", - "name": "tsmethurst", - "url": "https://github.com/tsmethurst" + "avatar": "https://avatars.githubusercontent.com/u/50654?s=40&v=4", + "name": "danielmiessler", + "url": "https://github.com/danielmiessler" }, { - "avatar": "https://avatars.githubusercontent.com/u/89579420?s=40&v=4", - "name": "NyaaaWhatsUpDoc", - "url": "https://github.com/NyaaaWhatsUpDoc" + "avatar": "https://avatars.githubusercontent.com/u/609363?s=40&v=4", + "name": "eugeis", + "url": "https://github.com/eugeis" }, { - "avatar": "https://avatars.githubusercontent.com/u/569574?s=40&v=4", - "name": "daenney", - "url": "https://github.com/daenney" + "avatar": "https://avatars.githubusercontent.com/u/9218431?s=40&v=4", + "name": "xssdoctor", + "url": "https://github.com/xssdoctor" }, { - "avatar": "https://avatars.githubusercontent.com/u/23422690?s=40&v=4", - "name": "f0x52", - "url": "https://github.com/f0x52" + "avatar": "https://avatars.githubusercontent.com/u/10410523?s=40&v=4", + "name": "agu3rra", + "url": "https://github.com/agu3rra" + } + ] + }, + { + "title": "restic/restic", + "url": "https://github.com/restic/restic", + "description": "Fast, secure, efficient backup program", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "26,709", + "forks": "1,562", + "addStars": "13", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/123276?s=40&v=4", + "name": "fd0", + "url": "https://github.com/fd0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9106997?s=40&v=4", + "name": "MichaelEischer", + "url": "https://github.com/MichaelEischer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/825204?s=40&v=4", + "name": "rawtaz", + "url": "https://github.com/rawtaz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61184462?s=40&v=4", + "name": "greatroar", + "url": "https://github.com/greatroar" } ] }, @@ -397,8 +476,8 @@ "description": "An open-source UI-first Identity and Access Management (IAM) / Single-Sign-On (SSO) platform with web UI supporting OAuth 2.0, OIDC, SAML, CAS, LDAP, SCIM, WebAuthn, TOTP, MFA, Face ID, RADIUS, Google Workspace, Active Directory and Kerberos", "language": "Go", "languageColor": "#00ADD8", - "stars": "10,365", - "forks": "1,212", + "stars": "10,372", + "forks": "1,213", "addStars": "62", "contributors": [ { @@ -429,172 +508,135 @@ ] }, { - "title": "DiceDB/dice", - "url": "https://github.com/DiceDB/dice", - "description": "DiceDB is a redis-compliant, reactive, scalable, highly-available, unified cache optimized for modern hardware.", + "title": "yorukot/superfile", + "url": "https://github.com/yorukot/superfile", + "description": "Pretty fancy and modern terminal file manager", "language": "Go", "languageColor": "#00ADD8", - "stars": "6,852", - "forks": "1,085", - "addStars": "13", + "stars": "7,652", + "forks": "172", + "addStars": "45", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4745789?s=40&v=4", - "name": "arpitbbhayani", - "url": "https://github.com/arpitbbhayani" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33001894?s=40&v=4", - "name": "JyotinderSingh", - "url": "https://github.com/JyotinderSingh" + "avatar": "https://avatars.githubusercontent.com/u/107802416?s=40&v=4", + "name": "yorukot", + "url": "https://github.com/yorukot" }, { - "avatar": "https://avatars.githubusercontent.com/u/32174554?s=40&v=4", - "name": "apoorvyadav1111", - "url": "https://github.com/apoorvyadav1111" + "avatar": "https://avatars.githubusercontent.com/u/59335048?s=40&v=4", + "name": "nonepork", + "url": "https://github.com/nonepork" }, { - "avatar": "https://avatars.githubusercontent.com/u/13472823?s=40&v=4", - "name": "lucifercr07", - "url": "https://github.com/lucifercr07" + "avatar": "https://avatars.githubusercontent.com/u/110094421?s=40&v=4", + "name": "AnshumanNeon", + "url": "https://github.com/AnshumanNeon" }, { - "avatar": "https://avatars.githubusercontent.com/u/19169648?s=40&v=4", - "name": "AshwinKul28", - "url": "https://github.com/AshwinKul28" + "avatar": "https://avatars.githubusercontent.com/u/134311446?s=40&v=4", + "name": "lescx", + "url": "https://github.com/lescx" } ] }, { - "title": "drakkan/sftpgo", - "url": "https://github.com/drakkan/sftpgo", - "description": "Full-featured and highly configurable SFTP, HTTP/S, FTP/S and WebDAV server - S3, Google Cloud Storage, Azure Blob", + "title": "iawia002/lux", + "url": "https://github.com/iawia002/lux", + "description": "👾 Fast and simple video download library and CLI tool written in Go", "language": "Go", "languageColor": "#00ADD8", - "stars": "9,506", - "forks": "739", - "addStars": "13", + "stars": "27,808", + "forks": "3,002", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/553263?s=40&v=4", - "name": "drakkan", - "url": "https://github.com/drakkan" + "avatar": "https://avatars.githubusercontent.com/u/9134003?s=40&v=4", + "name": "iawia002", + "url": "https://github.com/iawia002" }, { - "avatar": "https://avatars.githubusercontent.com/u/1226384?s=40&v=4", - "name": "sagikazarmark", - "url": "https://github.com/sagikazarmark" + "avatar": "https://avatars.githubusercontent.com/u/9758711?s=40&v=4", + "name": "axetroy", + "url": "https://github.com/axetroy" }, { - "avatar": "https://avatars.githubusercontent.com/u/3170771?s=40&v=4", - "name": "jovandeginste", - "url": "https://github.com/jovandeginste" + "avatar": "https://avatars.githubusercontent.com/u/26613789?s=40&v=4", + "name": "Half9000", + "url": "https://github.com/Half9000" }, { - "avatar": "https://avatars.githubusercontent.com/u/993199?s=40&v=4", - "name": "enescakir", - "url": "https://github.com/enescakir" + "avatar": "https://avatars.githubusercontent.com/u/252122?s=40&v=4", + "name": "shavit", + "url": "https://github.com/shavit" } ] }, { - "title": "moby/buildkit", - "url": "https://github.com/moby/buildkit", - "description": "concurrent, cache-efficient, and Dockerfile-agnostic builder toolkit", + "title": "techschool/simplebank", + "url": "https://github.com/techschool/simplebank", + "description": "Backend master class: build a simple bank service in Go", "language": "Go", "languageColor": "#00ADD8", - "stars": "8,239", - "forks": "1,169", - "addStars": "3", + "stars": "5,410", + "forks": "986", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/585223?s=40&v=4", - "name": "tonistiigi", - "url": "https://github.com/tonistiigi" + "avatar": "https://avatars.githubusercontent.com/u/58562849?s=40&v=4", + "name": "techschool", + "url": "https://github.com/techschool" }, { - "avatar": "https://avatars.githubusercontent.com/u/9248427?s=40&v=4", - "name": "AkihiroSuda", - "url": "https://github.com/AkihiroSuda" + "avatar": "https://avatars.githubusercontent.com/u/5135005?s=40&v=4", + "name": "phamlequang", + "url": "https://github.com/phamlequang" }, { - "avatar": "https://avatars.githubusercontent.com/u/1951866?s=40&v=4", - "name": "crazy-max", - "url": "https://github.com/crazy-max" + "avatar": "https://avatars.githubusercontent.com/u/117592?s=40&v=4", + "name": "xshyamx", + "url": "https://github.com/xshyamx" }, { - "avatar": "https://avatars.githubusercontent.com/u/7352848?s=40&v=4", - "name": "jedevc", - "url": "https://github.com/jedevc" + "avatar": "https://avatars.githubusercontent.com/u/1214686?s=40&v=4", + "name": "email2vimalraj", + "url": "https://github.com/email2vimalraj" }, { - "avatar": "https://avatars.githubusercontent.com/u/1804568?s=40&v=4", - "name": "thaJeztah", - "url": "https://github.com/thaJeztah" - } - ] - }, - { - "title": "moonD4rk/HackBrowserData", - "url": "https://github.com/moonD4rk/HackBrowserData", - "description": "Extract and decrypt browser data, supporting multiple data types, runnable on various operating systems (macOS, Windows, Linux).", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "11,147", - "forks": "1,571", - "addStars": "18", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/24284231?s=40&v=4", - "name": "moonD4rk", - "url": "https://github.com/moonD4rk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25531497?s=40&v=4", - "name": "Aquilao", - "url": "https://github.com/Aquilao" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15964380?s=40&v=4", - "name": "stevenlele", - "url": "https://github.com/stevenlele" + "avatar": "https://avatars.githubusercontent.com/u/20482230?s=40&v=4", + "name": "ghalibansari", + "url": "https://github.com/ghalibansari" } ] }, { - "title": "photoprism/photoprism", - "url": "https://github.com/photoprism/photoprism", - "description": "AI-Powered Photos App for the Decentralized Web 🌈💎✨", + "title": "v2rayA/v2rayA", + "url": "https://github.com/v2rayA/v2rayA", + "description": "A web GUI client of Project V which supports VMess, VLESS, SS, SSR, Trojan, Tuic and Juicity protocols. 🚀", "language": "Go", "languageColor": "#00ADD8", - "stars": "35,504", - "forks": "1,968", - "addStars": "14", + "stars": "11,601", + "forks": "1,226", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/301686?s=40&v=4", - "name": "lastzero", - "url": "https://github.com/lastzero" + "avatar": "https://avatars.githubusercontent.com/u/30586220?s=40&v=4", + "name": "mzz2017", + "url": "https://github.com/mzz2017" }, { - "avatar": "https://avatars.githubusercontent.com/u/15210372?s=40&v=4", - "name": "graciousgrey", - "url": "https://github.com/graciousgrey" + "avatar": "https://avatars.githubusercontent.com/u/50002150?s=40&v=4", + "name": "MarksonHon", + "url": "https://github.com/MarksonHon" }, { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" + "avatar": "https://avatars.githubusercontent.com/u/19235246?s=40&v=4", + "name": "Gaojianli", + "url": "https://github.com/Gaojianli" }, { - "avatar": "https://avatars.githubusercontent.com/u/99031877?s=40&v=4", - "name": "photoprismci", - "url": "https://github.com/photoprismci" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65403912?s=40&v=4", - "name": "jean-louis67", - "url": "https://github.com/jean-louis67" + "avatar": "https://avatars.githubusercontent.com/u/22931465?s=40&v=4", + "name": "cubercsl", + "url": "https://github.com/cubercsl" } ] }, @@ -604,7 +646,7 @@ "description": "Build terminal forms and prompts 🤷🏻‍♀️", "language": "Go", "languageColor": "#00ADD8", - "stars": "4,629", + "stars": "4,634", "forks": "129", "addStars": "116", "contributors": [ @@ -631,76 +673,130 @@ ] }, { - "title": "kubernetes/minikube", - "url": "https://github.com/kubernetes/minikube", - "description": "Run Kubernetes locally", + "title": "usememos/memos", + "url": "https://github.com/usememos/memos", + "description": "An open-source, lightweight note-taking solution. The pain-less way to create your meaningful notes. Your Notes, Your Way.", "language": "Go", "languageColor": "#00ADD8", - "stars": "29,557", - "forks": "4,894", - "addStars": "13", + "stars": "34,552", + "forks": "2,489", + "addStars": "72", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4564227?s=40&v=4", - "name": "medyagh", - "url": "https://github.com/medyagh" + "avatar": "https://avatars.githubusercontent.com/u/24653555?s=40&v=4", + "name": "boojack", + "url": "https://github.com/boojack" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/126313?s=40&v=4", + "name": "athurg", + "url": "https://github.com/athurg" }, { - "avatar": "https://avatars.githubusercontent.com/u/44844360?s=40&v=4", - "name": "spowelljr", - "url": "https://github.com/spowelljr" + "avatar": "https://avatars.githubusercontent.com/u/31177490?s=40&v=4", + "name": "Zeng1998", + "url": "https://github.com/Zeng1998" }, { - "avatar": "https://avatars.githubusercontent.com/u/101424?s=40&v=4", - "name": "tstromberg", - "url": "https://github.com/tstromberg" + "avatar": "https://avatars.githubusercontent.com/u/172508201?s=40&v=4", + "name": "johnnyjoygh", + "url": "https://github.com/johnnyjoygh" + } + ] + }, + { + "title": "containers/podman", + "url": "https://github.com/containers/podman", + "description": "Podman: A tool for managing OCI containers and pods.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "23,935", + "forks": "2,426", + "addStars": "16", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/30189218?s=40&v=4", + "name": "openshift-merge-robot", + "url": "https://github.com/openshift-merge-robot" }, { - "avatar": "https://avatars.githubusercontent.com/u/20781941?s=40&v=4", - "name": "sharifelgamal", - "url": "https://github.com/sharifelgamal" + "avatar": "https://avatars.githubusercontent.com/u/7735048?s=40&v=4", + "name": "mheon", + "url": "https://github.com/mheon" }, { - "avatar": "https://avatars.githubusercontent.com/u/20374350?s=40&v=4", - "name": "minikube-bot", - "url": "https://github.com/minikube-bot" + "avatar": "https://avatars.githubusercontent.com/u/2000835?s=40&v=4", + "name": "rhatdan", + "url": "https://github.com/rhatdan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67430?s=40&v=4", + "name": "giuseppe", + "url": "https://github.com/giuseppe" } ] }, { - "title": "cli/cli", - "url": "https://github.com/cli/cli", - "description": "GitHub’s official command line tool", + "title": "NVIDIA/nvidia-container-toolkit", + "url": "https://github.com/NVIDIA/nvidia-container-toolkit", + "description": "Build and run containers leveraging NVIDIA GPUs", "language": "Go", "languageColor": "#00ADD8", - "stars": "37,411", - "forks": "5,845", - "addStars": "12", + "stars": "2,492", + "forks": "269", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/887?s=40&v=4", - "name": "mislav", - "url": "https://github.com/mislav" + "avatar": "https://avatars.githubusercontent.com/u/931393?s=40&v=4", + "name": "elezar", + "url": "https://github.com/elezar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/96419?s=40&v=4", + "name": "klueska", + "url": "https://github.com/klueska" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16581051?s=40&v=4", + "name": "cdesiniotis", + "url": "https://github.com/cdesiniotis" }, { - "avatar": "https://avatars.githubusercontent.com/u/98482?s=40&v=4", - "name": "vilmibm", - "url": "https://github.com/vilmibm" + "avatar": "https://avatars.githubusercontent.com/u/2658224?s=40&v=4", + "name": "tariq1890", + "url": "https://github.com/tariq1890" + } + ] + }, + { + "title": "sundowndev/phoneinfoga", + "url": "https://github.com/sundowndev/phoneinfoga", + "description": "Information gathering framework for phone numbers", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "13,202", + "forks": "3,709", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/16480203?s=40&v=4", + "name": "sundowndev", + "url": "https://github.com/sundowndev" }, { - "avatar": "https://avatars.githubusercontent.com/u/7969779?s=40&v=4", - "name": "samcoe", - "url": "https://github.com/samcoe" + "avatar": "https://avatars.githubusercontent.com/u/25180681?s=40&v=4", + "name": "renovate-bot", + "url": "https://github.com/renovate-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/596?s=40&v=4", - "name": "probablycorey", - "url": "https://github.com/probablycorey" + "avatar": "https://avatars.githubusercontent.com/u/32742569?s=40&v=4", + "name": "RomainMaillot", + "url": "https://github.com/RomainMaillot" }, { - "avatar": "https://avatars.githubusercontent.com/u/1611510?s=40&v=4", - "name": "williammartin", - "url": "https://github.com/williammartin" + "avatar": "https://avatars.githubusercontent.com/u/81534044?s=40&v=4", + "name": "richwrightnyc", + "url": "https://github.com/richwrightnyc" } ] } diff --git a/data/daily/go.xml b/data/daily/go.xml index f0f96d185498..52ec2eaa13d1 100644 --- a/data/daily/go.xml +++ b/data/daily/go.xml @@ -3,189 +3,270 @@ GitHub Go Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Go Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - tmc/langchaingo - https://github.com/tmc/langchaingo - LangChain for Go, the easiest way to write LLM-based programs in Go - https://github.com/tmc/langchaingo + jesseduffield/lazydocker + https://github.com/jesseduffield/lazydocker + The lazier way to manage everything docker + https://github.com/jesseduffield/lazydocker Go #00ADD8 - 4,946 - 638 - 11 + 37,794 + 1,208 + 96 - https://avatars.githubusercontent.com/u/3977?s=40&v=4 - tmc - https://github.com/tmc + https://avatars.githubusercontent.com/u/8456633?s=40&v=4 + jesseduffield + https://github.com/jesseduffield - https://avatars.githubusercontent.com/u/61425964?s=40&v=4 - FluffyKebab - https://github.com/FluffyKebab + https://avatars.githubusercontent.com/u/9713907?s=40&v=4 + dawidd6 + https://github.com/dawidd6 - https://avatars.githubusercontent.com/u/1130906?s=40&v=4 - eliben - https://github.com/eliben + https://avatars.githubusercontent.com/u/15320763?s=40&v=4 + mjarkk + https://github.com/mjarkk - https://avatars.githubusercontent.com/u/63959220?s=40&v=4 - Abraxas-365 - https://github.com/Abraxas-365 + https://avatars.githubusercontent.com/u/15654980?s=40&v=4 + qdm12 + https://github.com/qdm12 - https://avatars.githubusercontent.com/u/92272038?s=40&v=4 - zivkovicn - https://github.com/zivkovicn + https://avatars.githubusercontent.com/u/1804568?s=40&v=4 + thaJeztah + https://github.com/thaJeztah - yorukot/superfile - https://github.com/yorukot/superfile - Pretty fancy and modern terminal file manager - https://github.com/yorukot/superfile + SagerNet/sing-box + https://github.com/SagerNet/sing-box + The universal proxy platform + https://github.com/SagerNet/sing-box Go #00ADD8 - 7,638 - 171 - 45 + 20,219 + 2,409 + 23 - https://avatars.githubusercontent.com/u/107802416?s=40&v=4 - yorukot - https://github.com/yorukot + https://avatars.githubusercontent.com/u/56506714?s=40&v=4 + nekohasekai + https://github.com/nekohasekai - https://avatars.githubusercontent.com/u/59335048?s=40&v=4 - nonepork - https://github.com/nonepork + https://avatars.githubusercontent.com/u/16221246?s=40&v=4 + PuerNya + https://github.com/PuerNya - https://avatars.githubusercontent.com/u/110094421?s=40&v=4 - AnshumanNeon - https://github.com/AnshumanNeon + https://avatars.githubusercontent.com/u/16307070?s=40&v=4 + iosmanthus + https://github.com/iosmanthus - https://avatars.githubusercontent.com/u/134311446?s=40&v=4 - lescx - https://github.com/lescx + https://avatars.githubusercontent.com/u/6369634?s=40&v=4 + szouc + https://github.com/szouc + + + https://avatars.githubusercontent.com/u/106379370?s=40&v=4 + H1JK + https://github.com/H1JK - ollama/ollama - https://github.com/ollama/ollama - Get up and running with Llama 3.2, Mistral, Gemma 2, and other large language models. - https://github.com/ollama/ollama + pocketbase/pocketbase + https://github.com/pocketbase/pocketbase + Open Source realtime backend in 1 file + https://github.com/pocketbase/pocketbase Go #00ADD8 - 99,247 - 7,906 - 135 + 40,961 + 1,923 + 17 - https://avatars.githubusercontent.com/u/2372640?s=40&v=4 - mxyng - https://github.com/mxyng + https://avatars.githubusercontent.com/u/8248071?s=40&v=4 + ganigeorgiev + https://github.com/ganigeorgiev - https://avatars.githubusercontent.com/u/251292?s=40&v=4 - jmorganca - https://github.com/jmorganca + https://avatars.githubusercontent.com/u/43366254?s=40&v=4 + ValleyZw + https://github.com/ValleyZw - https://avatars.githubusercontent.com/u/4033016?s=40&v=4 - dhiltgen - https://github.com/dhiltgen + https://avatars.githubusercontent.com/u/17144564?s=40&v=4 + yuxiang-gao + https://github.com/yuxiang-gao - https://avatars.githubusercontent.com/u/5853428?s=40&v=4 - BruceMacD - https://github.com/BruceMacD + https://avatars.githubusercontent.com/u/550684?s=40&v=4 + pnmcosta + https://github.com/pnmcosta - https://avatars.githubusercontent.com/u/633681?s=40&v=4 - technovangelist - https://github.com/technovangelist + https://avatars.githubusercontent.com/u/3968727?s=40&v=4 + dschissler + https://github.com/dschissler - daytonaio/daytona - https://github.com/daytonaio/daytona - The Open Source Dev Environment Manager. - https://github.com/daytonaio/daytona + bluesky-social/indigo + https://github.com/bluesky-social/indigo + Go source code for Bluesky's atproto services. + https://github.com/bluesky-social/indigo Go #00ADD8 - 11,876 - 850 + 861 + 115 + 12 + + + https://avatars.githubusercontent.com/u/130077?s=40&v=4 + bnewbold + https://github.com/bnewbold + + + https://avatars.githubusercontent.com/u/1617325?s=40&v=4 + ericvolp12 + https://github.com/ericvolp12 + + + https://avatars.githubusercontent.com/u/1243164?s=40&v=4 + whyrusleeping + https://github.com/whyrusleeping + + + https://avatars.githubusercontent.com/u/1033975?s=40&v=4 + brianolson + https://github.com/brianolson + + + https://avatars.githubusercontent.com/u/627638?s=40&v=4 + warpfork + https://github.com/warpfork + + + + + wagoodman/dive + https://github.com/wagoodman/dive + A tool for exploring each layer in a docker image + https://github.com/wagoodman/dive + Go + #00ADD8 + 46,853 + 1,777 142 - https://avatars.githubusercontent.com/u/25279767?s=40&v=4 - idagelic - https://github.com/idagelic + https://avatars.githubusercontent.com/u/590471?s=40&v=4 + wagoodman + https://github.com/wagoodman - https://avatars.githubusercontent.com/u/26512078?s=40&v=4 - Tpuljak - https://github.com/Tpuljak + https://avatars.githubusercontent.com/u/12529630?s=40&v=4 + willmurphyscode + https://github.com/willmurphyscode - https://avatars.githubusercontent.com/u/34857453?s=40&v=4 - tarunrajput - https://github.com/tarunrajput + https://avatars.githubusercontent.com/u/8781107?s=40&v=4 + abitrolly + https://github.com/abitrolly - https://avatars.githubusercontent.com/u/116551028?s=40&v=4 - lbrecic - https://github.com/lbrecic + https://avatars.githubusercontent.com/u/146378?s=40&v=4 + muesli + https://github.com/muesli - https://avatars.githubusercontent.com/u/13081153?s=40&v=4 - vedranjukic - https://github.com/vedranjukic + https://avatars.githubusercontent.com/u/10204475?s=40&v=4 + akshaychhajed + https://github.com/akshaychhajed - SagerNet/sing-box - https://github.com/SagerNet/sing-box - The universal proxy platform - https://github.com/SagerNet/sing-box + tmc/langchaingo + https://github.com/tmc/langchaingo + LangChain for Go, the easiest way to write LLM-based programs in Go + https://github.com/tmc/langchaingo Go #00ADD8 - 20,209 - 2,408 + 4,972 + 639 + 109 + + + https://avatars.githubusercontent.com/u/3977?s=40&v=4 + tmc + https://github.com/tmc + + + https://avatars.githubusercontent.com/u/61425964?s=40&v=4 + FluffyKebab + https://github.com/FluffyKebab + + + https://avatars.githubusercontent.com/u/1130906?s=40&v=4 + eliben + https://github.com/eliben + + + https://avatars.githubusercontent.com/u/63959220?s=40&v=4 + Abraxas-365 + https://github.com/Abraxas-365 + + + https://avatars.githubusercontent.com/u/92272038?s=40&v=4 + zivkovicn + https://github.com/zivkovicn + + + + + AdguardTeam/AdGuardHome + https://github.com/AdguardTeam/AdGuardHome + Network-wide ads & trackers blocking DNS server + https://github.com/AdguardTeam/AdGuardHome + Go + #00ADD8 + 25,675 + 1,842 23 - https://avatars.githubusercontent.com/u/56506714?s=40&v=4 - nekohasekai - https://github.com/nekohasekai + https://avatars.githubusercontent.com/u/4964986?s=40&v=4 + ainar-g + https://github.com/ainar-g - https://avatars.githubusercontent.com/u/16221246?s=40&v=4 - PuerNya - https://github.com/PuerNya + https://avatars.githubusercontent.com/u/38690158?s=40&v=4 + szolin + https://github.com/szolin - https://avatars.githubusercontent.com/u/16307070?s=40&v=4 - iosmanthus - https://github.com/iosmanthus + https://avatars.githubusercontent.com/u/2804667?s=40&v=4 + IldarKamalov + https://github.com/IldarKamalov - https://avatars.githubusercontent.com/u/6369634?s=40&v=4 - szouc - https://github.com/szouc + https://avatars.githubusercontent.com/u/5947035?s=40&v=4 + ameshkov + https://github.com/ameshkov - https://avatars.githubusercontent.com/u/106379370?s=40&v=4 - H1JK - https://github.com/H1JK + https://avatars.githubusercontent.com/u/739119?s=40&v=4 + hmage + https://github.com/hmage @@ -196,8 +277,8 @@ https://github.com/Melkeydev/go-blueprint Go #00ADD8 - 5,439 - 314 + 5,456 + 316 82 @@ -227,44 +308,6 @@ - - jesseduffield/lazydocker - https://github.com/jesseduffield/lazydocker - The lazier way to manage everything docker - https://github.com/jesseduffield/lazydocker - Go - #00ADD8 - 37,721 - 1,207 - 96 - - - https://avatars.githubusercontent.com/u/8456633?s=40&v=4 - jesseduffield - https://github.com/jesseduffield - - - https://avatars.githubusercontent.com/u/9713907?s=40&v=4 - dawidd6 - https://github.com/dawidd6 - - - https://avatars.githubusercontent.com/u/15320763?s=40&v=4 - mjarkk - https://github.com/mjarkk - - - https://avatars.githubusercontent.com/u/15654980?s=40&v=4 - qdm12 - https://github.com/qdm12 - - - https://avatars.githubusercontent.com/u/1804568?s=40&v=4 - thaJeztah - https://github.com/thaJeztah - - - XTLS/Xray-core https://github.com/XTLS/Xray-core @@ -272,8 +315,8 @@ https://github.com/XTLS/Xray-core Go #00ADD8 - 25,757 - 3,968 + 25,765 + 3,969 23 @@ -299,35 +342,40 @@ - zeromicro/go-zero - https://github.com/zeromicro/go-zero - A cloud-native Go microservices framework with cli tool for productivity. - https://github.com/zeromicro/go-zero + AlexxIT/go2rtc + https://github.com/AlexxIT/go2rtc + Ultimate camera streaming application with support RTSP, RTMP, HTTP-FLV, WebRTC, MSE, HLS, MP4, MJPEG, HomeKit, FFmpeg, etc. + https://github.com/AlexxIT/go2rtc Go #00ADD8 - 29,365 - 3,966 - 9 + 7,038 + 505 + 38 - https://avatars.githubusercontent.com/u/1918356?s=40&v=4 - kevwan - https://github.com/kevwan + https://avatars.githubusercontent.com/u/511909?s=40&v=4 + AlexxIT + https://github.com/AlexxIT + + + https://avatars.githubusercontent.com/u/15078499?s=40&v=4 + skrashevich + https://github.com/skrashevich - https://avatars.githubusercontent.com/u/10302073?s=40&v=4 - kesonan - https://github.com/kesonan + https://avatars.githubusercontent.com/u/29582865?s=40&v=4 + felipecrs + https://github.com/felipecrs - https://avatars.githubusercontent.com/u/2328454?s=40&v=4 - kingxt - https://github.com/kingxt + https://avatars.githubusercontent.com/u/777196?s=40&v=4 + dbuezas + https://github.com/dbuezas - https://avatars.githubusercontent.com/u/20548053?s=40&v=4 - chenquan - https://github.com/chenquan + https://avatars.githubusercontent.com/u/20200522?s=40&v=4 + reifl + https://github.com/reifl @@ -338,7 +386,7 @@ https://github.com/evcc-io/evcc Go #00ADD8 - 3,636 + 3,638 676 1 @@ -370,35 +418,68 @@ - superseriousbusiness/gotosocial - https://github.com/superseriousbusiness/gotosocial - Fast, fun, small ActivityPub server. - https://github.com/superseriousbusiness/gotosocial + danielmiessler/fabric + https://github.com/danielmiessler/fabric + fabric is an open-source framework for augmenting humans using AI. It provides a modular framework for solving specific problems using a crowdsourced set of AI prompts that can be used anywhere. + https://github.com/danielmiessler/fabric + Go + #00ADD8 + 25,572 + 2,721 + 30 + + + https://avatars.githubusercontent.com/u/50654?s=40&v=4 + danielmiessler + https://github.com/danielmiessler + + + https://avatars.githubusercontent.com/u/609363?s=40&v=4 + eugeis + https://github.com/eugeis + + + https://avatars.githubusercontent.com/u/9218431?s=40&v=4 + xssdoctor + https://github.com/xssdoctor + + + https://avatars.githubusercontent.com/u/10410523?s=40&v=4 + agu3rra + https://github.com/agu3rra + + + + + restic/restic + https://github.com/restic/restic + Fast, secure, efficient backup program + https://github.com/restic/restic Go #00ADD8 - 3,845 - 336 - 7 + 26,709 + 1,562 + 13 - https://avatars.githubusercontent.com/u/31960611?s=40&v=4 - tsmethurst - https://github.com/tsmethurst + https://avatars.githubusercontent.com/u/123276?s=40&v=4 + fd0 + https://github.com/fd0 - https://avatars.githubusercontent.com/u/89579420?s=40&v=4 - NyaaaWhatsUpDoc - https://github.com/NyaaaWhatsUpDoc + https://avatars.githubusercontent.com/u/9106997?s=40&v=4 + MichaelEischer + https://github.com/MichaelEischer - https://avatars.githubusercontent.com/u/569574?s=40&v=4 - daenney - https://github.com/daenney + https://avatars.githubusercontent.com/u/825204?s=40&v=4 + rawtaz + https://github.com/rawtaz - https://avatars.githubusercontent.com/u/23422690?s=40&v=4 - f0x52 - https://github.com/f0x52 + https://avatars.githubusercontent.com/u/61184462?s=40&v=4 + greatroar + https://github.com/greatroar @@ -409,8 +490,8 @@ https://github.com/casdoor/casdoor Go #00ADD8 - 10,365 - 1,212 + 10,372 + 1,213 62 @@ -441,177 +522,139 @@ - DiceDB/dice - https://github.com/DiceDB/dice - DiceDB is a redis-compliant, reactive, scalable, highly-available, unified cache optimized for modern hardware. - https://github.com/DiceDB/dice + yorukot/superfile + https://github.com/yorukot/superfile + Pretty fancy and modern terminal file manager + https://github.com/yorukot/superfile Go #00ADD8 - 6,852 - 1,085 - 13 + 7,652 + 172 + 45 - https://avatars.githubusercontent.com/u/4745789?s=40&v=4 - arpitbbhayani - https://github.com/arpitbbhayani - - - https://avatars.githubusercontent.com/u/33001894?s=40&v=4 - JyotinderSingh - https://github.com/JyotinderSingh + https://avatars.githubusercontent.com/u/107802416?s=40&v=4 + yorukot + https://github.com/yorukot - https://avatars.githubusercontent.com/u/32174554?s=40&v=4 - apoorvyadav1111 - https://github.com/apoorvyadav1111 + https://avatars.githubusercontent.com/u/59335048?s=40&v=4 + nonepork + https://github.com/nonepork - https://avatars.githubusercontent.com/u/13472823?s=40&v=4 - lucifercr07 - https://github.com/lucifercr07 + https://avatars.githubusercontent.com/u/110094421?s=40&v=4 + AnshumanNeon + https://github.com/AnshumanNeon - https://avatars.githubusercontent.com/u/19169648?s=40&v=4 - AshwinKul28 - https://github.com/AshwinKul28 + https://avatars.githubusercontent.com/u/134311446?s=40&v=4 + lescx + https://github.com/lescx - drakkan/sftpgo - https://github.com/drakkan/sftpgo - Full-featured and highly configurable SFTP, HTTP/S, FTP/S and WebDAV server - S3, Google Cloud Storage, Azure Blob - https://github.com/drakkan/sftpgo + iawia002/lux + https://github.com/iawia002/lux + 👾 Fast and simple video download library and CLI tool written in Go + https://github.com/iawia002/lux Go #00ADD8 - 9,506 - 739 - 13 + 27,808 + 3,002 + 8 - https://avatars.githubusercontent.com/u/553263?s=40&v=4 - drakkan - https://github.com/drakkan + https://avatars.githubusercontent.com/u/9134003?s=40&v=4 + iawia002 + https://github.com/iawia002 - https://avatars.githubusercontent.com/u/1226384?s=40&v=4 - sagikazarmark - https://github.com/sagikazarmark + https://avatars.githubusercontent.com/u/9758711?s=40&v=4 + axetroy + https://github.com/axetroy - https://avatars.githubusercontent.com/u/3170771?s=40&v=4 - jovandeginste - https://github.com/jovandeginste + https://avatars.githubusercontent.com/u/26613789?s=40&v=4 + Half9000 + https://github.com/Half9000 - https://avatars.githubusercontent.com/u/993199?s=40&v=4 - enescakir - https://github.com/enescakir + https://avatars.githubusercontent.com/u/252122?s=40&v=4 + shavit + https://github.com/shavit - moby/buildkit - https://github.com/moby/buildkit - concurrent, cache-efficient, and Dockerfile-agnostic builder toolkit - https://github.com/moby/buildkit + techschool/simplebank + https://github.com/techschool/simplebank + Backend master class: build a simple bank service in Go + https://github.com/techschool/simplebank Go #00ADD8 - 8,239 - 1,169 - 3 + 5,410 + 986 + 14 - https://avatars.githubusercontent.com/u/585223?s=40&v=4 - tonistiigi - https://github.com/tonistiigi + https://avatars.githubusercontent.com/u/58562849?s=40&v=4 + techschool + https://github.com/techschool - https://avatars.githubusercontent.com/u/9248427?s=40&v=4 - AkihiroSuda - https://github.com/AkihiroSuda + https://avatars.githubusercontent.com/u/5135005?s=40&v=4 + phamlequang + https://github.com/phamlequang - https://avatars.githubusercontent.com/u/1951866?s=40&v=4 - crazy-max - https://github.com/crazy-max - - - https://avatars.githubusercontent.com/u/7352848?s=40&v=4 - jedevc - https://github.com/jedevc - - - https://avatars.githubusercontent.com/u/1804568?s=40&v=4 - thaJeztah - https://github.com/thaJeztah - - - - - moonD4rk/HackBrowserData - https://github.com/moonD4rk/HackBrowserData - Extract and decrypt browser data, supporting multiple data types, runnable on various operating systems (macOS, Windows, Linux). - https://github.com/moonD4rk/HackBrowserData - Go - #00ADD8 - 11,147 - 1,571 - 18 - - - https://avatars.githubusercontent.com/u/24284231?s=40&v=4 - moonD4rk - https://github.com/moonD4rk + https://avatars.githubusercontent.com/u/117592?s=40&v=4 + xshyamx + https://github.com/xshyamx - https://avatars.githubusercontent.com/u/25531497?s=40&v=4 - Aquilao - https://github.com/Aquilao + https://avatars.githubusercontent.com/u/1214686?s=40&v=4 + email2vimalraj + https://github.com/email2vimalraj - https://avatars.githubusercontent.com/u/15964380?s=40&v=4 - stevenlele - https://github.com/stevenlele + https://avatars.githubusercontent.com/u/20482230?s=40&v=4 + ghalibansari + https://github.com/ghalibansari - photoprism/photoprism - https://github.com/photoprism/photoprism - AI-Powered Photos App for the Decentralized Web 🌈💎✨ - https://github.com/photoprism/photoprism + v2rayA/v2rayA + https://github.com/v2rayA/v2rayA + A web GUI client of Project V which supports VMess, VLESS, SS, SSR, Trojan, Tuic and Juicity protocols. 🚀 + https://github.com/v2rayA/v2rayA Go #00ADD8 - 35,504 - 1,968 - 14 + 11,601 + 1,226 + 11 - https://avatars.githubusercontent.com/u/301686?s=40&v=4 - lastzero - https://github.com/lastzero + https://avatars.githubusercontent.com/u/30586220?s=40&v=4 + mzz2017 + https://github.com/mzz2017 - https://avatars.githubusercontent.com/u/15210372?s=40&v=4 - graciousgrey - https://github.com/graciousgrey + https://avatars.githubusercontent.com/u/50002150?s=40&v=4 + MarksonHon + https://github.com/MarksonHon - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate + https://avatars.githubusercontent.com/u/19235246?s=40&v=4 + Gaojianli + https://github.com/Gaojianli - https://avatars.githubusercontent.com/u/99031877?s=40&v=4 - photoprismci - https://github.com/photoprismci - - - https://avatars.githubusercontent.com/u/65403912?s=40&v=4 - jean-louis67 - https://github.com/jean-louis67 + https://avatars.githubusercontent.com/u/22931465?s=40&v=4 + cubercsl + https://github.com/cubercsl @@ -622,7 +665,7 @@ https://github.com/charmbracelet/huh Go #00ADD8 - 4,629 + 4,634 129 116 @@ -649,78 +692,134 @@ - kubernetes/minikube - https://github.com/kubernetes/minikube - Run Kubernetes locally - https://github.com/kubernetes/minikube + usememos/memos + https://github.com/usememos/memos + An open-source, lightweight note-taking solution. The pain-less way to create your meaningful notes. Your Notes, Your Way. + https://github.com/usememos/memos Go #00ADD8 - 29,557 - 4,894 - 13 + 34,552 + 2,489 + 72 - https://avatars.githubusercontent.com/u/4564227?s=40&v=4 - medyagh - https://github.com/medyagh + https://avatars.githubusercontent.com/u/24653555?s=40&v=4 + boojack + https://github.com/boojack - https://avatars.githubusercontent.com/u/44844360?s=40&v=4 - spowelljr - https://github.com/spowelljr + https://avatars.githubusercontent.com/u/126313?s=40&v=4 + athurg + https://github.com/athurg + + + https://avatars.githubusercontent.com/u/31177490?s=40&v=4 + Zeng1998 + https://github.com/Zeng1998 + + + https://avatars.githubusercontent.com/u/172508201?s=40&v=4 + johnnyjoygh + https://github.com/johnnyjoygh + + + + + containers/podman + https://github.com/containers/podman + Podman: A tool for managing OCI containers and pods. + https://github.com/containers/podman + Go + #00ADD8 + 23,935 + 2,426 + 16 + + + https://avatars.githubusercontent.com/u/30189218?s=40&v=4 + openshift-merge-robot + https://github.com/openshift-merge-robot - https://avatars.githubusercontent.com/u/101424?s=40&v=4 - tstromberg - https://github.com/tstromberg + https://avatars.githubusercontent.com/u/7735048?s=40&v=4 + mheon + https://github.com/mheon - https://avatars.githubusercontent.com/u/20781941?s=40&v=4 - sharifelgamal - https://github.com/sharifelgamal + https://avatars.githubusercontent.com/u/2000835?s=40&v=4 + rhatdan + https://github.com/rhatdan - https://avatars.githubusercontent.com/u/20374350?s=40&v=4 - minikube-bot - https://github.com/minikube-bot + https://avatars.githubusercontent.com/u/67430?s=40&v=4 + giuseppe + https://github.com/giuseppe - cli/cli - https://github.com/cli/cli - GitHub’s official command line tool - https://github.com/cli/cli + NVIDIA/nvidia-container-toolkit + https://github.com/NVIDIA/nvidia-container-toolkit + Build and run containers leveraging NVIDIA GPUs + https://github.com/NVIDIA/nvidia-container-toolkit Go #00ADD8 - 37,411 - 5,845 - 12 + 2,492 + 269 + 6 - https://avatars.githubusercontent.com/u/887?s=40&v=4 - mislav - https://github.com/mislav + https://avatars.githubusercontent.com/u/931393?s=40&v=4 + elezar + https://github.com/elezar - https://avatars.githubusercontent.com/u/98482?s=40&v=4 - vilmibm - https://github.com/vilmibm + https://avatars.githubusercontent.com/u/96419?s=40&v=4 + klueska + https://github.com/klueska + + + https://avatars.githubusercontent.com/u/16581051?s=40&v=4 + cdesiniotis + https://github.com/cdesiniotis + + + https://avatars.githubusercontent.com/u/2658224?s=40&v=4 + tariq1890 + https://github.com/tariq1890 + + + + + sundowndev/phoneinfoga + https://github.com/sundowndev/phoneinfoga + Information gathering framework for phone numbers + https://github.com/sundowndev/phoneinfoga + Go + #00ADD8 + 13,202 + 3,709 + 9 + + + https://avatars.githubusercontent.com/u/16480203?s=40&v=4 + sundowndev + https://github.com/sundowndev - https://avatars.githubusercontent.com/u/7969779?s=40&v=4 - samcoe - https://github.com/samcoe + https://avatars.githubusercontent.com/u/25180681?s=40&v=4 + renovate-bot + https://github.com/renovate-bot - https://avatars.githubusercontent.com/u/596?s=40&v=4 - probablycorey - https://github.com/probablycorey + https://avatars.githubusercontent.com/u/32742569?s=40&v=4 + RomainMaillot + https://github.com/RomainMaillot - https://avatars.githubusercontent.com/u/1611510?s=40&v=4 - williammartin - https://github.com/williammartin + https://avatars.githubusercontent.com/u/81534044?s=40&v=4 + richwrightnyc + https://github.com/richwrightnyc diff --git a/data/daily/godot-resource.json b/data/daily/godot-resource.json index 1cdeed440448..a3d78c40cdd3 100644 --- a/data/daily/godot-resource.json +++ b/data/daily/godot-resource.json @@ -2,6 +2,6 @@ "title": "GitHub Godot-resource Languages Daily Trending", "description": "Daily Trending of Godot-resource Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/godot-resource.xml b/data/daily/godot-resource.xml index ae6df4db2196..3c53c319e3cc 100644 --- a/data/daily/godot-resource.xml +++ b/data/daily/godot-resource.xml @@ -3,6 +3,6 @@ GitHub Godot-resource Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Godot-resource Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/golo.json b/data/daily/golo.json index d6881e9ddfed..32464b99a71d 100644 --- a/data/daily/golo.json +++ b/data/daily/golo.json @@ -2,6 +2,6 @@ "title": "GitHub Golo Languages Daily Trending", "description": "Daily Trending of Golo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/golo.xml b/data/daily/golo.xml index 4d2d861d7a05..b020a17ec970 100644 --- a/data/daily/golo.xml +++ b/data/daily/golo.xml @@ -3,6 +3,6 @@ GitHub Golo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Golo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gosu.json b/data/daily/gosu.json index df9550523e43..45e9b659e0c0 100644 --- a/data/daily/gosu.json +++ b/data/daily/gosu.json @@ -2,6 +2,6 @@ "title": "GitHub Gosu Languages Daily Trending", "description": "Daily Trending of Gosu Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gosu.xml b/data/daily/gosu.xml index 72435c5bbae2..07cd55d654b7 100644 --- a/data/daily/gosu.xml +++ b/data/daily/gosu.xml @@ -3,6 +3,6 @@ GitHub Gosu Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gosu Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/grace.json b/data/daily/grace.json index 663f8cf24686..e4fd16080297 100644 --- a/data/daily/grace.json +++ b/data/daily/grace.json @@ -2,6 +2,6 @@ "title": "GitHub Grace Languages Daily Trending", "description": "Daily Trending of Grace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/grace.xml b/data/daily/grace.xml index e02d79148b4b..dbb0b046909a 100644 --- a/data/daily/grace.xml +++ b/data/daily/grace.xml @@ -3,6 +3,6 @@ GitHub Grace Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Grace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gradle-kotlin-dsl.json b/data/daily/gradle-kotlin-dsl.json index 0e704a0a5ea3..5f9fe1c9dca9 100644 --- a/data/daily/gradle-kotlin-dsl.json +++ b/data/daily/gradle-kotlin-dsl.json @@ -2,6 +2,6 @@ "title": "GitHub Gradle-kotlin-dsl Languages Daily Trending", "description": "Daily Trending of Gradle-kotlin-dsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gradle-kotlin-dsl.xml b/data/daily/gradle-kotlin-dsl.xml index e87e5dd9a094..d2a1494f82dd 100644 --- a/data/daily/gradle-kotlin-dsl.xml +++ b/data/daily/gradle-kotlin-dsl.xml @@ -3,6 +3,6 @@ GitHub Gradle-kotlin-dsl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gradle-kotlin-dsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/gradle.json b/data/daily/gradle.json index ef93b030d5e2..e2933f88eea3 100644 --- a/data/daily/gradle.json +++ b/data/daily/gradle.json @@ -2,6 +2,6 @@ "title": "GitHub Gradle Languages Daily Trending", "description": "Daily Trending of Gradle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gradle.xml b/data/daily/gradle.xml index b511ae313c2f..7b77c3256108 100644 --- a/data/daily/gradle.xml +++ b/data/daily/gradle.xml @@ -3,6 +3,6 @@ GitHub Gradle Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gradle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/grammatical-framework.json b/data/daily/grammatical-framework.json index 41e72c92e876..19d8e0ed4e8c 100644 --- a/data/daily/grammatical-framework.json +++ b/data/daily/grammatical-framework.json @@ -2,6 +2,6 @@ "title": "GitHub Grammatical-framework Languages Daily Trending", "description": "Daily Trending of Grammatical-framework Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/grammatical-framework.xml b/data/daily/grammatical-framework.xml index 50ad935cea12..895fe0e4dcef 100644 --- a/data/daily/grammatical-framework.xml +++ b/data/daily/grammatical-framework.xml @@ -3,6 +3,6 @@ GitHub Grammatical-framework Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Grammatical-framework Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/graph-modeling-language.json b/data/daily/graph-modeling-language.json index fc92d2a7084b..08a2494933c7 100644 --- a/data/daily/graph-modeling-language.json +++ b/data/daily/graph-modeling-language.json @@ -2,6 +2,6 @@ "title": "GitHub Graph-modeling-language Languages Daily Trending", "description": "Daily Trending of Graph-modeling-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/graph-modeling-language.xml b/data/daily/graph-modeling-language.xml index 99b0e04ae9ff..7aae71b667f5 100644 --- a/data/daily/graph-modeling-language.xml +++ b/data/daily/graph-modeling-language.xml @@ -3,6 +3,6 @@ GitHub Graph-modeling-language Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Graph-modeling-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/graphql.json b/data/daily/graphql.json index 311a034cc07c..e2887dec0908 100644 --- a/data/daily/graphql.json +++ b/data/daily/graphql.json @@ -2,6 +2,6 @@ "title": "GitHub Graphql Languages Daily Trending", "description": "Daily Trending of Graphql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/graphql.xml b/data/daily/graphql.xml index 04a33b164a69..f0cf940b1510 100644 --- a/data/daily/graphql.xml +++ b/data/daily/graphql.xml @@ -3,6 +3,6 @@ GitHub Graphql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Graphql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/graphviz-(dot).json b/data/daily/graphviz-(dot).json index ea7e34b6b463..9fd0ed5860b0 100644 --- a/data/daily/graphviz-(dot).json +++ b/data/daily/graphviz-(dot).json @@ -2,6 +2,6 @@ "title": "GitHub Graphviz-(dot) Languages Daily Trending", "description": "Daily Trending of Graphviz-(dot) Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/graphviz-(dot).xml b/data/daily/graphviz-(dot).xml index f75ec0ea9fe7..3939cce50d0e 100644 --- a/data/daily/graphviz-(dot).xml +++ b/data/daily/graphviz-(dot).xml @@ -3,6 +3,6 @@ GitHub Graphviz-(dot) Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Graphviz-(dot) Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/groovy-server-pages.json b/data/daily/groovy-server-pages.json index 860719fbf3c2..489508bafa9e 100644 --- a/data/daily/groovy-server-pages.json +++ b/data/daily/groovy-server-pages.json @@ -2,6 +2,6 @@ "title": "GitHub Groovy-server-pages Languages Daily Trending", "description": "Daily Trending of Groovy-server-pages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/groovy-server-pages.xml b/data/daily/groovy-server-pages.xml index 14825465eaac..d5cd208235c8 100644 --- a/data/daily/groovy-server-pages.xml +++ b/data/daily/groovy-server-pages.xml @@ -3,6 +3,6 @@ GitHub Groovy-server-pages Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Groovy-server-pages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/groovy.json b/data/daily/groovy.json index cb526b9f4242..dbf384448658 100644 --- a/data/daily/groovy.json +++ b/data/daily/groovy.json @@ -2,8 +2,45 @@ "title": "GitHub Groovy Languages Daily Trending", "description": "Daily Trending of Groovy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "gradle/gradle", + "url": "https://github.com/gradle/gradle", + "description": "Adaptable, fast automation for all", + "language": "Groovy", + "languageColor": "#4298b8", + "stars": "16,989", + "forks": "4,767", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/99316?s=40&v=4", + "name": "adammurdoch", + "url": "https://github.com/adammurdoch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/132773?s=40&v=4", + "name": "eskatos", + "url": "https://github.com/eskatos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/423186?s=40&v=4", + "name": "wolfs", + "url": "https://github.com/wolfs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/51689?s=40&v=4", + "name": "bamboo", + "url": "https://github.com/bamboo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5387972?s=40&v=4", + "name": "big-guy", + "url": "https://github.com/big-guy" + } + ] + }, { "title": "rundeck/rundeck", "url": "https://github.com/rundeck/rundeck", @@ -40,43 +77,6 @@ "url": "https://github.com/chrismcg14" } ] - }, - { - "title": "gradle/gradle", - "url": "https://github.com/gradle/gradle", - "description": "Adaptable, fast automation for all", - "language": "Groovy", - "languageColor": "#4298b8", - "stars": "16,987", - "forks": "4,765", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/99316?s=40&v=4", - "name": "adammurdoch", - "url": "https://github.com/adammurdoch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/132773?s=40&v=4", - "name": "eskatos", - "url": "https://github.com/eskatos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/423186?s=40&v=4", - "name": "wolfs", - "url": "https://github.com/wolfs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51689?s=40&v=4", - "name": "bamboo", - "url": "https://github.com/bamboo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5387972?s=40&v=4", - "name": "big-guy", - "url": "https://github.com/big-guy" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/groovy.xml b/data/daily/groovy.xml index 0959b3e3ee4a..d8a2ce93fb10 100644 --- a/data/daily/groovy.xml +++ b/data/daily/groovy.xml @@ -3,7 +3,45 @@ GitHub Groovy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Groovy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + gradle/gradle + https://github.com/gradle/gradle + Adaptable, fast automation for all + https://github.com/gradle/gradle + Groovy + #4298b8 + 16,989 + 4,767 + 5 + + + https://avatars.githubusercontent.com/u/99316?s=40&v=4 + adammurdoch + https://github.com/adammurdoch + + + https://avatars.githubusercontent.com/u/132773?s=40&v=4 + eskatos + https://github.com/eskatos + + + https://avatars.githubusercontent.com/u/423186?s=40&v=4 + wolfs + https://github.com/wolfs + + + https://avatars.githubusercontent.com/u/51689?s=40&v=4 + bamboo + https://github.com/bamboo + + + https://avatars.githubusercontent.com/u/5387972?s=40&v=4 + big-guy + https://github.com/big-guy + + + rundeck/rundeck https://github.com/rundeck/rundeck @@ -42,43 +80,5 @@ - - gradle/gradle - https://github.com/gradle/gradle - Adaptable, fast automation for all - https://github.com/gradle/gradle - Groovy - #4298b8 - 16,987 - 4,765 - 5 - - - https://avatars.githubusercontent.com/u/99316?s=40&v=4 - adammurdoch - https://github.com/adammurdoch - - - https://avatars.githubusercontent.com/u/132773?s=40&v=4 - eskatos - https://github.com/eskatos - - - https://avatars.githubusercontent.com/u/423186?s=40&v=4 - wolfs - https://github.com/wolfs - - - https://avatars.githubusercontent.com/u/51689?s=40&v=4 - bamboo - https://github.com/bamboo - - - https://avatars.githubusercontent.com/u/5387972?s=40&v=4 - big-guy - https://github.com/big-guy - - - \ No newline at end of file diff --git a/data/daily/gsc.json b/data/daily/gsc.json index c2ad6f72ed67..8771f0cca798 100644 --- a/data/daily/gsc.json +++ b/data/daily/gsc.json @@ -2,6 +2,6 @@ "title": "GitHub Gsc Languages Daily Trending", "description": "Daily Trending of Gsc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/gsc.xml b/data/daily/gsc.xml index 842aea52a957..f470251c4fa4 100644 --- a/data/daily/gsc.xml +++ b/data/daily/gsc.xml @@ -3,6 +3,6 @@ GitHub Gsc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Gsc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/hack.json b/data/daily/hack.json index 44d7b96290cd..901f5a55cff2 100644 --- a/data/daily/hack.json +++ b/data/daily/hack.json @@ -2,6 +2,6 @@ "title": "GitHub Hack Languages Daily Trending", "description": "Daily Trending of Hack Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hack.xml b/data/daily/hack.xml index 43a91f9e4da6..182a462b5b7e 100644 --- a/data/daily/hack.xml +++ b/data/daily/hack.xml @@ -3,6 +3,6 @@ GitHub Hack Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hack Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/haml.json b/data/daily/haml.json index 65b74a3de0aa..c5211630f673 100644 --- a/data/daily/haml.json +++ b/data/daily/haml.json @@ -2,6 +2,6 @@ "title": "GitHub Haml Languages Daily Trending", "description": "Daily Trending of Haml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/haml.xml b/data/daily/haml.xml index 1b0a956a96aa..c67c0b27307b 100644 --- a/data/daily/haml.xml +++ b/data/daily/haml.xml @@ -3,6 +3,6 @@ GitHub Haml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Haml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/handlebars.json b/data/daily/handlebars.json index 102c2c2617a6..fa5d2fa0691e 100644 --- a/data/daily/handlebars.json +++ b/data/daily/handlebars.json @@ -2,7 +2,7 @@ "title": "GitHub Handlebars Languages Daily Trending", "description": "Daily Trending of Handlebars Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "elastic/integrations", @@ -10,7 +10,7 @@ "description": "Elastic Integrations", "language": "Handlebars", "languageColor": "#f7931e", - "stars": "30", + "stars": "32", "forks": "448", "addStars": "0", "contributors": [ @@ -41,13 +41,87 @@ } ] }, + { + "title": "rust-lang/rust-by-example", + "url": "https://github.com/rust-lang/rust-by-example", + "description": "Learn Rust with examples (Live code editor included)", + "language": "Handlebars", + "languageColor": "#f7931e", + "stars": "7,107", + "forks": "1,356", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/27786?s=40&v=4", + "name": "steveklabnik", + "url": "https://github.com/steveklabnik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1129263?s=40&v=4", + "name": "marioidival", + "url": "https://github.com/marioidival" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13546260?s=40&v=4", + "name": "Xmasreturns", + "url": "https://github.com/Xmasreturns" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4156987?s=40&v=4", + "name": "mdinger", + "url": "https://github.com/mdinger" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7845507?s=40&v=4", + "name": "Binlogo", + "url": "https://github.com/Binlogo" + } + ] + }, + { + "title": "rust-unofficial/patterns", + "url": "https://github.com/rust-unofficial/patterns", + "description": "A catalogue of Rust design patterns, anti-patterns and idioms", + "language": "Handlebars", + "languageColor": "#f7931e", + "stars": "8,138", + "forks": "374", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/14062932?s=40&v=4", + "name": "simonsan", + "url": "https://github.com/simonsan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11428655?s=40&v=4", + "name": "MarcoIeni", + "url": "https://github.com/MarcoIeni" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/762626?s=40&v=4", + "name": "nrc", + "url": "https://github.com/nrc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1572323?s=40&v=4", + "name": "lambda-fairy", + "url": "https://github.com/lambda-fairy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4200835?s=40&v=4", + "name": "llogiq", + "url": "https://github.com/llogiq" + } + ] + }, { "title": "yeun/open-color", "url": "https://github.com/yeun/open-color", "description": "Color scheme for UI design.", "language": "Handlebars", "languageColor": "#f7931e", - "stars": "5,301", + "stars": "5,302", "forks": "243", "addStars": "2", "contributors": [ @@ -85,7 +159,7 @@ "language": "Handlebars", "languageColor": "#f7931e", "stars": "191", - "forks": "4,762", + "forks": "4,766", "addStars": "0", "contributors": [ { @@ -114,43 +188,6 @@ "url": "https://github.com/jmckulk" } ] - }, - { - "title": "rust-lang/rust-by-example", - "url": "https://github.com/rust-lang/rust-by-example", - "description": "Learn Rust with examples (Live code editor included)", - "language": "Handlebars", - "languageColor": "#f7931e", - "stars": "7,107", - "forks": "1,356", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/27786?s=40&v=4", - "name": "steveklabnik", - "url": "https://github.com/steveklabnik" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1129263?s=40&v=4", - "name": "marioidival", - "url": "https://github.com/marioidival" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13546260?s=40&v=4", - "name": "Xmasreturns", - "url": "https://github.com/Xmasreturns" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4156987?s=40&v=4", - "name": "mdinger", - "url": "https://github.com/mdinger" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7845507?s=40&v=4", - "name": "Binlogo", - "url": "https://github.com/Binlogo" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/handlebars.xml b/data/daily/handlebars.xml index 0f3136ce05c3..f0c3fc83cfab 100644 --- a/data/daily/handlebars.xml +++ b/data/daily/handlebars.xml @@ -3,7 +3,7 @@ GitHub Handlebars Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Handlebars Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT elastic/integrations https://github.com/elastic/integrations @@ -11,7 +11,7 @@ https://github.com/elastic/integrations Handlebars #f7931e - 30 + 32 448 0 @@ -42,6 +42,82 @@ + + rust-lang/rust-by-example + https://github.com/rust-lang/rust-by-example + Learn Rust with examples (Live code editor included) + https://github.com/rust-lang/rust-by-example + Handlebars + #f7931e + 7,107 + 1,356 + 4 + + + https://avatars.githubusercontent.com/u/27786?s=40&v=4 + steveklabnik + https://github.com/steveklabnik + + + https://avatars.githubusercontent.com/u/1129263?s=40&v=4 + marioidival + https://github.com/marioidival + + + https://avatars.githubusercontent.com/u/13546260?s=40&v=4 + Xmasreturns + https://github.com/Xmasreturns + + + https://avatars.githubusercontent.com/u/4156987?s=40&v=4 + mdinger + https://github.com/mdinger + + + https://avatars.githubusercontent.com/u/7845507?s=40&v=4 + Binlogo + https://github.com/Binlogo + + + + + rust-unofficial/patterns + https://github.com/rust-unofficial/patterns + A catalogue of Rust design patterns, anti-patterns and idioms + https://github.com/rust-unofficial/patterns + Handlebars + #f7931e + 8,138 + 374 + 3 + + + https://avatars.githubusercontent.com/u/14062932?s=40&v=4 + simonsan + https://github.com/simonsan + + + https://avatars.githubusercontent.com/u/11428655?s=40&v=4 + MarcoIeni + https://github.com/MarcoIeni + + + https://avatars.githubusercontent.com/u/762626?s=40&v=4 + nrc + https://github.com/nrc + + + https://avatars.githubusercontent.com/u/1572323?s=40&v=4 + lambda-fairy + https://github.com/lambda-fairy + + + https://avatars.githubusercontent.com/u/4200835?s=40&v=4 + llogiq + https://github.com/llogiq + + + yeun/open-color https://github.com/yeun/open-color @@ -49,7 +125,7 @@ https://github.com/yeun/open-color Handlebars #f7931e - 5,301 + 5,302 243 2 @@ -88,7 +164,7 @@ Handlebars #f7931e 191 - 4,762 + 4,766 0 @@ -118,43 +194,5 @@ - - rust-lang/rust-by-example - https://github.com/rust-lang/rust-by-example - Learn Rust with examples (Live code editor included) - https://github.com/rust-lang/rust-by-example - Handlebars - #f7931e - 7,107 - 1,356 - 4 - - - https://avatars.githubusercontent.com/u/27786?s=40&v=4 - steveklabnik - https://github.com/steveklabnik - - - https://avatars.githubusercontent.com/u/1129263?s=40&v=4 - marioidival - https://github.com/marioidival - - - https://avatars.githubusercontent.com/u/13546260?s=40&v=4 - Xmasreturns - https://github.com/Xmasreturns - - - https://avatars.githubusercontent.com/u/4156987?s=40&v=4 - mdinger - https://github.com/mdinger - - - https://avatars.githubusercontent.com/u/7845507?s=40&v=4 - Binlogo - https://github.com/Binlogo - - - \ No newline at end of file diff --git a/data/daily/haproxy.json b/data/daily/haproxy.json index 7797df72f4a1..2821c2fbbcc1 100644 --- a/data/daily/haproxy.json +++ b/data/daily/haproxy.json @@ -2,6 +2,6 @@ "title": "GitHub Haproxy Languages Daily Trending", "description": "Daily Trending of Haproxy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/haproxy.xml b/data/daily/haproxy.xml index a5dcafc9a567..c4a86ceb72de 100644 --- a/data/daily/haproxy.xml +++ b/data/daily/haproxy.xml @@ -3,6 +3,6 @@ GitHub Haproxy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Haproxy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/harbour.json b/data/daily/harbour.json index a541b2f8c910..8fa1b588aa3b 100644 --- a/data/daily/harbour.json +++ b/data/daily/harbour.json @@ -2,6 +2,6 @@ "title": "GitHub Harbour Languages Daily Trending", "description": "Daily Trending of Harbour Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/harbour.xml b/data/daily/harbour.xml index 2bd76a1e7f1f..a5b7058d3153 100644 --- a/data/daily/harbour.xml +++ b/data/daily/harbour.xml @@ -3,6 +3,6 @@ GitHub Harbour Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Harbour Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/haskell.json b/data/daily/haskell.json index f0e070b3eedc..1e32e75d0484 100644 --- a/data/daily/haskell.json +++ b/data/daily/haskell.json @@ -2,7 +2,7 @@ "title": "GitHub Haskell Languages Daily Trending", "description": "Daily Trending of Haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "simplex-chat/simplex-chat", @@ -10,7 +10,7 @@ "description": "SimpleX - the first messaging network operating without user identifiers of any kind - 100% private by design! iOS, Android and desktop apps 📱!", "language": "Haskell", "languageColor": "#5e5086", - "stars": "7,330", + "stars": "7,333", "forks": "331", "addStars": "7", "contributors": [ @@ -41,50 +41,13 @@ } ] }, - { - "title": "kmonad/kmonad", - "url": "https://github.com/kmonad/kmonad", - "description": "An advanced keyboard manager", - "language": "Haskell", - "languageColor": "#5e5086", - "stars": "4,108", - "forks": "325", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3296759?s=40&v=4", - "name": "david-janssen", - "url": "https://github.com/david-janssen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50166980?s=40&v=4", - "name": "slotThe", - "url": "https://github.com/slotThe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18474102?s=40&v=4", - "name": "thoelze1", - "url": "https://github.com/thoelze1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52346246?s=40&v=4", - "name": "jokesper", - "url": "https://github.com/jokesper" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7275622?s=40&v=4", - "name": "lierdakil", - "url": "https://github.com/lierdakil" - } - ] - }, { "title": "fossas/fossa-cli", "url": "https://github.com/fossas/fossa-cli", "description": "Fast, portable and reliable dependency analysis for any codebase. Supports license & vulnerability scanning for large monoliths. Language-agnostic; integrates with 20+ build systems.", "language": "Haskell", "languageColor": "#5e5086", - "stars": "1,293", + "stars": "1,294", "forks": "173", "addStars": "1", "contributors": [ @@ -116,108 +79,187 @@ ] }, { - "title": "koalaman/shellcheck", - "url": "https://github.com/koalaman/shellcheck", - "description": "ShellCheck, a static analysis tool for shell scripts", + "title": "crytic/echidna", + "url": "https://github.com/crytic/echidna", + "description": "Ethereum smart contract fuzzer", "language": "Haskell", "languageColor": "#5e5086", - "stars": "36,497", - "forks": "1,780", - "addStars": "5", + "stars": "2,761", + "forks": "370", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2818758?s=40&v=4", - "name": "koalaman", - "url": "https://github.com/koalaman" + "avatar": "https://avatars.githubusercontent.com/u/31542053?s=40&v=4", + "name": "ggrieco-tob", + "url": "https://github.com/ggrieco-tob" }, { - "avatar": "https://avatars.githubusercontent.com/u/16061266?s=40&v=4", - "name": "josephcsible", - "url": "https://github.com/josephcsible" + "avatar": "https://avatars.githubusercontent.com/u/5386869?s=40&v=4", + "name": "japesinator", + "url": "https://github.com/japesinator" }, { - "avatar": "https://avatars.githubusercontent.com/u/1749303?s=40&v=4", - "name": "ngzhian", - "url": "https://github.com/ngzhian" + "avatar": "https://avatars.githubusercontent.com/u/4679721?s=40&v=4", + "name": "arcz", + "url": "https://github.com/arcz" }, { - "avatar": "https://avatars.githubusercontent.com/u/1451663?s=40&v=4", - "name": "contivero", - "url": "https://github.com/contivero" + "avatar": "https://avatars.githubusercontent.com/u/1653966?s=40&v=4", + "name": "incertia", + "url": "https://github.com/incertia" }, { - "avatar": "https://avatars.githubusercontent.com/u/12698563?s=40&v=4", - "name": "jabberabbe", - "url": "https://github.com/jabberabbe" + "avatar": "https://avatars.githubusercontent.com/u/22142390?s=40&v=4", + "name": "blperez01", + "url": "https://github.com/blperez01" } ] }, { - "title": "IntersectMBO/cardano-node", - "url": "https://github.com/IntersectMBO/cardano-node", - "description": "The core component that is used to participate in a Cardano decentralised blockchain.", + "title": "xmonad/xmonad", + "url": "https://github.com/xmonad/xmonad", + "description": "The core of xmonad, a small but functional ICCCM-compliant tiling window manager", "language": "Haskell", "languageColor": "#5e5086", - "stars": "3,068", - "forks": "720", + "stars": "3,367", + "forks": "292", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9418537?s=40&v=4", - "name": "Jimbo4350", - "url": "https://github.com/Jimbo4350" + "avatar": "https://avatars.githubusercontent.com/u/706040?s=40&v=4", + "name": "donsbot", + "url": "https://github.com/donsbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/63014?s=40&v=4", - "name": "newhoggy", - "url": "https://github.com/newhoggy" + "avatar": "https://avatars.githubusercontent.com/u/300342?s=40&v=4", + "name": "liskin", + "url": "https://github.com/liskin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1339423?s=40&v=4", - "name": "dcoutts", - "url": "https://github.com/dcoutts" + "avatar": "https://avatars.githubusercontent.com/u/50166980?s=40&v=4", + "name": "slotThe", + "url": "https://github.com/slotThe" }, { - "avatar": "https://avatars.githubusercontent.com/u/452652?s=40&v=4", - "name": "deepfire", - "url": "https://github.com/deepfire" + "avatar": "https://avatars.githubusercontent.com/u/93006?s=40&v=4", + "name": "aavogt", + "url": "https://github.com/aavogt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2600039?s=40&v=4", + "name": "spencerjanssen", + "url": "https://github.com/spencerjanssen" } ] }, { - "title": "crytic/echidna", - "url": "https://github.com/crytic/echidna", - "description": "Ethereum smart contract fuzzer", + "title": "IntersectMBO/plutus", + "url": "https://github.com/IntersectMBO/plutus", + "description": "The Plutus language implementation and tools", "language": "Haskell", "languageColor": "#5e5086", - "stars": "2,761", - "forks": "370", + "stars": "1,572", + "forks": "479", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1699466?s=40&v=4", + "name": "michaelpj", + "url": "https://github.com/michaelpj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/652332?s=40&v=4", + "name": "jmchapman", + "url": "https://github.com/jmchapman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1771361?s=40&v=4", + "name": "j-mueller", + "url": "https://github.com/j-mueller" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6342538?s=40&v=4", + "name": "zliu41", + "url": "https://github.com/zliu41" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10480926?s=40&v=4", + "name": "effectfully", + "url": "https://github.com/effectfully" + } + ] + }, + { + "title": "unisonweb/unison", + "url": "https://github.com/unisonweb/unison", + "description": "A friendly programming language from the future", + "language": "Haskell", + "languageColor": "#5e5086", + "stars": "5,810", + "forks": "271", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/31542053?s=40&v=4", - "name": "ggrieco-tob", - "url": "https://github.com/ggrieco-tob" + "avatar": "https://avatars.githubusercontent.com/u/11074?s=40&v=4", + "name": "pchiusano", + "url": "https://github.com/pchiusano" }, { - "avatar": "https://avatars.githubusercontent.com/u/5386869?s=40&v=4", - "name": "japesinator", - "url": "https://github.com/japesinator" + "avatar": "https://avatars.githubusercontent.com/u/538571?s=40&v=4", + "name": "aryairani", + "url": "https://github.com/aryairani" }, { - "avatar": "https://avatars.githubusercontent.com/u/4679721?s=40&v=4", - "name": "arcz", - "url": "https://github.com/arcz" + "avatar": "https://avatars.githubusercontent.com/u/6439644?s=40&v=4", + "name": "ChrisPenner", + "url": "https://github.com/ChrisPenner" }, { - "avatar": "https://avatars.githubusercontent.com/u/1653966?s=40&v=4", - "name": "incertia", - "url": "https://github.com/incertia" + "avatar": "https://avatars.githubusercontent.com/u/1074598?s=40&v=4", + "name": "mitchellwrosen", + "url": "https://github.com/mitchellwrosen" }, { - "avatar": "https://avatars.githubusercontent.com/u/22142390?s=40&v=4", - "name": "blperez01", - "url": "https://github.com/blperez01" + "avatar": "https://avatars.githubusercontent.com/u/750648?s=40&v=4", + "name": "dolio", + "url": "https://github.com/dolio" + } + ] + }, + { + "title": "maralorn/nix-output-monitor", + "url": "https://github.com/maralorn/nix-output-monitor", + "description": "Pipe your nix-build output through the nix-output-monitor a.k.a nom to get additional information while building.", + "language": "Haskell", + "languageColor": "#5e5086", + "stars": "933", + "forks": "28", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1651325?s=40&v=4", + "name": "maralorn", + "url": "https://github.com/maralorn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", + "name": "SuperSandro2000", + "url": "https://github.com/SuperSandro2000" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15312184?s=40&v=4", + "name": "9999years", + "url": "https://github.com/9999years" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/58951?s=40&v=4", + "name": "NobbZ", + "url": "https://github.com/NobbZ" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/857308?s=40&v=4", + "name": "expipiplus1", + "url": "https://github.com/expipiplus1" } ] }, @@ -227,7 +269,7 @@ "description": "Robust, fast, intuitive plain text accounting tool with CLI, TUI and web interfaces.", "language": "Haskell", "languageColor": "#5e5086", - "stars": "3,063", + "stars": "3,065", "forks": "320", "addStars": "2", "contributors": [ @@ -259,76 +301,71 @@ ] }, { - "title": "augustss/MicroHs", - "url": "https://github.com/augustss/MicroHs", - "description": "Haskell implemented with combinators", + "title": "IntersectMBO/cardano-node", + "url": "https://github.com/IntersectMBO/cardano-node", + "description": "The core component that is used to participate in a Cardano decentralised blockchain.", "language": "Haskell", "languageColor": "#5e5086", - "stars": "369", - "forks": "25", - "addStars": "1", + "stars": "3,069", + "forks": "720", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/94556888?s=40&v=4", - "name": "lennart-augustsson-epicgames", - "url": "https://github.com/lennart-augustsson-epicgames" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5245086?s=40&v=4", - "name": "augustss", - "url": "https://github.com/augustss" + "avatar": "https://avatars.githubusercontent.com/u/9418537?s=40&v=4", + "name": "Jimbo4350", + "url": "https://github.com/Jimbo4350" }, { - "avatar": "https://avatars.githubusercontent.com/u/8543344?s=40&v=4", - "name": "Rewbert", - "url": "https://github.com/Rewbert" + "avatar": "https://avatars.githubusercontent.com/u/63014?s=40&v=4", + "name": "newhoggy", + "url": "https://github.com/newhoggy" }, { - "avatar": "https://avatars.githubusercontent.com/u/11977260?s=40&v=4", - "name": "yobson", - "url": "https://github.com/yobson" + "avatar": "https://avatars.githubusercontent.com/u/1339423?s=40&v=4", + "name": "dcoutts", + "url": "https://github.com/dcoutts" }, { - "avatar": "https://avatars.githubusercontent.com/u/116009?s=40&v=4", - "name": "gergoerdi", - "url": "https://github.com/gergoerdi" + "avatar": "https://avatars.githubusercontent.com/u/452652?s=40&v=4", + "name": "deepfire", + "url": "https://github.com/deepfire" } ] }, { - "title": "jgm/pandoc", - "url": "https://github.com/jgm/pandoc", - "description": "Universal markup converter", + "title": "kmonad/kmonad", + "url": "https://github.com/kmonad/kmonad", + "description": "An advanced keyboard manager", "language": "Haskell", "languageColor": "#5e5086", - "stars": "34,790", - "forks": "3,387", - "addStars": "4", + "stars": "4,108", + "forks": "325", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3044?s=40&v=4", - "name": "jgm", - "url": "https://github.com/jgm" + "avatar": "https://avatars.githubusercontent.com/u/3296759?s=40&v=4", + "name": "david-janssen", + "url": "https://github.com/david-janssen" }, { - "avatar": "https://avatars.githubusercontent.com/u/507994?s=40&v=4", - "name": "tarleb", - "url": "https://github.com/tarleb" + "avatar": "https://avatars.githubusercontent.com/u/50166980?s=40&v=4", + "name": "slotThe", + "url": "https://github.com/slotThe" }, { - "avatar": "https://avatars.githubusercontent.com/u/835121?s=40&v=4", - "name": "jkr", - "url": "https://github.com/jkr" + "avatar": "https://avatars.githubusercontent.com/u/18474102?s=40&v=4", + "name": "thoelze1", + "url": "https://github.com/thoelze1" }, { - "avatar": "https://avatars.githubusercontent.com/u/1216657?s=40&v=4", - "name": "mpickering", - "url": "https://github.com/mpickering" + "avatar": "https://avatars.githubusercontent.com/u/52346246?s=40&v=4", + "name": "jokesper", + "url": "https://github.com/jokesper" }, { - "avatar": "https://avatars.githubusercontent.com/u/157497?s=40&v=4", - "name": "mb21", - "url": "https://github.com/mb21" + "avatar": "https://avatars.githubusercontent.com/u/7275622?s=40&v=4", + "name": "lierdakil", + "url": "https://github.com/lierdakil" } ] }, @@ -363,38 +400,6 @@ "url": "https://github.com/wz1000" } ] - }, - { - "title": "haskell/cabal", - "url": "https://github.com/haskell/cabal", - "description": "Official upstream development repository for Cabal and cabal-install", - "language": "Haskell", - "languageColor": "#5e5086", - "stars": "1,627", - "forks": "696", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/47439?s=40&v=4", - "name": "23Skidoo", - "url": "https://github.com/23Skidoo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1339423?s=40&v=4", - "name": "dcoutts", - "url": "https://github.com/dcoutts" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51087?s=40&v=4", - "name": "phadej", - "url": "https://github.com/phadej" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13564?s=40&v=4", - "name": "ezyang", - "url": "https://github.com/ezyang" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/haskell.xml b/data/daily/haskell.xml index 252d9d5a5619..a3dfc1411d6b 100644 --- a/data/daily/haskell.xml +++ b/data/daily/haskell.xml @@ -3,7 +3,7 @@ GitHub Haskell Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT simplex-chat/simplex-chat https://github.com/simplex-chat/simplex-chat @@ -11,7 +11,7 @@ https://github.com/simplex-chat/simplex-chat Haskell #5e5086 - 7,330 + 7,333 331 7 @@ -42,44 +42,6 @@ - - kmonad/kmonad - https://github.com/kmonad/kmonad - An advanced keyboard manager - https://github.com/kmonad/kmonad - Haskell - #5e5086 - 4,108 - 325 - 1 - - - https://avatars.githubusercontent.com/u/3296759?s=40&v=4 - david-janssen - https://github.com/david-janssen - - - https://avatars.githubusercontent.com/u/50166980?s=40&v=4 - slotThe - https://github.com/slotThe - - - https://avatars.githubusercontent.com/u/18474102?s=40&v=4 - thoelze1 - https://github.com/thoelze1 - - - https://avatars.githubusercontent.com/u/52346246?s=40&v=4 - jokesper - https://github.com/jokesper - - - https://avatars.githubusercontent.com/u/7275622?s=40&v=4 - lierdakil - https://github.com/lierdakil - - - fossas/fossa-cli https://github.com/fossas/fossa-cli @@ -87,7 +49,7 @@ https://github.com/fossas/fossa-cli Haskell #5e5086 - 1,293 + 1,294 173 1 @@ -119,111 +81,192 @@ - koalaman/shellcheck - https://github.com/koalaman/shellcheck - ShellCheck, a static analysis tool for shell scripts - https://github.com/koalaman/shellcheck + crytic/echidna + https://github.com/crytic/echidna + Ethereum smart contract fuzzer + https://github.com/crytic/echidna Haskell #5e5086 - 36,497 - 1,780 - 5 + 2,761 + 370 + 2 - https://avatars.githubusercontent.com/u/2818758?s=40&v=4 - koalaman - https://github.com/koalaman + https://avatars.githubusercontent.com/u/31542053?s=40&v=4 + ggrieco-tob + https://github.com/ggrieco-tob - https://avatars.githubusercontent.com/u/16061266?s=40&v=4 - josephcsible - https://github.com/josephcsible + https://avatars.githubusercontent.com/u/5386869?s=40&v=4 + japesinator + https://github.com/japesinator - https://avatars.githubusercontent.com/u/1749303?s=40&v=4 - ngzhian - https://github.com/ngzhian + https://avatars.githubusercontent.com/u/4679721?s=40&v=4 + arcz + https://github.com/arcz - https://avatars.githubusercontent.com/u/1451663?s=40&v=4 - contivero - https://github.com/contivero + https://avatars.githubusercontent.com/u/1653966?s=40&v=4 + incertia + https://github.com/incertia - https://avatars.githubusercontent.com/u/12698563?s=40&v=4 - jabberabbe - https://github.com/jabberabbe + https://avatars.githubusercontent.com/u/22142390?s=40&v=4 + blperez01 + https://github.com/blperez01 - IntersectMBO/cardano-node - https://github.com/IntersectMBO/cardano-node - The core component that is used to participate in a Cardano decentralised blockchain. - https://github.com/IntersectMBO/cardano-node + xmonad/xmonad + https://github.com/xmonad/xmonad + The core of xmonad, a small but functional ICCCM-compliant tiling window manager + https://github.com/xmonad/xmonad Haskell #5e5086 - 3,068 - 720 + 3,367 + 292 0 - https://avatars.githubusercontent.com/u/9418537?s=40&v=4 - Jimbo4350 - https://github.com/Jimbo4350 + https://avatars.githubusercontent.com/u/706040?s=40&v=4 + donsbot + https://github.com/donsbot - https://avatars.githubusercontent.com/u/63014?s=40&v=4 - newhoggy - https://github.com/newhoggy + https://avatars.githubusercontent.com/u/300342?s=40&v=4 + liskin + https://github.com/liskin - https://avatars.githubusercontent.com/u/1339423?s=40&v=4 - dcoutts - https://github.com/dcoutts + https://avatars.githubusercontent.com/u/50166980?s=40&v=4 + slotThe + https://github.com/slotThe - https://avatars.githubusercontent.com/u/452652?s=40&v=4 - deepfire - https://github.com/deepfire + https://avatars.githubusercontent.com/u/93006?s=40&v=4 + aavogt + https://github.com/aavogt + + + https://avatars.githubusercontent.com/u/2600039?s=40&v=4 + spencerjanssen + https://github.com/spencerjanssen - crytic/echidna - https://github.com/crytic/echidna - Ethereum smart contract fuzzer - https://github.com/crytic/echidna + IntersectMBO/plutus + https://github.com/IntersectMBO/plutus + The Plutus language implementation and tools + https://github.com/IntersectMBO/plutus Haskell #5e5086 - 2,761 - 370 + 1,572 + 479 + 0 + + + https://avatars.githubusercontent.com/u/1699466?s=40&v=4 + michaelpj + https://github.com/michaelpj + + + https://avatars.githubusercontent.com/u/652332?s=40&v=4 + jmchapman + https://github.com/jmchapman + + + https://avatars.githubusercontent.com/u/1771361?s=40&v=4 + j-mueller + https://github.com/j-mueller + + + https://avatars.githubusercontent.com/u/6342538?s=40&v=4 + zliu41 + https://github.com/zliu41 + + + https://avatars.githubusercontent.com/u/10480926?s=40&v=4 + effectfully + https://github.com/effectfully + + + + + unisonweb/unison + https://github.com/unisonweb/unison + A friendly programming language from the future + https://github.com/unisonweb/unison + Haskell + #5e5086 + 5,810 + 271 2 - https://avatars.githubusercontent.com/u/31542053?s=40&v=4 - ggrieco-tob - https://github.com/ggrieco-tob + https://avatars.githubusercontent.com/u/11074?s=40&v=4 + pchiusano + https://github.com/pchiusano - https://avatars.githubusercontent.com/u/5386869?s=40&v=4 - japesinator - https://github.com/japesinator + https://avatars.githubusercontent.com/u/538571?s=40&v=4 + aryairani + https://github.com/aryairani - https://avatars.githubusercontent.com/u/4679721?s=40&v=4 - arcz - https://github.com/arcz + https://avatars.githubusercontent.com/u/6439644?s=40&v=4 + ChrisPenner + https://github.com/ChrisPenner - https://avatars.githubusercontent.com/u/1653966?s=40&v=4 - incertia - https://github.com/incertia + https://avatars.githubusercontent.com/u/1074598?s=40&v=4 + mitchellwrosen + https://github.com/mitchellwrosen - https://avatars.githubusercontent.com/u/22142390?s=40&v=4 - blperez01 - https://github.com/blperez01 + https://avatars.githubusercontent.com/u/750648?s=40&v=4 + dolio + https://github.com/dolio + + + + + maralorn/nix-output-monitor + https://github.com/maralorn/nix-output-monitor + Pipe your nix-build output through the nix-output-monitor a.k.a nom to get additional information while building. + https://github.com/maralorn/nix-output-monitor + Haskell + #5e5086 + 933 + 28 + 5 + + + https://avatars.githubusercontent.com/u/1651325?s=40&v=4 + maralorn + https://github.com/maralorn + + + https://avatars.githubusercontent.com/u/7258858?s=40&v=4 + SuperSandro2000 + https://github.com/SuperSandro2000 + + + https://avatars.githubusercontent.com/u/15312184?s=40&v=4 + 9999years + https://github.com/9999years + + + https://avatars.githubusercontent.com/u/58951?s=40&v=4 + NobbZ + https://github.com/NobbZ + + + https://avatars.githubusercontent.com/u/857308?s=40&v=4 + expipiplus1 + https://github.com/expipiplus1 @@ -234,7 +277,7 @@ https://github.com/simonmichael/hledger Haskell #5e5086 - 3,063 + 3,065 320 2 @@ -266,78 +309,73 @@ - augustss/MicroHs - https://github.com/augustss/MicroHs - Haskell implemented with combinators - https://github.com/augustss/MicroHs + IntersectMBO/cardano-node + https://github.com/IntersectMBO/cardano-node + The core component that is used to participate in a Cardano decentralised blockchain. + https://github.com/IntersectMBO/cardano-node Haskell #5e5086 - 369 - 25 - 1 + 3,069 + 720 + 0 - https://avatars.githubusercontent.com/u/94556888?s=40&v=4 - lennart-augustsson-epicgames - https://github.com/lennart-augustsson-epicgames - - - https://avatars.githubusercontent.com/u/5245086?s=40&v=4 - augustss - https://github.com/augustss + https://avatars.githubusercontent.com/u/9418537?s=40&v=4 + Jimbo4350 + https://github.com/Jimbo4350 - https://avatars.githubusercontent.com/u/8543344?s=40&v=4 - Rewbert - https://github.com/Rewbert + https://avatars.githubusercontent.com/u/63014?s=40&v=4 + newhoggy + https://github.com/newhoggy - https://avatars.githubusercontent.com/u/11977260?s=40&v=4 - yobson - https://github.com/yobson + https://avatars.githubusercontent.com/u/1339423?s=40&v=4 + dcoutts + https://github.com/dcoutts - https://avatars.githubusercontent.com/u/116009?s=40&v=4 - gergoerdi - https://github.com/gergoerdi + https://avatars.githubusercontent.com/u/452652?s=40&v=4 + deepfire + https://github.com/deepfire - jgm/pandoc - https://github.com/jgm/pandoc - Universal markup converter - https://github.com/jgm/pandoc + kmonad/kmonad + https://github.com/kmonad/kmonad + An advanced keyboard manager + https://github.com/kmonad/kmonad Haskell #5e5086 - 34,790 - 3,387 - 4 + 4,108 + 325 + 1 - https://avatars.githubusercontent.com/u/3044?s=40&v=4 - jgm - https://github.com/jgm + https://avatars.githubusercontent.com/u/3296759?s=40&v=4 + david-janssen + https://github.com/david-janssen - https://avatars.githubusercontent.com/u/507994?s=40&v=4 - tarleb - https://github.com/tarleb + https://avatars.githubusercontent.com/u/50166980?s=40&v=4 + slotThe + https://github.com/slotThe - https://avatars.githubusercontent.com/u/835121?s=40&v=4 - jkr - https://github.com/jkr + https://avatars.githubusercontent.com/u/18474102?s=40&v=4 + thoelze1 + https://github.com/thoelze1 - https://avatars.githubusercontent.com/u/1216657?s=40&v=4 - mpickering - https://github.com/mpickering + https://avatars.githubusercontent.com/u/52346246?s=40&v=4 + jokesper + https://github.com/jokesper - https://avatars.githubusercontent.com/u/157497?s=40&v=4 - mb21 - https://github.com/mb21 + https://avatars.githubusercontent.com/u/7275622?s=40&v=4 + lierdakil + https://github.com/lierdakil @@ -374,38 +412,5 @@ - - haskell/cabal - https://github.com/haskell/cabal - Official upstream development repository for Cabal and cabal-install - https://github.com/haskell/cabal - Haskell - #5e5086 - 1,627 - 696 - 1 - - - https://avatars.githubusercontent.com/u/47439?s=40&v=4 - 23Skidoo - https://github.com/23Skidoo - - - https://avatars.githubusercontent.com/u/1339423?s=40&v=4 - dcoutts - https://github.com/dcoutts - - - https://avatars.githubusercontent.com/u/51087?s=40&v=4 - phadej - https://github.com/phadej - - - https://avatars.githubusercontent.com/u/13564?s=40&v=4 - ezyang - https://github.com/ezyang - - - \ No newline at end of file diff --git a/data/daily/haxe.json b/data/daily/haxe.json index 6e82c9249b90..3e4bb43e0e6b 100644 --- a/data/daily/haxe.json +++ b/data/daily/haxe.json @@ -2,8 +2,45 @@ "title": "GitHub Haxe Languages Daily Trending", "description": "Daily Trending of Haxe Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "FNF-CNE-Devs/CodenameEngine", + "url": "https://github.com/FNF-CNE-Devs/CodenameEngine", + "description": "awesome fnf engine :D", + "language": "Haxe", + "languageColor": "#df7900", + "stars": "261", + "forks": "186", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/81191621?s=40&v=4", + "name": "YoshiCrafter29", + "url": "https://github.com/YoshiCrafter29" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/88809950?s=40&v=4", + "name": "lunarcleint", + "url": "https://github.com/lunarcleint" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23155359?s=40&v=4", + "name": "NeeEoo", + "url": "https://github.com/NeeEoo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/87421482?s=40&v=4", + "name": "NexIsDumb", + "url": "https://github.com/NexIsDumb" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/90983918?s=40&v=4", + "name": "Frakits", + "url": "https://github.com/Frakits" + } + ] + }, { "title": "ShadowMario/FNF-PsychEngine", "url": "https://github.com/ShadowMario/FNF-PsychEngine", @@ -77,80 +114,6 @@ "url": "https://github.com/lemz1" } ] - }, - { - "title": "HaxeFoundation/haxe", - "url": "https://github.com/HaxeFoundation/haxe", - "description": "Haxe - The Cross-Platform Toolkit", - "language": "Haxe", - "languageColor": "#df7900", - "stars": "6,199", - "forks": "658", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/634365?s=40&v=4", - "name": "Simn", - "url": "https://github.com/Simn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1022912?s=40&v=4", - "name": "ncannasse", - "url": "https://github.com/ncannasse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/49749?s=40&v=4", - "name": "nadako", - "url": "https://github.com/nadako" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3155708?s=40&v=4", - "name": "RealyUniqueName", - "url": "https://github.com/RealyUniqueName" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/178171?s=40&v=4", - "name": "waneck", - "url": "https://github.com/waneck" - } - ] - }, - { - "title": "FNF-CNE-Devs/CodenameEngine", - "url": "https://github.com/FNF-CNE-Devs/CodenameEngine", - "description": "awesome fnf engine :D", - "language": "Haxe", - "languageColor": "#df7900", - "stars": "261", - "forks": "186", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/81191621?s=40&v=4", - "name": "YoshiCrafter29", - "url": "https://github.com/YoshiCrafter29" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/88809950?s=40&v=4", - "name": "lunarcleint", - "url": "https://github.com/lunarcleint" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23155359?s=40&v=4", - "name": "NeeEoo", - "url": "https://github.com/NeeEoo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87421482?s=40&v=4", - "name": "NexIsDumb", - "url": "https://github.com/NexIsDumb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/90983918?s=40&v=4", - "name": "Frakits", - "url": "https://github.com/Frakits" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/haxe.xml b/data/daily/haxe.xml index ea6b8f684408..c59c62b8e960 100644 --- a/data/daily/haxe.xml +++ b/data/daily/haxe.xml @@ -3,7 +3,45 @@ GitHub Haxe Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Haxe Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + FNF-CNE-Devs/CodenameEngine + https://github.com/FNF-CNE-Devs/CodenameEngine + awesome fnf engine :D + https://github.com/FNF-CNE-Devs/CodenameEngine + Haxe + #df7900 + 261 + 186 + 0 + + + https://avatars.githubusercontent.com/u/81191621?s=40&v=4 + YoshiCrafter29 + https://github.com/YoshiCrafter29 + + + https://avatars.githubusercontent.com/u/88809950?s=40&v=4 + lunarcleint + https://github.com/lunarcleint + + + https://avatars.githubusercontent.com/u/23155359?s=40&v=4 + NeeEoo + https://github.com/NeeEoo + + + https://avatars.githubusercontent.com/u/87421482?s=40&v=4 + NexIsDumb + https://github.com/NexIsDumb + + + https://avatars.githubusercontent.com/u/90983918?s=40&v=4 + Frakits + https://github.com/Frakits + + + ShadowMario/FNF-PsychEngine https://github.com/ShadowMario/FNF-PsychEngine @@ -80,81 +118,5 @@ - - HaxeFoundation/haxe - https://github.com/HaxeFoundation/haxe - Haxe - The Cross-Platform Toolkit - https://github.com/HaxeFoundation/haxe - Haxe - #df7900 - 6,199 - 658 - 0 - - - https://avatars.githubusercontent.com/u/634365?s=40&v=4 - Simn - https://github.com/Simn - - - https://avatars.githubusercontent.com/u/1022912?s=40&v=4 - ncannasse - https://github.com/ncannasse - - - https://avatars.githubusercontent.com/u/49749?s=40&v=4 - nadako - https://github.com/nadako - - - https://avatars.githubusercontent.com/u/3155708?s=40&v=4 - RealyUniqueName - https://github.com/RealyUniqueName - - - https://avatars.githubusercontent.com/u/178171?s=40&v=4 - waneck - https://github.com/waneck - - - - - FNF-CNE-Devs/CodenameEngine - https://github.com/FNF-CNE-Devs/CodenameEngine - awesome fnf engine :D - https://github.com/FNF-CNE-Devs/CodenameEngine - Haxe - #df7900 - 261 - 186 - 0 - - - https://avatars.githubusercontent.com/u/81191621?s=40&v=4 - YoshiCrafter29 - https://github.com/YoshiCrafter29 - - - https://avatars.githubusercontent.com/u/88809950?s=40&v=4 - lunarcleint - https://github.com/lunarcleint - - - https://avatars.githubusercontent.com/u/23155359?s=40&v=4 - NeeEoo - https://github.com/NeeEoo - - - https://avatars.githubusercontent.com/u/87421482?s=40&v=4 - NexIsDumb - https://github.com/NexIsDumb - - - https://avatars.githubusercontent.com/u/90983918?s=40&v=4 - Frakits - https://github.com/Frakits - - - \ No newline at end of file diff --git a/data/daily/hcl.json b/data/daily/hcl.json index 9e553863cd8f..270937828f79 100644 --- a/data/daily/hcl.json +++ b/data/daily/hcl.json @@ -2,149 +2,112 @@ "title": "GitHub Hcl Languages Daily Trending", "description": "Daily Trending of Hcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "kube-hetzner/terraform-hcloud-kube-hetzner", - "url": "https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner", - "description": "Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command!", + "title": "cloudposse/terraform-aws-s3-bucket", + "url": "https://github.com/cloudposse/terraform-aws-s3-bucket", + "description": "Terraform module that creates an S3 bucket with an optional IAM user for external CI/CD systems", "language": "HCL", "languageColor": "#844FBA", - "stars": "2,409", - "forks": "372", - "addStars": "4", + "stars": "168", + "forks": "839", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/518555?s=40&v=4", - "name": "mysticaltech", - "url": "https://github.com/mysticaltech" + "avatar": "https://avatars.githubusercontent.com/u/11232728?s=40&v=4", + "name": "cloudpossebot", + "url": "https://github.com/cloudpossebot" }, { - "avatar": "https://avatars.githubusercontent.com/u/31509435?s=40&v=4", - "name": "aleksasiriski", - "url": "https://github.com/aleksasiriski" + "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", + "name": "osterman", + "url": "https://github.com/osterman" }, { - "avatar": "https://avatars.githubusercontent.com/u/101753?s=40&v=4", - "name": "phaer", - "url": "https://github.com/phaer" + "avatar": "https://avatars.githubusercontent.com/u/10080107?s=40&v=4", + "name": "korenyoni", + "url": "https://github.com/korenyoni" }, { - "avatar": "https://avatars.githubusercontent.com/u/116259817?s=40&v=4", - "name": "valkenburg-prevue-ch", - "url": "https://github.com/valkenburg-prevue-ch" + "avatar": "https://avatars.githubusercontent.com/u/58130806?s=40&v=4", + "name": "actions-bot", + "url": "https://github.com/actions-bot" } ] }, { - "title": "awslabs/data-on-eks", - "url": "https://github.com/awslabs/data-on-eks", - "description": "DoEKS is a tool to build, deploy and scale Data & ML Platforms on Amazon EKS", + "title": "cloudposse/terraform-aws-cloudfront-cdn", + "url": "https://github.com/cloudposse/terraform-aws-cloudfront-cdn", + "description": "Terraform Module that implements a CloudFront Distribution (CDN) for a custom origin.", "language": "HCL", "languageColor": "#844FBA", - "stars": "664", - "forks": "227", + "stars": "99", + "forks": "121", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19464259?s=40&v=4", - "name": "vara-bonthu", - "url": "https://github.com/vara-bonthu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4845260?s=40&v=4", - "name": "askulkarni2", - "url": "https://github.com/askulkarni2" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32875442?s=40&v=4", - "name": "ovaleanu", - "url": "https://github.com/ovaleanu" + "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", + "name": "osterman", + "url": "https://github.com/osterman" }, - { - "avatar": "https://avatars.githubusercontent.com/u/66561014?s=40&v=4", - "name": "ratnopamc", - "url": "https://github.com/ratnopamc" - } - ] - }, - { - "title": "cloudposse/terraform-aws-elasticache-memcached", - "url": "https://github.com/cloudposse/terraform-aws-elasticache-memcached", - "description": "Terraform Module for ElastiCache Memcached Cluster", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "21", - "forks": "37", - "addStars": "0", - "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/11232728?s=40&v=4", "name": "cloudpossebot", "url": "https://github.com/cloudpossebot" }, { - "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", - "name": "osterman", - "url": "https://github.com/osterman" + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" }, { "avatar": "https://avatars.githubusercontent.com/u/25180681?s=40&v=4", "name": "renovate-bot", "url": "https://github.com/renovate-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" } ] }, { - "title": "gitops-bridge-dev/gitops-bridge", - "url": "https://github.com/gitops-bridge-dev/gitops-bridge", - "description": "", + "title": "Azure/terraform-azurerm-avm-res-compute-virtualmachine", + "url": "https://github.com/Azure/terraform-azurerm-avm-res-compute-virtualmachine", + "description": "Terraform AVM module for virtual machines", "language": "HCL", "languageColor": "#844FBA", - "stars": "400", - "forks": "93", - "addStars": "2", + "stars": "21", + "forks": "27", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1094878?s=40&v=4", - "name": "csantanapr", - "url": "https://github.com/csantanapr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/49030580?s=40&v=4", - "name": "markoskandylis", - "url": "https://github.com/markoskandylis" + "avatar": "https://avatars.githubusercontent.com/u/84210452?s=40&v=4", + "name": "jchancellor-ms", + "url": "https://github.com/jchancellor-ms" }, { - "avatar": "https://avatars.githubusercontent.com/u/15828926?s=40&v=4", - "name": "luong-komorebi", - "url": "https://github.com/luong-komorebi" + "avatar": "https://avatars.githubusercontent.com/u/16320656?s=40&v=4", + "name": "matt-FFFFFF", + "url": "https://github.com/matt-FFFFFF" }, { - "avatar": "https://avatars.githubusercontent.com/u/31596203?s=40&v=4", - "name": "SebastianSlaby", - "url": "https://github.com/SebastianSlaby" + "avatar": "https://avatars.githubusercontent.com/u/4702224?s=40&v=4", + "name": "LaurentLesle", + "url": "https://github.com/LaurentLesle" }, { - "avatar": "https://avatars.githubusercontent.com/u/85771645?s=40&v=4", - "name": "blakeromano", - "url": "https://github.com/blakeromano" + "avatar": "https://avatars.githubusercontent.com/u/79409563?s=40&v=4", + "name": "mbilalamjad", + "url": "https://github.com/mbilalamjad" } ] }, { - "title": "cloudposse/terraform-aws-cloudtrail-cloudwatch-alarms", - "url": "https://github.com/cloudposse/terraform-aws-cloudtrail-cloudwatch-alarms", - "description": "Terraform module for creating alarms for tracking important changes and occurrences from cloudtrail.", + "title": "cloudposse/terraform-aws-elasticache-memcached", + "url": "https://github.com/cloudposse/terraform-aws-elasticache-memcached", + "description": "Terraform Module for ElastiCache Memcached Cluster", "language": "HCL", "languageColor": "#844FBA", - "stars": "202", - "forks": "72", + "stars": "21", + "forks": "37", "addStars": "0", "contributors": [ { @@ -158,88 +121,46 @@ "url": "https://github.com/osterman" }, { - "avatar": "https://avatars.githubusercontent.com/u/1146619?s=40&v=4", - "name": "maximmi", - "url": "https://github.com/maximmi" + "avatar": "https://avatars.githubusercontent.com/u/25180681?s=40&v=4", + "name": "renovate-bot", + "url": "https://github.com/renovate-bot" }, { "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", "name": "actions-user", "url": "https://github.com/actions-user" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3977074?s=40&v=4", - "name": "dotCipher", - "url": "https://github.com/dotCipher" } ] }, { - "title": "cloudposse/terraform-aws-mq-broker", - "url": "https://github.com/cloudposse/terraform-aws-mq-broker", - "description": "Terraform module for provisioning an AmazonMQ broker", + "title": "cloudposse/terraform-aws-msk-apache-kafka-cluster", + "url": "https://github.com/cloudposse/terraform-aws-msk-apache-kafka-cluster", + "description": "Terraform module to provision AWS MSK", "language": "HCL", "languageColor": "#844FBA", - "stars": "39", - "forks": "60", + "stars": "74", + "forks": "102", "addStars": "0", "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", - "name": "osterman", - "url": "https://github.com/osterman" - }, { "avatar": "https://avatars.githubusercontent.com/u/11232728?s=40&v=4", "name": "cloudpossebot", "url": "https://github.com/cloudpossebot" }, { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3025844?s=40&v=4", - "name": "joshmyers", - "url": "https://github.com/joshmyers" + "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", + "name": "osterman", + "url": "https://github.com/osterman" }, { - "avatar": "https://avatars.githubusercontent.com/u/7356997?s=40&v=4", - "name": "aknysh", - "url": "https://github.com/aknysh" - } - ] - }, - { - "title": "cloudposse/terraform-aws-dynamic-subnets", - "url": "https://github.com/cloudposse/terraform-aws-dynamic-subnets", - "description": "Terraform module for public and private subnets provisioning in existing VPC", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "197", - "forks": "167", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11232728?s=40&v=4", - "name": "cloudpossebot", - "url": "https://github.com/cloudpossebot" + "avatar": "https://avatars.githubusercontent.com/u/7775707?s=40&v=4", + "name": "nitrocode", + "url": "https://github.com/nitrocode" }, { "avatar": "https://avatars.githubusercontent.com/u/7356997?s=40&v=4", "name": "aknysh", "url": "https://github.com/aknysh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25180681?s=40&v=4", - "name": "renovate-bot", - "url": "https://github.com/renovate-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", - "name": "osterman", - "url": "https://github.com/osterman" } ] }, @@ -281,140 +202,34 @@ ] }, { - "title": "chef/bento", - "url": "https://github.com/chef/bento", - "description": "Packer templates for building minimal Vagrant baseboxes for multiple platforms", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "4,245", - "forks": "1,119", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1015200?s=40&v=4", - "name": "tas50", - "url": "https://github.com/tas50" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/841412?s=40&v=4", - "name": "juliandunn", - "url": "https://github.com/juliandunn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/261548?s=40&v=4", - "name": "fnichol", - "url": "https://github.com/fnichol" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/530140?s=40&v=4", - "name": "lwhsu", - "url": "https://github.com/lwhsu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/557699?s=40&v=4", - "name": "rickard-von-essen", - "url": "https://github.com/rickard-von-essen" - } - ] - }, - { - "title": "cloudposse/terraform-aws-cloudtrail-s3-bucket", - "url": "https://github.com/cloudposse/terraform-aws-cloudtrail-s3-bucket", - "description": "S3 bucket with built in IAM policy to allow CloudTrail logs", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "56", - "forks": "60", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11232728?s=40&v=4", - "name": "cloudpossebot", - "url": "https://github.com/cloudpossebot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1146619?s=40&v=4", - "name": "maximmi", - "url": "https://github.com/maximmi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", - "name": "osterman", - "url": "https://github.com/osterman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7356997?s=40&v=4", - "name": "aknysh", - "url": "https://github.com/aknysh" - } - ] - }, - { - "title": "cloudposse/terraform-aws-elasticache-redis", - "url": "https://github.com/cloudposse/terraform-aws-elasticache-redis", - "description": "Terraform module to provision an ElastiCache Redis Cluster", + "title": "cashapp/hermit-packages", + "url": "https://github.com/cashapp/hermit-packages", + "description": "Hermit manages isolated, self-bootstrapping sets of tools in software projects.", "language": "HCL", "languageColor": "#844FBA", - "stars": "143", - "forks": "248", + "stars": "122", + "forks": "125", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11232728?s=40&v=4", - "name": "cloudpossebot", - "url": "https://github.com/cloudpossebot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7775707?s=40&v=4", - "name": "nitrocode", - "url": "https://github.com/nitrocode" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58130806?s=40&v=4", - "name": "actions-bot", - "url": "https://github.com/actions-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", - "name": "osterman", - "url": "https://github.com/osterman" - } - ] - }, - { - "title": "hashicorp/terraform-aws-consul", - "url": "https://github.com/hashicorp/terraform-aws-consul", - "description": "A Terraform Module for how to run Consul on AWS using Terraform and Packer", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "401", - "forks": "488", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/711908?s=40&v=4", - "name": "brikis98", - "url": "https://github.com/brikis98" + "avatar": "https://avatars.githubusercontent.com/u/41767?s=40&v=4", + "name": "alecthomas", + "url": "https://github.com/alecthomas" }, { - "avatar": "https://avatars.githubusercontent.com/u/1516418?s=40&v=4", - "name": "Etiene", - "url": "https://github.com/Etiene" + "avatar": "https://avatars.githubusercontent.com/u/24025?s=40&v=4", + "name": "quad", + "url": "https://github.com/quad" }, { - "avatar": "https://avatars.githubusercontent.com/u/4295964?s=40&v=4", - "name": "josh-padnick", - "url": "https://github.com/josh-padnick" + "avatar": "https://avatars.githubusercontent.com/u/5902545?s=40&v=4", + "name": "usrme", + "url": "https://github.com/usrme" }, { - "avatar": "https://avatars.githubusercontent.com/u/17789764?s=40&v=4", - "name": "gruntwork-ci", - "url": "https://github.com/gruntwork-ci" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/590320?s=40&v=4", - "name": "mr-miles", - "url": "https://github.com/mr-miles" + "avatar": "https://avatars.githubusercontent.com/u/4743676?s=40&v=4", + "name": "stillmatic", + "url": "https://github.com/stillmatic" } ] }, @@ -456,29 +271,39 @@ ] }, { - "title": "terraform-google-modules/terraform-google-event-function", - "url": "https://github.com/terraform-google-modules/terraform-google-event-function", - "description": "Responds to logging events with a Cloud Function", + "title": "cloudposse/terraform-aws-elastic-beanstalk-environment", + "url": "https://github.com/cloudposse/terraform-aws-elastic-beanstalk-environment", + "description": "Terraform module to provision an AWS Elastic Beanstalk Environment", "language": "HCL", "languageColor": "#844FBA", - "stars": "53", - "forks": "63", + "stars": "303", + "forks": "325", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10655063?s=40&v=4", - "name": "aaron-lane", - "url": "https://github.com/aaron-lane" + "avatar": "https://avatars.githubusercontent.com/u/11232728?s=40&v=4", + "name": "cloudpossebot", + "url": "https://github.com/cloudpossebot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7356997?s=40&v=4", + "name": "aknysh", + "url": "https://github.com/aknysh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", + "name": "osterman", + "url": "https://github.com/osterman" }, { - "avatar": "https://avatars.githubusercontent.com/u/60107303?s=40&v=4", - "name": "cloud-foundation-bot", - "url": "https://github.com/cloud-foundation-bot" + "avatar": "https://avatars.githubusercontent.com/u/496956?s=40&v=4", + "name": "goruha", + "url": "https://github.com/goruha" }, { - "avatar": "https://avatars.githubusercontent.com/u/1627801?s=40&v=4", - "name": "morgante", - "url": "https://github.com/morgante" + "avatar": "https://avatars.githubusercontent.com/u/1146619?s=40&v=4", + "name": "maximmi", + "url": "https://github.com/maximmi" } ] } diff --git a/data/daily/hcl.xml b/data/daily/hcl.xml index 11ad96392e12..f9ae2f951e0f 100644 --- a/data/daily/hcl.xml +++ b/data/daily/hcl.xml @@ -3,153 +3,115 @@ GitHub Hcl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - kube-hetzner/terraform-hcloud-kube-hetzner - https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner - Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command! - https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner + cloudposse/terraform-aws-s3-bucket + https://github.com/cloudposse/terraform-aws-s3-bucket + Terraform module that creates an S3 bucket with an optional IAM user for external CI/CD systems + https://github.com/cloudposse/terraform-aws-s3-bucket HCL #844FBA - 2,409 - 372 - 4 + 168 + 839 + 0 - https://avatars.githubusercontent.com/u/518555?s=40&v=4 - mysticaltech - https://github.com/mysticaltech + https://avatars.githubusercontent.com/u/11232728?s=40&v=4 + cloudpossebot + https://github.com/cloudpossebot - https://avatars.githubusercontent.com/u/31509435?s=40&v=4 - aleksasiriski - https://github.com/aleksasiriski + https://avatars.githubusercontent.com/u/52489?s=40&v=4 + osterman + https://github.com/osterman - https://avatars.githubusercontent.com/u/101753?s=40&v=4 - phaer - https://github.com/phaer + https://avatars.githubusercontent.com/u/10080107?s=40&v=4 + korenyoni + https://github.com/korenyoni - https://avatars.githubusercontent.com/u/116259817?s=40&v=4 - valkenburg-prevue-ch - https://github.com/valkenburg-prevue-ch + https://avatars.githubusercontent.com/u/58130806?s=40&v=4 + actions-bot + https://github.com/actions-bot - awslabs/data-on-eks - https://github.com/awslabs/data-on-eks - DoEKS is a tool to build, deploy and scale Data & ML Platforms on Amazon EKS - https://github.com/awslabs/data-on-eks + cloudposse/terraform-aws-cloudfront-cdn + https://github.com/cloudposse/terraform-aws-cloudfront-cdn + Terraform Module that implements a CloudFront Distribution (CDN) for a custom origin. + https://github.com/cloudposse/terraform-aws-cloudfront-cdn HCL #844FBA - 664 - 227 + 99 + 121 0 - https://avatars.githubusercontent.com/u/19464259?s=40&v=4 - vara-bonthu - https://github.com/vara-bonthu - - - https://avatars.githubusercontent.com/u/4845260?s=40&v=4 - askulkarni2 - https://github.com/askulkarni2 - - - https://avatars.githubusercontent.com/u/32875442?s=40&v=4 - ovaleanu - https://github.com/ovaleanu + https://avatars.githubusercontent.com/u/52489?s=40&v=4 + osterman + https://github.com/osterman - - https://avatars.githubusercontent.com/u/66561014?s=40&v=4 - ratnopamc - https://github.com/ratnopamc - - - - - cloudposse/terraform-aws-elasticache-memcached - https://github.com/cloudposse/terraform-aws-elasticache-memcached - Terraform Module for ElastiCache Memcached Cluster - https://github.com/cloudposse/terraform-aws-elasticache-memcached - HCL - #844FBA - 21 - 37 - 0 - https://avatars.githubusercontent.com/u/11232728?s=40&v=4 cloudpossebot https://github.com/cloudpossebot - https://avatars.githubusercontent.com/u/52489?s=40&v=4 - osterman - https://github.com/osterman + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user https://avatars.githubusercontent.com/u/25180681?s=40&v=4 renovate-bot https://github.com/renovate-bot - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - gitops-bridge-dev/gitops-bridge - https://github.com/gitops-bridge-dev/gitops-bridge - - https://github.com/gitops-bridge-dev/gitops-bridge + Azure/terraform-azurerm-avm-res-compute-virtualmachine + https://github.com/Azure/terraform-azurerm-avm-res-compute-virtualmachine + Terraform AVM module for virtual machines + https://github.com/Azure/terraform-azurerm-avm-res-compute-virtualmachine HCL #844FBA - 400 - 93 - 2 + 21 + 27 + 0 - https://avatars.githubusercontent.com/u/1094878?s=40&v=4 - csantanapr - https://github.com/csantanapr - - - https://avatars.githubusercontent.com/u/49030580?s=40&v=4 - markoskandylis - https://github.com/markoskandylis + https://avatars.githubusercontent.com/u/84210452?s=40&v=4 + jchancellor-ms + https://github.com/jchancellor-ms - https://avatars.githubusercontent.com/u/15828926?s=40&v=4 - luong-komorebi - https://github.com/luong-komorebi + https://avatars.githubusercontent.com/u/16320656?s=40&v=4 + matt-FFFFFF + https://github.com/matt-FFFFFF - https://avatars.githubusercontent.com/u/31596203?s=40&v=4 - SebastianSlaby - https://github.com/SebastianSlaby + https://avatars.githubusercontent.com/u/4702224?s=40&v=4 + LaurentLesle + https://github.com/LaurentLesle - https://avatars.githubusercontent.com/u/85771645?s=40&v=4 - blakeromano - https://github.com/blakeromano + https://avatars.githubusercontent.com/u/79409563?s=40&v=4 + mbilalamjad + https://github.com/mbilalamjad - cloudposse/terraform-aws-cloudtrail-cloudwatch-alarms - https://github.com/cloudposse/terraform-aws-cloudtrail-cloudwatch-alarms - Terraform module for creating alarms for tracking important changes and occurrences from cloudtrail. - https://github.com/cloudposse/terraform-aws-cloudtrail-cloudwatch-alarms + cloudposse/terraform-aws-elasticache-memcached + https://github.com/cloudposse/terraform-aws-elasticache-memcached + Terraform Module for ElastiCache Memcached Cluster + https://github.com/cloudposse/terraform-aws-elasticache-memcached HCL #844FBA - 202 - 72 + 21 + 37 0 @@ -163,91 +125,48 @@ https://github.com/osterman - https://avatars.githubusercontent.com/u/1146619?s=40&v=4 - maximmi - https://github.com/maximmi + https://avatars.githubusercontent.com/u/25180681?s=40&v=4 + renovate-bot + https://github.com/renovate-bot https://avatars.githubusercontent.com/u/65916846?s=40&v=4 actions-user https://github.com/actions-user - - https://avatars.githubusercontent.com/u/3977074?s=40&v=4 - dotCipher - https://github.com/dotCipher - - cloudposse/terraform-aws-mq-broker - https://github.com/cloudposse/terraform-aws-mq-broker - Terraform module for provisioning an AmazonMQ broker - https://github.com/cloudposse/terraform-aws-mq-broker + cloudposse/terraform-aws-msk-apache-kafka-cluster + https://github.com/cloudposse/terraform-aws-msk-apache-kafka-cluster + Terraform module to provision AWS MSK + https://github.com/cloudposse/terraform-aws-msk-apache-kafka-cluster HCL #844FBA - 39 - 60 + 74 + 102 0 - - https://avatars.githubusercontent.com/u/52489?s=40&v=4 - osterman - https://github.com/osterman - https://avatars.githubusercontent.com/u/11232728?s=40&v=4 cloudpossebot https://github.com/cloudpossebot - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - - https://avatars.githubusercontent.com/u/3025844?s=40&v=4 - joshmyers - https://github.com/joshmyers - - - https://avatars.githubusercontent.com/u/7356997?s=40&v=4 - aknysh - https://github.com/aknysh + https://avatars.githubusercontent.com/u/52489?s=40&v=4 + osterman + https://github.com/osterman - - - - cloudposse/terraform-aws-dynamic-subnets - https://github.com/cloudposse/terraform-aws-dynamic-subnets - Terraform module for public and private subnets provisioning in existing VPC - https://github.com/cloudposse/terraform-aws-dynamic-subnets - HCL - #844FBA - 197 - 167 - 0 - - https://avatars.githubusercontent.com/u/11232728?s=40&v=4 - cloudpossebot - https://github.com/cloudpossebot + https://avatars.githubusercontent.com/u/7775707?s=40&v=4 + nitrocode + https://github.com/nitrocode https://avatars.githubusercontent.com/u/7356997?s=40&v=4 aknysh https://github.com/aknysh - - https://avatars.githubusercontent.com/u/25180681?s=40&v=4 - renovate-bot - https://github.com/renovate-bot - - - https://avatars.githubusercontent.com/u/52489?s=40&v=4 - osterman - https://github.com/osterman - @@ -289,144 +208,35 @@ - chef/bento - https://github.com/chef/bento - Packer templates for building minimal Vagrant baseboxes for multiple platforms - https://github.com/chef/bento + cashapp/hermit-packages + https://github.com/cashapp/hermit-packages + Hermit manages isolated, self-bootstrapping sets of tools in software projects. + https://github.com/cashapp/hermit-packages HCL #844FBA - 4,245 - 1,119 + 122 + 125 0 - https://avatars.githubusercontent.com/u/1015200?s=40&v=4 - tas50 - https://github.com/tas50 - - - https://avatars.githubusercontent.com/u/841412?s=40&v=4 - juliandunn - https://github.com/juliandunn + https://avatars.githubusercontent.com/u/41767?s=40&v=4 + alecthomas + https://github.com/alecthomas - https://avatars.githubusercontent.com/u/261548?s=40&v=4 - fnichol - https://github.com/fnichol + https://avatars.githubusercontent.com/u/24025?s=40&v=4 + quad + https://github.com/quad - https://avatars.githubusercontent.com/u/530140?s=40&v=4 - lwhsu - https://github.com/lwhsu + https://avatars.githubusercontent.com/u/5902545?s=40&v=4 + usrme + https://github.com/usrme - https://avatars.githubusercontent.com/u/557699?s=40&v=4 - rickard-von-essen - https://github.com/rickard-von-essen - - - - - cloudposse/terraform-aws-cloudtrail-s3-bucket - https://github.com/cloudposse/terraform-aws-cloudtrail-s3-bucket - S3 bucket with built in IAM policy to allow CloudTrail logs - https://github.com/cloudposse/terraform-aws-cloudtrail-s3-bucket - HCL - #844FBA - 56 - 60 - 0 - - - https://avatars.githubusercontent.com/u/11232728?s=40&v=4 - cloudpossebot - https://github.com/cloudpossebot - - - https://avatars.githubusercontent.com/u/1146619?s=40&v=4 - maximmi - https://github.com/maximmi - - - https://avatars.githubusercontent.com/u/52489?s=40&v=4 - osterman - https://github.com/osterman - - - https://avatars.githubusercontent.com/u/7356997?s=40&v=4 - aknysh - https://github.com/aknysh - - - - - cloudposse/terraform-aws-elasticache-redis - https://github.com/cloudposse/terraform-aws-elasticache-redis - Terraform module to provision an ElastiCache Redis Cluster - https://github.com/cloudposse/terraform-aws-elasticache-redis - HCL - #844FBA - 143 - 248 - 0 - - - https://avatars.githubusercontent.com/u/11232728?s=40&v=4 - cloudpossebot - https://github.com/cloudpossebot - - - https://avatars.githubusercontent.com/u/7775707?s=40&v=4 - nitrocode - https://github.com/nitrocode - - - https://avatars.githubusercontent.com/u/58130806?s=40&v=4 - actions-bot - https://github.com/actions-bot - - - https://avatars.githubusercontent.com/u/52489?s=40&v=4 - osterman - https://github.com/osterman - - - - - hashicorp/terraform-aws-consul - https://github.com/hashicorp/terraform-aws-consul - A Terraform Module for how to run Consul on AWS using Terraform and Packer - https://github.com/hashicorp/terraform-aws-consul - HCL - #844FBA - 401 - 488 - 0 - - - https://avatars.githubusercontent.com/u/711908?s=40&v=4 - brikis98 - https://github.com/brikis98 - - - https://avatars.githubusercontent.com/u/1516418?s=40&v=4 - Etiene - https://github.com/Etiene - - - https://avatars.githubusercontent.com/u/4295964?s=40&v=4 - josh-padnick - https://github.com/josh-padnick - - - https://avatars.githubusercontent.com/u/17789764?s=40&v=4 - gruntwork-ci - https://github.com/gruntwork-ci - - - https://avatars.githubusercontent.com/u/590320?s=40&v=4 - mr-miles - https://github.com/mr-miles + https://avatars.githubusercontent.com/u/4743676?s=40&v=4 + stillmatic + https://github.com/stillmatic @@ -469,30 +279,40 @@ - terraform-google-modules/terraform-google-event-function - https://github.com/terraform-google-modules/terraform-google-event-function - Responds to logging events with a Cloud Function - https://github.com/terraform-google-modules/terraform-google-event-function + cloudposse/terraform-aws-elastic-beanstalk-environment + https://github.com/cloudposse/terraform-aws-elastic-beanstalk-environment + Terraform module to provision an AWS Elastic Beanstalk Environment + https://github.com/cloudposse/terraform-aws-elastic-beanstalk-environment HCL #844FBA - 53 - 63 + 303 + 325 0 - https://avatars.githubusercontent.com/u/10655063?s=40&v=4 - aaron-lane - https://github.com/aaron-lane + https://avatars.githubusercontent.com/u/11232728?s=40&v=4 + cloudpossebot + https://github.com/cloudpossebot + + + https://avatars.githubusercontent.com/u/7356997?s=40&v=4 + aknysh + https://github.com/aknysh + + + https://avatars.githubusercontent.com/u/52489?s=40&v=4 + osterman + https://github.com/osterman - https://avatars.githubusercontent.com/u/60107303?s=40&v=4 - cloud-foundation-bot - https://github.com/cloud-foundation-bot + https://avatars.githubusercontent.com/u/496956?s=40&v=4 + goruha + https://github.com/goruha - https://avatars.githubusercontent.com/u/1627801?s=40&v=4 - morgante - https://github.com/morgante + https://avatars.githubusercontent.com/u/1146619?s=40&v=4 + maximmi + https://github.com/maximmi diff --git a/data/daily/hiveql.json b/data/daily/hiveql.json index 33d3b889656d..f539ab720165 100644 --- a/data/daily/hiveql.json +++ b/data/daily/hiveql.json @@ -2,6 +2,6 @@ "title": "GitHub Hiveql Languages Daily Trending", "description": "Daily Trending of Hiveql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hiveql.xml b/data/daily/hiveql.xml index f6b577a86295..7285ccd3a17f 100644 --- a/data/daily/hiveql.xml +++ b/data/daily/hiveql.xml @@ -3,6 +3,6 @@ GitHub Hiveql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hiveql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/hlsl.json b/data/daily/hlsl.json index d4f1e2598b80..28da2118a67e 100644 --- a/data/daily/hlsl.json +++ b/data/daily/hlsl.json @@ -2,7 +2,7 @@ "title": "GitHub Hlsl Languages Daily Trending", "description": "Daily Trending of Hlsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Blinue/Magpie", @@ -10,7 +10,7 @@ "description": "An all-purpose window upscaler for Windows 10/11.", "language": "HLSL", "languageColor": "#aace60", - "stars": "9,663", + "stars": "9,665", "forks": "496", "addStars": "5", "contributors": [ @@ -31,28 +31,6 @@ } ] }, - { - "title": "ray-cast/ray-mmd", - "url": "https://github.com/ray-cast/ray-mmd", - "description": "🎨 The project is designed to create a physically-based rendering at mikumikudance.", - "language": "HLSL", - "languageColor": "#aace60", - "stars": "1,727", - "forks": "571", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6936875?s=40&v=4", - "name": "ray-cast", - "url": "https://github.com/ray-cast" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/46285865?s=40&v=4", - "name": "guansss", - "url": "https://github.com/guansss" - } - ] - }, { "title": "JamesCJ60/Universal-x86-Tuning-Utility", "url": "https://github.com/JamesCJ60/Universal-x86-Tuning-Utility", @@ -86,39 +64,24 @@ ] }, { - "title": "BlueSkyDefender/Depth3D", - "url": "https://github.com/BlueSkyDefender/Depth3D", - "description": "Depth Based 3D & Other post-process shaders", + "title": "ray-cast/ray-mmd", + "url": "https://github.com/ray-cast/ray-mmd", + "description": "🎨 The project is designed to create a physically-based rendering at mikumikudance.", "language": "HLSL", "languageColor": "#aace60", - "stars": "551", - "forks": "65", + "stars": "1,727", + "forks": "571", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16185319?s=40&v=4", - "name": "BlueSkyDefender", - "url": "https://github.com/BlueSkyDefender" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8075588?s=40&v=4", - "name": "damiangr", - "url": "https://github.com/damiangr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23182653?s=40&v=4", - "name": "mfoda", - "url": "https://github.com/mfoda" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89758363?s=40&v=4", - "name": "sl3d-space", - "url": "https://github.com/sl3d-space" + "avatar": "https://avatars.githubusercontent.com/u/6936875?s=40&v=4", + "name": "ray-cast", + "url": "https://github.com/ray-cast" }, { - "avatar": "https://avatars.githubusercontent.com/u/149972339?s=40&v=4", - "name": "NoricForge", - "url": "https://github.com/NoricForge" + "avatar": "https://avatars.githubusercontent.com/u/46285865?s=40&v=4", + "name": "guansss", + "url": "https://github.com/guansss" } ] } diff --git a/data/daily/hlsl.xml b/data/daily/hlsl.xml index d8296d815f98..e5c305e3df17 100644 --- a/data/daily/hlsl.xml +++ b/data/daily/hlsl.xml @@ -3,7 +3,7 @@ GitHub Hlsl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hlsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Blinue/Magpie https://github.com/Blinue/Magpie @@ -11,7 +11,7 @@ https://github.com/Blinue/Magpie HLSL #aace60 - 9,663 + 9,665 496 5 @@ -32,29 +32,6 @@ - - ray-cast/ray-mmd - https://github.com/ray-cast/ray-mmd - 🎨 The project is designed to create a physically-based rendering at mikumikudance. - https://github.com/ray-cast/ray-mmd - HLSL - #aace60 - 1,727 - 571 - 0 - - - https://avatars.githubusercontent.com/u/6936875?s=40&v=4 - ray-cast - https://github.com/ray-cast - - - https://avatars.githubusercontent.com/u/46285865?s=40&v=4 - guansss - https://github.com/guansss - - - JamesCJ60/Universal-x86-Tuning-Utility https://github.com/JamesCJ60/Universal-x86-Tuning-Utility @@ -89,40 +66,25 @@ - BlueSkyDefender/Depth3D - https://github.com/BlueSkyDefender/Depth3D - Depth Based 3D & Other post-process shaders - https://github.com/BlueSkyDefender/Depth3D + ray-cast/ray-mmd + https://github.com/ray-cast/ray-mmd + 🎨 The project is designed to create a physically-based rendering at mikumikudance. + https://github.com/ray-cast/ray-mmd HLSL #aace60 - 551 - 65 + 1,727 + 571 0 - https://avatars.githubusercontent.com/u/16185319?s=40&v=4 - BlueSkyDefender - https://github.com/BlueSkyDefender - - - https://avatars.githubusercontent.com/u/8075588?s=40&v=4 - damiangr - https://github.com/damiangr - - - https://avatars.githubusercontent.com/u/23182653?s=40&v=4 - mfoda - https://github.com/mfoda - - - https://avatars.githubusercontent.com/u/89758363?s=40&v=4 - sl3d-space - https://github.com/sl3d-space + https://avatars.githubusercontent.com/u/6936875?s=40&v=4 + ray-cast + https://github.com/ray-cast - https://avatars.githubusercontent.com/u/149972339?s=40&v=4 - NoricForge - https://github.com/NoricForge + https://avatars.githubusercontent.com/u/46285865?s=40&v=4 + guansss + https://github.com/guansss diff --git a/data/daily/hocon.json b/data/daily/hocon.json index e15b06871b10..10e3a6a7b70b 100644 --- a/data/daily/hocon.json +++ b/data/daily/hocon.json @@ -2,6 +2,6 @@ "title": "GitHub Hocon Languages Daily Trending", "description": "Daily Trending of Hocon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hocon.xml b/data/daily/hocon.xml index 5fba27b039bf..879f5aa10a80 100644 --- a/data/daily/hocon.xml +++ b/data/daily/hocon.xml @@ -3,6 +3,6 @@ GitHub Hocon Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hocon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/holyc.json b/data/daily/holyc.json index d8c22b0bcfd0..5fadd6262022 100644 --- a/data/daily/holyc.json +++ b/data/daily/holyc.json @@ -2,6 +2,44 @@ "title": "GitHub Holyc Languages Daily Trending", "description": "Daily Trending of Holyc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "Zeal-Operating-System/ZealOS", + "url": "https://github.com/Zeal-Operating-System/ZealOS", + "description": "The Zeal Operating System is a modernized fork of the 64-bit Temple Operating System, TempleOS.", + "language": "HolyC", + "languageColor": "#ffefaf", + "stars": "1,548", + "forks": "72", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10574504?s=40&v=4", + "name": "TomAwezome", + "url": "https://github.com/TomAwezome" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/127725014?s=40&v=4", + "name": "GutPuncher", + "url": "https://github.com/GutPuncher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8145020?s=40&v=4", + "name": "y4my4my4m", + "url": "https://github.com/y4my4my4m" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36459316?s=40&v=4", + "name": "mintsuki", + "url": "https://github.com/mintsuki" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61427449?s=40&v=4", + "name": "doodayev", + "url": "https://github.com/doodayev" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/holyc.xml b/data/daily/holyc.xml index f27d4ef42dd1..516bc0df598f 100644 --- a/data/daily/holyc.xml +++ b/data/daily/holyc.xml @@ -3,6 +3,44 @@ GitHub Holyc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Holyc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + Zeal-Operating-System/ZealOS + https://github.com/Zeal-Operating-System/ZealOS + The Zeal Operating System is a modernized fork of the 64-bit Temple Operating System, TempleOS. + https://github.com/Zeal-Operating-System/ZealOS + HolyC + #ffefaf + 1,548 + 72 + 1 + + + https://avatars.githubusercontent.com/u/10574504?s=40&v=4 + TomAwezome + https://github.com/TomAwezome + + + https://avatars.githubusercontent.com/u/127725014?s=40&v=4 + GutPuncher + https://github.com/GutPuncher + + + https://avatars.githubusercontent.com/u/8145020?s=40&v=4 + y4my4my4m + https://github.com/y4my4my4m + + + https://avatars.githubusercontent.com/u/36459316?s=40&v=4 + mintsuki + https://github.com/mintsuki + + + https://avatars.githubusercontent.com/u/61427449?s=40&v=4 + doodayev + https://github.com/doodayev + + + \ No newline at end of file diff --git a/data/daily/hoon.json b/data/daily/hoon.json index 1aebc7172600..4d0dab1c896b 100644 --- a/data/daily/hoon.json +++ b/data/daily/hoon.json @@ -2,6 +2,6 @@ "title": "GitHub Hoon Languages Daily Trending", "description": "Daily Trending of Hoon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hoon.xml b/data/daily/hoon.xml index 787cf2644d61..8678079278ab 100644 --- a/data/daily/hoon.xml +++ b/data/daily/hoon.xml @@ -3,6 +3,6 @@ GitHub Hoon Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hoon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/hosts-file.json b/data/daily/hosts-file.json index c583cbfb6326..f6b6c134ca64 100644 --- a/data/daily/hosts-file.json +++ b/data/daily/hosts-file.json @@ -2,6 +2,6 @@ "title": "GitHub Hosts-file Languages Daily Trending", "description": "Daily Trending of Hosts-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hosts-file.xml b/data/daily/hosts-file.xml index 17612d94e024..d83fba4555df 100644 --- a/data/daily/hosts-file.xml +++ b/data/daily/hosts-file.xml @@ -3,6 +3,6 @@ GitHub Hosts-file Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hosts-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/html+ecr.json b/data/daily/html+ecr.json index 56fb768ed052..15cde08c7b12 100644 --- a/data/daily/html+ecr.json +++ b/data/daily/html+ecr.json @@ -2,6 +2,6 @@ "title": "GitHub Html+ecr Languages Daily Trending", "description": "Daily Trending of Html+ecr Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/html+ecr.xml b/data/daily/html+ecr.xml index e3332a8f2a64..1b92de3e4863 100644 --- a/data/daily/html+ecr.xml +++ b/data/daily/html+ecr.xml @@ -3,6 +3,6 @@ GitHub Html+ecr Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Html+ecr Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/html+eex.json b/data/daily/html+eex.json index 54ed44398a11..8ae97c713c36 100644 --- a/data/daily/html+eex.json +++ b/data/daily/html+eex.json @@ -2,6 +2,6 @@ "title": "GitHub Html+eex Languages Daily Trending", "description": "Daily Trending of Html+eex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/html+eex.xml b/data/daily/html+eex.xml index 5514dbea5a01..9374df28ba62 100644 --- a/data/daily/html+eex.xml +++ b/data/daily/html+eex.xml @@ -3,6 +3,6 @@ GitHub Html+eex Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Html+eex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/html+erb.json b/data/daily/html+erb.json index 690e7b6a2e50..848ea6d4844a 100644 --- a/data/daily/html+erb.json +++ b/data/daily/html+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Html+erb Languages Daily Trending", "description": "Daily Trending of Html+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/html+erb.xml b/data/daily/html+erb.xml index 3548495990cd..d897750f99ce 100644 --- a/data/daily/html+erb.xml +++ b/data/daily/html+erb.xml @@ -3,6 +3,6 @@ GitHub Html+erb Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Html+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/html+php.json b/data/daily/html+php.json index e8296978df1e..dc0c9d856714 100644 --- a/data/daily/html+php.json +++ b/data/daily/html+php.json @@ -2,6 +2,6 @@ "title": "GitHub Html+php Languages Daily Trending", "description": "Daily Trending of Html+php Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/html+php.xml b/data/daily/html+php.xml index a4fc02536a96..ac39fefd1803 100644 --- a/data/daily/html+php.xml +++ b/data/daily/html+php.xml @@ -3,6 +3,6 @@ GitHub Html+php Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Html+php Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/html+razor.json b/data/daily/html+razor.json index 8be0d9fb1b58..87dfb1fb3e8b 100644 --- a/data/daily/html+razor.json +++ b/data/daily/html+razor.json @@ -2,6 +2,6 @@ "title": "GitHub Html+razor Languages Daily Trending", "description": "Daily Trending of Html+razor Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/html+razor.xml b/data/daily/html+razor.xml index d88fe8b3a189..52ed449416e2 100644 --- a/data/daily/html+razor.xml +++ b/data/daily/html+razor.xml @@ -3,6 +3,6 @@ GitHub Html+razor Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Html+razor Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/html.json b/data/daily/html.json index 348118dc5f95..d33228ca9f32 100644 --- a/data/daily/html.json +++ b/data/daily/html.json @@ -2,7 +2,7 @@ "title": "GitHub Html Languages Daily Trending", "description": "Daily Trending of Html Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "EbookFoundation/free-programming-books", @@ -10,9 +10,9 @@ "description": "📚 Freely available programming books", "language": "HTML", "languageColor": "#e34c26", - "stars": "339,385", - "forks": "61,775", - "addStars": "220", + "stars": "339,482", + "forks": "61,784", + "addStars": "305", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/2022803?s=40&v=4", @@ -41,14 +41,51 @@ } ] }, + { + "title": "adityatelange/hugo-PaperMod", + "url": "https://github.com/adityatelange/hugo-PaperMod", + "description": "A fast, clean, responsive Hugo theme.", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "10,288", + "forks": "2,729", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/21258296?s=40&v=4", + "name": "adityatelange", + "url": "https://github.com/adityatelange" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13369712?s=40&v=4", + "name": "nanxiaobei", + "url": "https://github.com/nanxiaobei" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/335669?s=40&v=4", + "name": "jmooring", + "url": "https://github.com/jmooring" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1140778?s=40&v=4", + "name": "geeqla", + "url": "https://github.com/geeqla" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63574107?s=40&v=4", + "name": "kdkasad", + "url": "https://github.com/kdkasad" + } + ] + }, { "title": "alshedivat/al-folio", "url": "https://github.com/alshedivat/al-folio", "description": "A beautiful, simple, clean, and responsive Jekyll theme for academics", "language": "HTML", "languageColor": "#e34c26", - "stars": "11,312", - "forks": "11,264", + "stars": "11,317", + "forks": "11,267", "addStars": "28", "contributors": [ { @@ -78,14 +115,51 @@ } ] }, + { + "title": "unclecode/crawl4ai", + "url": "https://github.com/unclecode/crawl4ai", + "description": "🔥🕷️ Crawl4AI: Open-source LLM Friendly Web Crawler & Scrapper", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "16,529", + "forks": "1,223", + "addStars": "81", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12494079?s=40&v=4", + "name": "unclecode", + "url": "https://github.com/unclecode" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17730156?s=40&v=4", + "name": "bizrockman", + "url": "https://github.com/bizrockman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/62844803?s=40&v=4", + "name": "datehoer", + "url": "https://github.com/datehoer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29380294?s=40&v=4", + "name": "mjvankampen", + "url": "https://github.com/mjvankampen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/736967?s=40&v=4", + "name": "ketonkss4", + "url": "https://github.com/ketonkss4" + } + ] + }, { "title": "cotes2020/jekyll-theme-chirpy", "url": "https://github.com/cotes2020/jekyll-theme-chirpy", "description": "A minimal, responsive, and feature-rich Jekyll theme for technical writing.", "language": "HTML", "languageColor": "#e34c26", - "stars": "7,588", - "forks": "5,943", + "stars": "7,591", + "forks": "5,949", "addStars": "10", "contributors": [ { @@ -106,214 +180,182 @@ ] }, { - "title": "atherosai/ui", - "url": "https://github.com/atherosai/ui", - "description": "Simple UI examples from my social media", + "title": "comfyanonymous/ComfyUI_examples", + "url": "https://github.com/comfyanonymous/ComfyUI_examples", + "description": "Examples of ComfyUI workflows", "language": "HTML", "languageColor": "#e34c26", - "stars": "11,393", - "forks": "2,000", - "addStars": "6", + "stars": "1,993", + "forks": "335", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17754178?s=40&v=4", - "name": "a7v8x", - "url": "https://github.com/a7v8x" + "avatar": "https://avatars.githubusercontent.com/u/121283862?s=40&v=4", + "name": "comfyanonymous", + "url": "https://github.com/comfyanonymous" }, { - "avatar": "https://avatars.githubusercontent.com/u/6512574?s=40&v=4", - "name": "suhaotian", - "url": "https://github.com/suhaotian" + "avatar": "https://avatars.githubusercontent.com/u/1053081?s=40&v=4", + "name": "qiaoshun8888", + "url": "https://github.com/qiaoshun8888" }, { - "avatar": "https://avatars.githubusercontent.com/u/39658622?s=40&v=4", - "name": "javieramirez90", - "url": "https://github.com/javieramirez90" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59867066?s=40&v=4", - "name": "nagdatt", - "url": "https://github.com/nagdatt" - } - ] - }, - { - "title": "adityatelange/hugo-PaperMod", - "url": "https://github.com/adityatelange/hugo-PaperMod", - "description": "A fast, clean, responsive Hugo theme.", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "10,288", - "forks": "2,728", - "addStars": "15", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/21258296?s=40&v=4", - "name": "adityatelange", - "url": "https://github.com/adityatelange" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13369712?s=40&v=4", - "name": "nanxiaobei", - "url": "https://github.com/nanxiaobei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/335669?s=40&v=4", - "name": "jmooring", - "url": "https://github.com/jmooring" + "avatar": "https://avatars.githubusercontent.com/u/2029669?s=40&v=4", + "name": "bondo01", + "url": "https://github.com/bondo01" }, { - "avatar": "https://avatars.githubusercontent.com/u/1140778?s=40&v=4", - "name": "geeqla", - "url": "https://github.com/geeqla" + "avatar": "https://avatars.githubusercontent.com/u/4000772?s=40&v=4", + "name": "mcmonkey4eva", + "url": "https://github.com/mcmonkey4eva" }, { - "avatar": "https://avatars.githubusercontent.com/u/63574107?s=40&v=4", - "name": "kdkasad", - "url": "https://github.com/kdkasad" + "avatar": "https://avatars.githubusercontent.com/u/26397885?s=40&v=4", + "name": "aadillpickle", + "url": "https://github.com/aadillpickle" } ] }, { - "title": "web-platform-tests/wpt", - "url": "https://github.com/web-platform-tests/wpt", - "description": "Test suites for Web platform specs — including WHATWG, W3C, and others", + "title": "TandoorRecipes/recipes", + "url": "https://github.com/TandoorRecipes/recipes", + "description": "Application for managing recipes, planning meals, building shopping lists and much much more!", "language": "HTML", "languageColor": "#e34c26", - "stars": "5,025", - "forks": "3,121", - "addStars": "2", + "stars": "5,581", + "forks": "593", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/294864?s=40&v=4", - "name": "jgraham", - "url": "https://github.com/jgraham" + "avatar": "https://avatars.githubusercontent.com/u/6819595?s=40&v=4", + "name": "vabene1111", + "url": "https://github.com/vabene1111" }, { - "avatar": "https://avatars.githubusercontent.com/u/111161?s=40&v=4", - "name": "Ms2ger", - "url": "https://github.com/Ms2ger" + "avatar": "https://avatars.githubusercontent.com/u/1808639?s=40&v=4", + "name": "smilerz", + "url": "https://github.com/smilerz" }, { - "avatar": "https://avatars.githubusercontent.com/u/16780864?s=40&v=4", - "name": "wpt-pr-bot", - "url": "https://github.com/wpt-pr-bot" + "avatar": "https://avatars.githubusercontent.com/u/9949045?s=40&v=4", + "name": "Kaibu", + "url": "https://github.com/Kaibu" }, { - "avatar": "https://avatars.githubusercontent.com/u/498917?s=40&v=4", - "name": "foolip", - "url": "https://github.com/foolip" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/244772?s=40&v=4", - "name": "zcorpan", - "url": "https://github.com/zcorpan" + "avatar": "https://avatars.githubusercontent.com/u/74194322?s=40&v=4", + "name": "MaxJa4", + "url": "https://github.com/MaxJa4" } ] }, { - "title": "kenzok8/openwrt-packages", - "url": "https://github.com/kenzok8/openwrt-packages", - "description": "openwrt常用软件包", + "title": "htr-tech/zphisher", + "url": "https://github.com/htr-tech/zphisher", + "description": "An automated phishing tool with 30+ templates. This Tool is made for educational purpose only ! Author will not be responsible for any misuse of this toolkit !", "language": "HTML", "languageColor": "#e34c26", - "stars": "6,538", - "forks": "2,607", - "addStars": "2", + "stars": "11,515", + "forks": "3,880", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/39034242?s=40&v=4", - "name": "kenzok8", - "url": "https://github.com/kenzok8" + "avatar": "https://avatars.githubusercontent.com/u/56682134?s=40&v=4", + "name": "htr-tech", + "url": "https://github.com/htr-tech" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74646789?s=40&v=4", + "name": "E343IO", + "url": "https://github.com/E343IO" }, { - "avatar": "https://avatars.githubusercontent.com/u/53027340?s=40&v=4", - "name": "tujj99", - "url": "https://github.com/tujj99" + "avatar": "https://avatars.githubusercontent.com/u/26059688?s=40&v=4", + "name": "adi1090x", + "url": "https://github.com/adi1090x" }, { - "avatar": "https://avatars.githubusercontent.com/u/29482203?s=40&v=4", - "name": "EvilSnowTech", - "url": "https://github.com/EvilSnowTech" + "avatar": "https://avatars.githubusercontent.com/u/28166400?s=40&v=4", + "name": "MoisesTapia", + "url": "https://github.com/MoisesTapia" }, { - "avatar": "https://avatars.githubusercontent.com/u/29503890?s=40&v=4", - "name": "zheshifandian", - "url": "https://github.com/zheshifandian" + "avatar": "https://avatars.githubusercontent.com/u/78962948?s=40&v=4", + "name": "1RaY-1", + "url": "https://github.com/1RaY-1" } ] }, { - "title": "google/fonts", - "url": "https://github.com/google/fonts", - "description": "Font files available from Google Fonts, and a public issue tracker for all things Google Fonts", + "title": "munificent/craftinginterpreters", + "url": "https://github.com/munificent/craftinginterpreters", + "description": "Repository for the book \"Crafting Interpreters\"", "language": "HTML", "languageColor": "#e34c26", - "stars": "18,284", - "forks": "2,633", - "addStars": "2", + "stars": "9,059", + "forks": "1,062", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7525512?s=40&v=4", - "name": "m4rc1e", - "url": "https://github.com/m4rc1e" + "avatar": "https://avatars.githubusercontent.com/u/46275?s=40&v=4", + "name": "munificent", + "url": "https://github.com/munificent" }, { - "avatar": "https://avatars.githubusercontent.com/u/261579?s=40&v=4", - "name": "davelab6", - "url": "https://github.com/davelab6" + "avatar": "https://avatars.githubusercontent.com/u/4028654?s=40&v=4", + "name": "mchlrhw", + "url": "https://github.com/mchlrhw" }, { - "avatar": "https://avatars.githubusercontent.com/u/12222436?s=40&v=4", - "name": "RosaWagner", - "url": "https://github.com/RosaWagner" + "avatar": "https://avatars.githubusercontent.com/u/2172590?s=40&v=4", + "name": "rkirsling", + "url": "https://github.com/rkirsling" }, { - "avatar": "https://avatars.githubusercontent.com/u/48698976?s=40&v=4", - "name": "vv-monsalve", - "url": "https://github.com/vv-monsalve" + "avatar": "https://avatars.githubusercontent.com/u/66355?s=40&v=4", + "name": "Hamled", + "url": "https://github.com/Hamled" }, { - "avatar": "https://avatars.githubusercontent.com/u/64773544?s=40&v=4", - "name": "emmamarichal", - "url": "https://github.com/emmamarichal" + "avatar": "https://avatars.githubusercontent.com/u/999033?s=40&v=4", + "name": "benhoyt", + "url": "https://github.com/benhoyt" } ] }, { - "title": "FluxionNetwork/fluxion", - "url": "https://github.com/FluxionNetwork/fluxion", - "description": "Fluxion is a remake of linset by vk496 with enhanced functionality.", + "title": "erew123/alltalk_tts", + "url": "https://github.com/erew123/alltalk_tts", + "description": "AllTalk is based on the Coqui TTS engine, similar to the Coqui_tts extension for Text generation webUI, however supports a variety of advanced features, such as a settings page, low VRAM support, DeepSpeed, narrator, model finetuning, custom models, wav file maintenance. It can also be used with 3rd Party software via JSON calls.", "language": "HTML", "languageColor": "#e34c26", - "stars": "5,028", - "forks": "1,403", - "addStars": "1", + "stars": "1,137", + "forks": "118", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5618628?s=40&v=4", - "name": "MPX4132", - "url": "https://github.com/MPX4132" + "avatar": "https://avatars.githubusercontent.com/u/35898566?s=40&v=4", + "name": "erew123", + "url": "https://github.com/erew123" }, { - "avatar": "https://avatars.githubusercontent.com/u/3337997?s=40&v=4", - "name": "strasharo", - "url": "https://github.com/strasharo" + "avatar": "https://avatars.githubusercontent.com/u/102809327?s=40&v=4", + "name": "Josh-XT", + "url": "https://github.com/Josh-XT" }, { - "avatar": "https://avatars.githubusercontent.com/u/17337753?s=40&v=4", - "name": "deltaxflux", - "url": "https://github.com/deltaxflux" + "avatar": "https://avatars.githubusercontent.com/u/108821?s=40&v=4", + "name": "arthurwolf", + "url": "https://github.com/arthurwolf" }, { - "avatar": "https://avatars.githubusercontent.com/u/29252277?s=40&v=4", - "name": "usama7628674", - "url": "https://github.com/usama7628674" + "avatar": "https://avatars.githubusercontent.com/u/89262821?s=40&v=4", + "name": "S95Sedan", + "url": "https://github.com/S95Sedan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1036968?s=40&v=4", - "name": "Geczy", - "url": "https://github.com/Geczy" + "avatar": "https://avatars.githubusercontent.com/u/338962?s=40&v=4", + "name": "rbruels", + "url": "https://github.com/rbruels" } ] }, @@ -323,8 +365,8 @@ "description": "12 weeks, 26 lessons, 52 quizzes, classic Machine Learning for all", "language": "HTML", "languageColor": "#e34c26", - "stars": "69,895", - "forks": "14,567", + "stars": "69,898", + "forks": "14,570", "addStars": "13", "contributors": [ { @@ -355,108 +397,39 @@ ] }, { - "title": "twitter/twemoji", - "url": "https://github.com/twitter/twemoji", - "description": "Emoji for everyone. https://twemoji.twitter.com/", + "title": "markodenic/web-development-resources", + "url": "https://github.com/markodenic/web-development-resources", + "description": "Awesome Web Development Resources.", "language": "HTML", "languageColor": "#e34c26", - "stars": "16,857", - "forks": "1,854", - "addStars": "2", + "stars": "7,337", + "forks": "1,246", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/85749?s=40&v=4", - "name": "WebReflection", - "url": "https://github.com/WebReflection" + "avatar": "https://avatars.githubusercontent.com/u/25146984?s=40&v=4", + "name": "markodenic", + "url": "https://github.com/markodenic" }, { - "avatar": "https://avatars.githubusercontent.com/u/136509?s=40&v=4", - "name": "n8downs", - "url": "https://github.com/n8downs" + "avatar": "https://avatars.githubusercontent.com/u/46662771?s=40&v=4", + "name": "frankiefab100", + "url": "https://github.com/frankiefab100" }, { - "avatar": "https://avatars.githubusercontent.com/u/28156?s=40&v=4", - "name": "bhaggs", - "url": "https://github.com/bhaggs" + "avatar": "https://avatars.githubusercontent.com/u/2008632?s=40&v=4", + "name": "breakerh", + "url": "https://github.com/breakerh" }, { - "avatar": "https://avatars.githubusercontent.com/u/9261276?s=40&v=4", - "name": "jdecked", - "url": "https://github.com/jdecked" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/63777?s=40&v=4", - "name": "caniszczyk", - "url": "https://github.com/caniszczyk" - } - ] - }, - { - "title": "home-assistant/home-assistant.io", - "url": "https://github.com/home-assistant/home-assistant.io", - "description": "📘 Home Assistant User documentation", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "5,014", - "forks": "7,307", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/195327?s=40&v=4", - "name": "frenck", - "url": "https://github.com/frenck" + "avatar": "https://avatars.githubusercontent.com/u/30200463?s=40&v=4", + "name": "90zlaya", + "url": "https://github.com/90zlaya" }, { - "avatar": "https://avatars.githubusercontent.com/u/116184?s=40&v=4", - "name": "fabaff", - "url": "https://github.com/fabaff" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1444314?s=40&v=4", - "name": "balloob", - "url": "https://github.com/balloob" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38767475?s=40&v=4", - "name": "c0ffeeca7", - "url": "https://github.com/c0ffeeca7" - } - ] - }, - { - "title": "htr-tech/zphisher", - "url": "https://github.com/htr-tech/zphisher", - "description": "An automated phishing tool with 30+ templates. This Tool is made for educational purpose only ! Author will not be responsible for any misuse of this toolkit !", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "11,511", - "forks": "3,878", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/56682134?s=40&v=4", - "name": "htr-tech", - "url": "https://github.com/htr-tech" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/74646789?s=40&v=4", - "name": "E343IO", - "url": "https://github.com/E343IO" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26059688?s=40&v=4", - "name": "adi1090x", - "url": "https://github.com/adi1090x" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28166400?s=40&v=4", - "name": "MoisesTapia", - "url": "https://github.com/MoisesTapia" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/78962948?s=40&v=4", - "name": "1RaY-1", - "url": "https://github.com/1RaY-1" + "avatar": "https://avatars.githubusercontent.com/u/69510006?s=40&v=4", + "name": "DalpatRathore", + "url": "https://github.com/DalpatRathore" } ] } diff --git a/data/daily/html.xml b/data/daily/html.xml index 9ed7c759f847..fa3cc0eae12f 100644 --- a/data/daily/html.xml +++ b/data/daily/html.xml @@ -3,7 +3,7 @@ GitHub Html Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Html Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT EbookFoundation/free-programming-books https://github.com/EbookFoundation/free-programming-books @@ -11,9 +11,9 @@ https://github.com/EbookFoundation/free-programming-books HTML #e34c26 - 339,385 - 61,775 - 220 + 339,482 + 61,784 + 305 https://avatars.githubusercontent.com/u/2022803?s=40&v=4 @@ -42,6 +42,44 @@ + + adityatelange/hugo-PaperMod + https://github.com/adityatelange/hugo-PaperMod + A fast, clean, responsive Hugo theme. + https://github.com/adityatelange/hugo-PaperMod + HTML + #e34c26 + 10,288 + 2,729 + 15 + + + https://avatars.githubusercontent.com/u/21258296?s=40&v=4 + adityatelange + https://github.com/adityatelange + + + https://avatars.githubusercontent.com/u/13369712?s=40&v=4 + nanxiaobei + https://github.com/nanxiaobei + + + https://avatars.githubusercontent.com/u/335669?s=40&v=4 + jmooring + https://github.com/jmooring + + + https://avatars.githubusercontent.com/u/1140778?s=40&v=4 + geeqla + https://github.com/geeqla + + + https://avatars.githubusercontent.com/u/63574107?s=40&v=4 + kdkasad + https://github.com/kdkasad + + + alshedivat/al-folio https://github.com/alshedivat/al-folio @@ -49,8 +87,8 @@ https://github.com/alshedivat/al-folio HTML #e34c26 - 11,312 - 11,264 + 11,317 + 11,267 28 @@ -80,6 +118,44 @@ + + unclecode/crawl4ai + https://github.com/unclecode/crawl4ai + 🔥🕷️ Crawl4AI: Open-source LLM Friendly Web Crawler & Scrapper + https://github.com/unclecode/crawl4ai + HTML + #e34c26 + 16,529 + 1,223 + 81 + + + https://avatars.githubusercontent.com/u/12494079?s=40&v=4 + unclecode + https://github.com/unclecode + + + https://avatars.githubusercontent.com/u/17730156?s=40&v=4 + bizrockman + https://github.com/bizrockman + + + https://avatars.githubusercontent.com/u/62844803?s=40&v=4 + datehoer + https://github.com/datehoer + + + https://avatars.githubusercontent.com/u/29380294?s=40&v=4 + mjvankampen + https://github.com/mjvankampen + + + https://avatars.githubusercontent.com/u/736967?s=40&v=4 + ketonkss4 + https://github.com/ketonkss4 + + + cotes2020/jekyll-theme-chirpy https://github.com/cotes2020/jekyll-theme-chirpy @@ -87,8 +163,8 @@ https://github.com/cotes2020/jekyll-theme-chirpy HTML #e34c26 - 7,588 - 5,943 + 7,591 + 5,949 10 @@ -109,220 +185,187 @@ - atherosai/ui - https://github.com/atherosai/ui - Simple UI examples from my social media - https://github.com/atherosai/ui - HTML - #e34c26 - 11,393 - 2,000 - 6 - - - https://avatars.githubusercontent.com/u/17754178?s=40&v=4 - a7v8x - https://github.com/a7v8x - - - https://avatars.githubusercontent.com/u/6512574?s=40&v=4 - suhaotian - https://github.com/suhaotian - - - https://avatars.githubusercontent.com/u/39658622?s=40&v=4 - javieramirez90 - https://github.com/javieramirez90 - - - https://avatars.githubusercontent.com/u/59867066?s=40&v=4 - nagdatt - https://github.com/nagdatt - - - - - adityatelange/hugo-PaperMod - https://github.com/adityatelange/hugo-PaperMod - A fast, clean, responsive Hugo theme. - https://github.com/adityatelange/hugo-PaperMod + comfyanonymous/ComfyUI_examples + https://github.com/comfyanonymous/ComfyUI_examples + Examples of ComfyUI workflows + https://github.com/comfyanonymous/ComfyUI_examples HTML #e34c26 - 10,288 - 2,728 - 15 + 1,993 + 335 + 11 - https://avatars.githubusercontent.com/u/21258296?s=40&v=4 - adityatelange - https://github.com/adityatelange + https://avatars.githubusercontent.com/u/121283862?s=40&v=4 + comfyanonymous + https://github.com/comfyanonymous - https://avatars.githubusercontent.com/u/13369712?s=40&v=4 - nanxiaobei - https://github.com/nanxiaobei + https://avatars.githubusercontent.com/u/1053081?s=40&v=4 + qiaoshun8888 + https://github.com/qiaoshun8888 - https://avatars.githubusercontent.com/u/335669?s=40&v=4 - jmooring - https://github.com/jmooring + https://avatars.githubusercontent.com/u/2029669?s=40&v=4 + bondo01 + https://github.com/bondo01 - https://avatars.githubusercontent.com/u/1140778?s=40&v=4 - geeqla - https://github.com/geeqla + https://avatars.githubusercontent.com/u/4000772?s=40&v=4 + mcmonkey4eva + https://github.com/mcmonkey4eva - https://avatars.githubusercontent.com/u/63574107?s=40&v=4 - kdkasad - https://github.com/kdkasad + https://avatars.githubusercontent.com/u/26397885?s=40&v=4 + aadillpickle + https://github.com/aadillpickle - web-platform-tests/wpt - https://github.com/web-platform-tests/wpt - Test suites for Web platform specs — including WHATWG, W3C, and others - https://github.com/web-platform-tests/wpt + TandoorRecipes/recipes + https://github.com/TandoorRecipes/recipes + Application for managing recipes, planning meals, building shopping lists and much much more! + https://github.com/TandoorRecipes/recipes HTML #e34c26 - 5,025 - 3,121 - 2 + 5,581 + 593 + 3 - https://avatars.githubusercontent.com/u/294864?s=40&v=4 - jgraham - https://github.com/jgraham - - - https://avatars.githubusercontent.com/u/111161?s=40&v=4 - Ms2ger - https://github.com/Ms2ger + https://avatars.githubusercontent.com/u/6819595?s=40&v=4 + vabene1111 + https://github.com/vabene1111 - https://avatars.githubusercontent.com/u/16780864?s=40&v=4 - wpt-pr-bot - https://github.com/wpt-pr-bot + https://avatars.githubusercontent.com/u/1808639?s=40&v=4 + smilerz + https://github.com/smilerz - https://avatars.githubusercontent.com/u/498917?s=40&v=4 - foolip - https://github.com/foolip + https://avatars.githubusercontent.com/u/9949045?s=40&v=4 + Kaibu + https://github.com/Kaibu - https://avatars.githubusercontent.com/u/244772?s=40&v=4 - zcorpan - https://github.com/zcorpan + https://avatars.githubusercontent.com/u/74194322?s=40&v=4 + MaxJa4 + https://github.com/MaxJa4 - kenzok8/openwrt-packages - https://github.com/kenzok8/openwrt-packages - openwrt常用软件包 - https://github.com/kenzok8/openwrt-packages + htr-tech/zphisher + https://github.com/htr-tech/zphisher + An automated phishing tool with 30+ templates. This Tool is made for educational purpose only ! Author will not be responsible for any misuse of this toolkit ! + https://github.com/htr-tech/zphisher HTML #e34c26 - 6,538 - 2,607 - 2 + 11,515 + 3,880 + 8 - https://avatars.githubusercontent.com/u/39034242?s=40&v=4 - kenzok8 - https://github.com/kenzok8 + https://avatars.githubusercontent.com/u/56682134?s=40&v=4 + htr-tech + https://github.com/htr-tech - https://avatars.githubusercontent.com/u/53027340?s=40&v=4 - tujj99 - https://github.com/tujj99 + https://avatars.githubusercontent.com/u/74646789?s=40&v=4 + E343IO + https://github.com/E343IO - https://avatars.githubusercontent.com/u/29482203?s=40&v=4 - EvilSnowTech - https://github.com/EvilSnowTech + https://avatars.githubusercontent.com/u/26059688?s=40&v=4 + adi1090x + https://github.com/adi1090x + + + https://avatars.githubusercontent.com/u/28166400?s=40&v=4 + MoisesTapia + https://github.com/MoisesTapia - https://avatars.githubusercontent.com/u/29503890?s=40&v=4 - zheshifandian - https://github.com/zheshifandian + https://avatars.githubusercontent.com/u/78962948?s=40&v=4 + 1RaY-1 + https://github.com/1RaY-1 - google/fonts - https://github.com/google/fonts - Font files available from Google Fonts, and a public issue tracker for all things Google Fonts - https://github.com/google/fonts + munificent/craftinginterpreters + https://github.com/munificent/craftinginterpreters + Repository for the book "Crafting Interpreters" + https://github.com/munificent/craftinginterpreters HTML #e34c26 - 18,284 - 2,633 - 2 + 9,059 + 1,062 + 3 - https://avatars.githubusercontent.com/u/7525512?s=40&v=4 - m4rc1e - https://github.com/m4rc1e + https://avatars.githubusercontent.com/u/46275?s=40&v=4 + munificent + https://github.com/munificent - https://avatars.githubusercontent.com/u/261579?s=40&v=4 - davelab6 - https://github.com/davelab6 + https://avatars.githubusercontent.com/u/4028654?s=40&v=4 + mchlrhw + https://github.com/mchlrhw - https://avatars.githubusercontent.com/u/12222436?s=40&v=4 - RosaWagner - https://github.com/RosaWagner + https://avatars.githubusercontent.com/u/2172590?s=40&v=4 + rkirsling + https://github.com/rkirsling - https://avatars.githubusercontent.com/u/48698976?s=40&v=4 - vv-monsalve - https://github.com/vv-monsalve + https://avatars.githubusercontent.com/u/66355?s=40&v=4 + Hamled + https://github.com/Hamled - https://avatars.githubusercontent.com/u/64773544?s=40&v=4 - emmamarichal - https://github.com/emmamarichal + https://avatars.githubusercontent.com/u/999033?s=40&v=4 + benhoyt + https://github.com/benhoyt - FluxionNetwork/fluxion - https://github.com/FluxionNetwork/fluxion - Fluxion is a remake of linset by vk496 with enhanced functionality. - https://github.com/FluxionNetwork/fluxion + erew123/alltalk_tts + https://github.com/erew123/alltalk_tts + AllTalk is based on the Coqui TTS engine, similar to the Coqui_tts extension for Text generation webUI, however supports a variety of advanced features, such as a settings page, low VRAM support, DeepSpeed, narrator, model finetuning, custom models, wav file maintenance. It can also be used with 3rd Party software via JSON calls. + https://github.com/erew123/alltalk_tts HTML #e34c26 - 5,028 - 1,403 - 1 + 1,137 + 118 + 5 - https://avatars.githubusercontent.com/u/5618628?s=40&v=4 - MPX4132 - https://github.com/MPX4132 + https://avatars.githubusercontent.com/u/35898566?s=40&v=4 + erew123 + https://github.com/erew123 - https://avatars.githubusercontent.com/u/3337997?s=40&v=4 - strasharo - https://github.com/strasharo + https://avatars.githubusercontent.com/u/102809327?s=40&v=4 + Josh-XT + https://github.com/Josh-XT - https://avatars.githubusercontent.com/u/17337753?s=40&v=4 - deltaxflux - https://github.com/deltaxflux + https://avatars.githubusercontent.com/u/108821?s=40&v=4 + arthurwolf + https://github.com/arthurwolf - https://avatars.githubusercontent.com/u/29252277?s=40&v=4 - usama7628674 - https://github.com/usama7628674 + https://avatars.githubusercontent.com/u/89262821?s=40&v=4 + S95Sedan + https://github.com/S95Sedan - https://avatars.githubusercontent.com/u/1036968?s=40&v=4 - Geczy - https://github.com/Geczy + https://avatars.githubusercontent.com/u/338962?s=40&v=4 + rbruels + https://github.com/rbruels @@ -333,8 +376,8 @@ https://github.com/microsoft/ML-For-Beginners HTML #e34c26 - 69,895 - 14,567 + 69,898 + 14,570 13 @@ -365,111 +408,40 @@ - twitter/twemoji - https://github.com/twitter/twemoji - Emoji for everyone. https://twemoji.twitter.com/ - https://github.com/twitter/twemoji + markodenic/web-development-resources + https://github.com/markodenic/web-development-resources + Awesome Web Development Resources. + https://github.com/markodenic/web-development-resources HTML #e34c26 - 16,857 - 1,854 - 2 + 7,337 + 1,246 + 3 - https://avatars.githubusercontent.com/u/85749?s=40&v=4 - WebReflection - https://github.com/WebReflection + https://avatars.githubusercontent.com/u/25146984?s=40&v=4 + markodenic + https://github.com/markodenic - https://avatars.githubusercontent.com/u/136509?s=40&v=4 - n8downs - https://github.com/n8downs + https://avatars.githubusercontent.com/u/46662771?s=40&v=4 + frankiefab100 + https://github.com/frankiefab100 - https://avatars.githubusercontent.com/u/28156?s=40&v=4 - bhaggs - https://github.com/bhaggs + https://avatars.githubusercontent.com/u/2008632?s=40&v=4 + breakerh + https://github.com/breakerh - https://avatars.githubusercontent.com/u/9261276?s=40&v=4 - jdecked - https://github.com/jdecked - - - https://avatars.githubusercontent.com/u/63777?s=40&v=4 - caniszczyk - https://github.com/caniszczyk - - - - - home-assistant/home-assistant.io - https://github.com/home-assistant/home-assistant.io - 📘 Home Assistant User documentation - https://github.com/home-assistant/home-assistant.io - HTML - #e34c26 - 5,014 - 7,307 - 2 - - - https://avatars.githubusercontent.com/u/195327?s=40&v=4 - frenck - https://github.com/frenck + https://avatars.githubusercontent.com/u/30200463?s=40&v=4 + 90zlaya + https://github.com/90zlaya - https://avatars.githubusercontent.com/u/116184?s=40&v=4 - fabaff - https://github.com/fabaff - - - https://avatars.githubusercontent.com/u/1444314?s=40&v=4 - balloob - https://github.com/balloob - - - https://avatars.githubusercontent.com/u/38767475?s=40&v=4 - c0ffeeca7 - https://github.com/c0ffeeca7 - - - - - htr-tech/zphisher - https://github.com/htr-tech/zphisher - An automated phishing tool with 30+ templates. This Tool is made for educational purpose only ! Author will not be responsible for any misuse of this toolkit ! - https://github.com/htr-tech/zphisher - HTML - #e34c26 - 11,511 - 3,878 - 8 - - - https://avatars.githubusercontent.com/u/56682134?s=40&v=4 - htr-tech - https://github.com/htr-tech - - - https://avatars.githubusercontent.com/u/74646789?s=40&v=4 - E343IO - https://github.com/E343IO - - - https://avatars.githubusercontent.com/u/26059688?s=40&v=4 - adi1090x - https://github.com/adi1090x - - - https://avatars.githubusercontent.com/u/28166400?s=40&v=4 - MoisesTapia - https://github.com/MoisesTapia - - - https://avatars.githubusercontent.com/u/78962948?s=40&v=4 - 1RaY-1 - https://github.com/1RaY-1 + https://avatars.githubusercontent.com/u/69510006?s=40&v=4 + DalpatRathore + https://github.com/DalpatRathore diff --git a/data/daily/http.json b/data/daily/http.json index 56114a2bac3f..3fea3666ff2e 100644 --- a/data/daily/http.json +++ b/data/daily/http.json @@ -2,6 +2,6 @@ "title": "GitHub Http Languages Daily Trending", "description": "Daily Trending of Http Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/http.xml b/data/daily/http.xml index 4701a99ef703..23796ac65134 100644 --- a/data/daily/http.xml +++ b/data/daily/http.xml @@ -3,6 +3,6 @@ GitHub Http Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Http Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/hxml.json b/data/daily/hxml.json index a93f347c3573..87dc7cdd98c2 100644 --- a/data/daily/hxml.json +++ b/data/daily/hxml.json @@ -2,6 +2,6 @@ "title": "GitHub Hxml Languages Daily Trending", "description": "Daily Trending of Hxml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hxml.xml b/data/daily/hxml.xml index 0013e742244e..5694c8e2c8b0 100644 --- a/data/daily/hxml.xml +++ b/data/daily/hxml.xml @@ -3,6 +3,6 @@ GitHub Hxml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hxml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/hy.json b/data/daily/hy.json index 12d7e42eb0c6..c4d9a180082e 100644 --- a/data/daily/hy.json +++ b/data/daily/hy.json @@ -2,6 +2,6 @@ "title": "GitHub Hy Languages Daily Trending", "description": "Daily Trending of Hy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hy.xml b/data/daily/hy.xml index cc73923f45fd..257a891458f8 100644 --- a/data/daily/hy.xml +++ b/data/daily/hy.xml @@ -3,6 +3,6 @@ GitHub Hy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/hyphy.json b/data/daily/hyphy.json index 1bc21bf855ec..b8cf5b516e18 100644 --- a/data/daily/hyphy.json +++ b/data/daily/hyphy.json @@ -2,6 +2,6 @@ "title": "GitHub Hyphy Languages Daily Trending", "description": "Daily Trending of Hyphy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/hyphy.xml b/data/daily/hyphy.xml index 59e058c7a783..643362dde72b 100644 --- a/data/daily/hyphy.xml +++ b/data/daily/hyphy.xml @@ -3,6 +3,6 @@ GitHub Hyphy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Hyphy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/idl.json b/data/daily/idl.json index 1465b62a1f24..77538fc69352 100644 --- a/data/daily/idl.json +++ b/data/daily/idl.json @@ -2,6 +2,6 @@ "title": "GitHub Idl Languages Daily Trending", "description": "Daily Trending of Idl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/idl.xml b/data/daily/idl.xml index a9a0a904efc3..fa97c9891732 100644 --- a/data/daily/idl.xml +++ b/data/daily/idl.xml @@ -3,6 +3,6 @@ GitHub Idl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Idl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/idris.json b/data/daily/idris.json index 713d8291abfe..69e51e86030b 100644 --- a/data/daily/idris.json +++ b/data/daily/idris.json @@ -2,6 +2,6 @@ "title": "GitHub Idris Languages Daily Trending", "description": "Daily Trending of Idris Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/idris.xml b/data/daily/idris.xml index ce86e26f9a0e..be8860bc0b40 100644 --- a/data/daily/idris.xml +++ b/data/daily/idris.xml @@ -3,6 +3,6 @@ GitHub Idris Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Idris Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ignore-list.json b/data/daily/ignore-list.json index bdc6d7795586..0666ee02f214 100644 --- a/data/daily/ignore-list.json +++ b/data/daily/ignore-list.json @@ -2,6 +2,6 @@ "title": "GitHub Ignore-list Languages Daily Trending", "description": "Daily Trending of Ignore-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ignore-list.xml b/data/daily/ignore-list.xml index f776a72ee5a5..ae2a073a9b56 100644 --- a/data/daily/ignore-list.xml +++ b/data/daily/ignore-list.xml @@ -3,6 +3,6 @@ GitHub Ignore-list Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ignore-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/igor-pro.json b/data/daily/igor-pro.json index 15c924a31ecd..09cd10372e96 100644 --- a/data/daily/igor-pro.json +++ b/data/daily/igor-pro.json @@ -2,6 +2,6 @@ "title": "GitHub Igor-pro Languages Daily Trending", "description": "Daily Trending of Igor-pro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/igor-pro.xml b/data/daily/igor-pro.xml index 3538eb73fca1..fd8b3270ed1c 100644 --- a/data/daily/igor-pro.xml +++ b/data/daily/igor-pro.xml @@ -3,6 +3,6 @@ GitHub Igor-pro Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Igor-pro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/imagej-macro.json b/data/daily/imagej-macro.json index 0ba02029e8b5..a7889661f89a 100644 --- a/data/daily/imagej-macro.json +++ b/data/daily/imagej-macro.json @@ -2,6 +2,6 @@ "title": "GitHub Imagej-macro Languages Daily Trending", "description": "Daily Trending of Imagej-macro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/imagej-macro.xml b/data/daily/imagej-macro.xml index 1b00eefe13bc..661d2b24b034 100644 --- a/data/daily/imagej-macro.xml +++ b/data/daily/imagej-macro.xml @@ -3,6 +3,6 @@ GitHub Imagej-macro Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Imagej-macro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/imba.json b/data/daily/imba.json index 0d037cdc2ac8..e0a48870a4b4 100644 --- a/data/daily/imba.json +++ b/data/daily/imba.json @@ -2,6 +2,6 @@ "title": "GitHub Imba Languages Daily Trending", "description": "Daily Trending of Imba Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/imba.xml b/data/daily/imba.xml index 04122e59f423..73f6599deed8 100644 --- a/data/daily/imba.xml +++ b/data/daily/imba.xml @@ -3,6 +3,6 @@ GitHub Imba Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Imba Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/inform-7.json b/data/daily/inform-7.json index 96fbf9a9991e..861443788361 100644 --- a/data/daily/inform-7.json +++ b/data/daily/inform-7.json @@ -2,7 +2,7 @@ "title": "GitHub Inform-7 Languages Daily Trending", "description": "Daily Trending of Inform-7 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Nuku/Flexible-Survival", diff --git a/data/daily/inform-7.xml b/data/daily/inform-7.xml index 900c39dd19cf..c7f5da56f92c 100644 --- a/data/daily/inform-7.xml +++ b/data/daily/inform-7.xml @@ -3,7 +3,7 @@ GitHub Inform-7 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Inform-7 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Nuku/Flexible-Survival https://github.com/Nuku/Flexible-Survival diff --git a/data/daily/ini.json b/data/daily/ini.json index 797cf06de029..dcca0129130f 100644 --- a/data/daily/ini.json +++ b/data/daily/ini.json @@ -2,6 +2,6 @@ "title": "GitHub Ini Languages Daily Trending", "description": "Daily Trending of Ini Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ini.xml b/data/daily/ini.xml index 7261c61b3fb0..5a6fd8324f8b 100644 --- a/data/daily/ini.xml +++ b/data/daily/ini.xml @@ -3,6 +3,6 @@ GitHub Ini Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ini Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ink.json b/data/daily/ink.json index 286e03be7d3f..634d8fd89ddf 100644 --- a/data/daily/ink.json +++ b/data/daily/ink.json @@ -2,6 +2,6 @@ "title": "GitHub Ink Languages Daily Trending", "description": "Daily Trending of Ink Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ink.xml b/data/daily/ink.xml index 035eafd875fe..05862d9646da 100644 --- a/data/daily/ink.xml +++ b/data/daily/ink.xml @@ -3,6 +3,6 @@ GitHub Ink Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ink Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/inno-setup.json b/data/daily/inno-setup.json index 7e87df048275..442467b30a66 100644 --- a/data/daily/inno-setup.json +++ b/data/daily/inno-setup.json @@ -2,6 +2,6 @@ "title": "GitHub Inno-setup Languages Daily Trending", "description": "Daily Trending of Inno-setup Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/inno-setup.xml b/data/daily/inno-setup.xml index 6e50cecf09b7..92cdaa45bd6a 100644 --- a/data/daily/inno-setup.xml +++ b/data/daily/inno-setup.xml @@ -3,6 +3,6 @@ GitHub Inno-setup Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Inno-setup Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/io.json b/data/daily/io.json index 787018bf5b8e..2d13fc5c57b4 100644 --- a/data/daily/io.json +++ b/data/daily/io.json @@ -2,6 +2,6 @@ "title": "GitHub Io Languages Daily Trending", "description": "Daily Trending of Io Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/io.xml b/data/daily/io.xml index 80a85c1b8d81..b1bcee819b93 100644 --- a/data/daily/io.xml +++ b/data/daily/io.xml @@ -3,6 +3,6 @@ GitHub Io Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Io Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ioke.json b/data/daily/ioke.json index 2963afe9d5c4..0e1f9aec52ce 100644 --- a/data/daily/ioke.json +++ b/data/daily/ioke.json @@ -2,6 +2,6 @@ "title": "GitHub Ioke Languages Daily Trending", "description": "Daily Trending of Ioke Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ioke.xml b/data/daily/ioke.xml index 5b24530505e3..4bb85c23d6bb 100644 --- a/data/daily/ioke.xml +++ b/data/daily/ioke.xml @@ -3,6 +3,6 @@ GitHub Ioke Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ioke Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/irc-log.json b/data/daily/irc-log.json index cdac88f83aef..63fd02e917cb 100644 --- a/data/daily/irc-log.json +++ b/data/daily/irc-log.json @@ -2,6 +2,6 @@ "title": "GitHub Irc-log Languages Daily Trending", "description": "Daily Trending of Irc-log Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/irc-log.xml b/data/daily/irc-log.xml index 1d905d8ecfc5..5a569930ddd6 100644 --- a/data/daily/irc-log.xml +++ b/data/daily/irc-log.xml @@ -3,6 +3,6 @@ GitHub Irc-log Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Irc-log Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/isabelle-root.json b/data/daily/isabelle-root.json index a89602f14d8a..ef7d67db0ab8 100644 --- a/data/daily/isabelle-root.json +++ b/data/daily/isabelle-root.json @@ -2,6 +2,6 @@ "title": "GitHub Isabelle-root Languages Daily Trending", "description": "Daily Trending of Isabelle-root Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/isabelle-root.xml b/data/daily/isabelle-root.xml index 799575b8bcbc..ff2bec68c4f0 100644 --- a/data/daily/isabelle-root.xml +++ b/data/daily/isabelle-root.xml @@ -3,6 +3,6 @@ GitHub Isabelle-root Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Isabelle-root Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/isabelle.json b/data/daily/isabelle.json index bef254f98685..83a456ffd98d 100644 --- a/data/daily/isabelle.json +++ b/data/daily/isabelle.json @@ -2,6 +2,6 @@ "title": "GitHub Isabelle Languages Daily Trending", "description": "Daily Trending of Isabelle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/isabelle.xml b/data/daily/isabelle.xml index 4d4ec3c441dc..c25f6a3b74e6 100644 --- a/data/daily/isabelle.xml +++ b/data/daily/isabelle.xml @@ -3,6 +3,6 @@ GitHub Isabelle Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Isabelle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/j.json b/data/daily/j.json index 088b270e473d..4001b4bb3c02 100644 --- a/data/daily/j.json +++ b/data/daily/j.json @@ -2,6 +2,6 @@ "title": "GitHub J Languages Daily Trending", "description": "Daily Trending of J Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/j.xml b/data/daily/j.xml index 039781ea949f..0d8c7d3f074a 100644 --- a/data/daily/j.xml +++ b/data/daily/j.xml @@ -3,6 +3,6 @@ GitHub J Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of J Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/janet.json b/data/daily/janet.json index 4661b1013644..fb73aa9cb6c6 100644 --- a/data/daily/janet.json +++ b/data/daily/janet.json @@ -2,44 +2,6 @@ "title": "GitHub Janet Languages Daily Trending", "description": "Daily Trending of Janet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "nvim-treesitter/nvim-treesitter-context", - "url": "https://github.com/nvim-treesitter/nvim-treesitter-context", - "description": "Show code context", - "language": "Janet", - "languageColor": "#0886a5", - "stars": "2,522", - "forks": "203", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7904185?s=40&v=4", - "name": "lewis6991", - "url": "https://github.com/lewis6991" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1423607?s=40&v=4", - "name": "romgrk", - "url": "https://github.com/romgrk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40796807?s=40&v=4", - "name": "uchars", - "url": "https://github.com/uchars" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/61095988?s=40&v=4", - "name": "oberblastmeister", - "url": "https://github.com/oberblastmeister" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6256228?s=40&v=4", - "name": "seandewar", - "url": "https://github.com/seandewar" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/janet.xml b/data/daily/janet.xml index 4c14867aac11..d5c8b53072bc 100644 --- a/data/daily/janet.xml +++ b/data/daily/janet.xml @@ -3,44 +3,6 @@ GitHub Janet Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Janet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - nvim-treesitter/nvim-treesitter-context - https://github.com/nvim-treesitter/nvim-treesitter-context - Show code context - https://github.com/nvim-treesitter/nvim-treesitter-context - Janet - #0886a5 - 2,522 - 203 - 1 - - - https://avatars.githubusercontent.com/u/7904185?s=40&v=4 - lewis6991 - https://github.com/lewis6991 - - - https://avatars.githubusercontent.com/u/1423607?s=40&v=4 - romgrk - https://github.com/romgrk - - - https://avatars.githubusercontent.com/u/40796807?s=40&v=4 - uchars - https://github.com/uchars - - - https://avatars.githubusercontent.com/u/61095988?s=40&v=4 - oberblastmeister - https://github.com/oberblastmeister - - - https://avatars.githubusercontent.com/u/6256228?s=40&v=4 - seandewar - https://github.com/seandewar - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jar-manifest.json b/data/daily/jar-manifest.json index 506098ca95c0..2a4fdf080e18 100644 --- a/data/daily/jar-manifest.json +++ b/data/daily/jar-manifest.json @@ -2,6 +2,6 @@ "title": "GitHub Jar-manifest Languages Daily Trending", "description": "Daily Trending of Jar-manifest Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jar-manifest.xml b/data/daily/jar-manifest.xml index 60238ad57ea5..57c60d0fb914 100644 --- a/data/daily/jar-manifest.xml +++ b/data/daily/jar-manifest.xml @@ -3,6 +3,6 @@ GitHub Jar-manifest Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jar-manifest Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jasmin.json b/data/daily/jasmin.json index 59990f11c6c8..76e2b79b1c5c 100644 --- a/data/daily/jasmin.json +++ b/data/daily/jasmin.json @@ -2,6 +2,6 @@ "title": "GitHub Jasmin Languages Daily Trending", "description": "Daily Trending of Jasmin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jasmin.xml b/data/daily/jasmin.xml index 6523f6b680dd..30f7ef768542 100644 --- a/data/daily/jasmin.xml +++ b/data/daily/jasmin.xml @@ -3,6 +3,6 @@ GitHub Jasmin Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jasmin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/java-properties.json b/data/daily/java-properties.json index c898896007c9..a9bca8ca8966 100644 --- a/data/daily/java-properties.json +++ b/data/daily/java-properties.json @@ -2,6 +2,6 @@ "title": "GitHub Java-properties Languages Daily Trending", "description": "Daily Trending of Java-properties Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/java-properties.xml b/data/daily/java-properties.xml index a4072a554e21..118d4adf2c2a 100644 --- a/data/daily/java-properties.xml +++ b/data/daily/java-properties.xml @@ -3,6 +3,6 @@ GitHub Java-properties Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Java-properties Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/java-server-pages.json b/data/daily/java-server-pages.json index 6351909e65db..c7b1f6d0a2ba 100644 --- a/data/daily/java-server-pages.json +++ b/data/daily/java-server-pages.json @@ -2,6 +2,6 @@ "title": "GitHub Java-server-pages Languages Daily Trending", "description": "Daily Trending of Java-server-pages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/java-server-pages.xml b/data/daily/java-server-pages.xml index e3d25c07e9a4..43b53f4b24e9 100644 --- a/data/daily/java-server-pages.xml +++ b/data/daily/java-server-pages.xml @@ -3,6 +3,6 @@ GitHub Java-server-pages Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Java-server-pages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/java.json b/data/daily/java.json index 371e4857612f..3d2f3fe4c756 100644 --- a/data/daily/java.json +++ b/data/daily/java.json @@ -2,42 +2,42 @@ "title": "GitHub Java Languages Daily Trending", "description": "Daily Trending of Java Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "krahets/hello-algo", - "url": "https://github.com/krahets/hello-algo", - "description": "《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing", + "title": "termux/termux-app", + "url": "https://github.com/termux/termux-app", + "description": "Termux - a terminal emulator application for Android OS extendible by variety of packages.", "language": "Java", "languageColor": "#b07219", - "stars": "100,066", - "forks": "12,583", - "addStars": "123", + "stars": "36,726", + "forks": "3,857", + "addStars": "28", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26993056?s=40&v=4", - "name": "krahets", - "url": "https://github.com/krahets" + "avatar": "https://avatars.githubusercontent.com/u/31106828?s=40&v=4", + "name": "agnostic-apollo", + "url": "https://github.com/agnostic-apollo" }, { - "avatar": "https://avatars.githubusercontent.com/u/24556310?s=40&v=4", - "name": "justin-tse", - "url": "https://github.com/justin-tse" + "avatar": "https://avatars.githubusercontent.com/u/277251?s=40&v=4", + "name": "fornwall", + "url": "https://github.com/fornwall" }, { - "avatar": "https://avatars.githubusercontent.com/u/99076655?s=40&v=4", - "name": "coderonion", - "url": "https://github.com/coderonion" + "avatar": "https://avatars.githubusercontent.com/u/18900601?s=40&v=4", + "name": "Grimler91", + "url": "https://github.com/Grimler91" }, { - "avatar": "https://avatars.githubusercontent.com/u/3739017?s=40&v=4", - "name": "nuomi1", - "url": "https://github.com/nuomi1" + "avatar": "https://avatars.githubusercontent.com/u/3536343?s=40&v=4", + "name": "maoabc", + "url": "https://github.com/maoabc" }, { - "avatar": "https://avatars.githubusercontent.com/u/39959756?s=40&v=4", - "name": "Gonglja", - "url": "https://github.com/Gonglja" + "avatar": "https://avatars.githubusercontent.com/u/601966?s=40&v=4", + "name": "trygveaa", + "url": "https://github.com/trygveaa" } ] }, @@ -47,9 +47,9 @@ "description": "1️⃣🐝🏎️ The One Billion Row Challenge -- A fun exploration of how quickly 1B rows from a text file can be aggregated with Java", "language": "Java", "languageColor": "#b07219", - "stars": "6,436", - "forks": "1,910", - "addStars": "12", + "stars": "6,447", + "forks": "1,913", + "addStars": "65", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/28612?s=40&v=4", @@ -79,325 +79,362 @@ ] }, { - "title": "termux/termux-app", - "url": "https://github.com/termux/termux-app", - "description": "Termux - a terminal emulator application for Android OS extendible by variety of packages.", + "title": "Creators-of-Create/Create", + "url": "https://github.com/Creators-of-Create/Create", + "description": "[Forge Mod] Building Tools and Aesthetic Technology", "language": "Java", "languageColor": "#b07219", - "stars": "36,709", - "forks": "3,855", - "addStars": "28", + "stars": "2,954", + "forks": "911", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/31106828?s=40&v=4", - "name": "agnostic-apollo", - "url": "https://github.com/agnostic-apollo" + "avatar": "https://avatars.githubusercontent.com/u/31564874?s=40&v=4", + "name": "simibubi", + "url": "https://github.com/simibubi" }, { - "avatar": "https://avatars.githubusercontent.com/u/277251?s=40&v=4", - "name": "fornwall", - "url": "https://github.com/fornwall" + "avatar": "https://avatars.githubusercontent.com/u/21962423?s=40&v=4", + "name": "Jozufozu", + "url": "https://github.com/Jozufozu" }, { - "avatar": "https://avatars.githubusercontent.com/u/18900601?s=40&v=4", - "name": "Grimler91", - "url": "https://github.com/Grimler91" + "avatar": "https://avatars.githubusercontent.com/u/44146161?s=40&v=4", + "name": "PepperCode1", + "url": "https://github.com/PepperCode1" }, { - "avatar": "https://avatars.githubusercontent.com/u/3536343?s=40&v=4", - "name": "maoabc", - "url": "https://github.com/maoabc" + "avatar": "https://avatars.githubusercontent.com/u/49513131?s=40&v=4", + "name": "LordGrimmauld", + "url": "https://github.com/LordGrimmauld" }, { - "avatar": "https://avatars.githubusercontent.com/u/601966?s=40&v=4", - "name": "trygveaa", - "url": "https://github.com/trygveaa" + "avatar": "https://avatars.githubusercontent.com/u/25709445?s=40&v=4", + "name": "zelophed", + "url": "https://github.com/zelophed" } ] }, { - "title": "PaperMC/Paper", - "url": "https://github.com/PaperMC/Paper", - "description": "The most widely used, high performance Minecraft server that aims to fix gameplay and mechanics inconsistencies", + "title": "TeamNewPipe/NewPipe", + "url": "https://github.com/TeamNewPipe/NewPipe", + "description": "A libre lightweight streaming front-end for Android.", "language": "Java", "languageColor": "#b07219", - "stars": "10,035", - "forks": "2,333", - "addStars": "3", + "stars": "31,726", + "forks": "3,085", + "addStars": "18", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/58008?s=40&v=4", - "name": "aikar", - "url": "https://github.com/aikar" + "avatar": "https://avatars.githubusercontent.com/u/17365767?s=40&v=4", + "name": "TobiGr", + "url": "https://github.com/TobiGr" }, { - "avatar": "https://avatars.githubusercontent.com/u/15055071?s=40&v=4", - "name": "Machine-Maker", - "url": "https://github.com/Machine-Maker" + "avatar": "https://avatars.githubusercontent.com/u/36421898?s=40&v=4", + "name": "Stypox", + "url": "https://github.com/Stypox" }, { - "avatar": "https://avatars.githubusercontent.com/u/1007849?s=40&v=4", - "name": "md-5", - "url": "https://github.com/md-5" + "avatar": "https://avatars.githubusercontent.com/u/1891273?s=40&v=4", + "name": "theScrabi", + "url": "https://github.com/theScrabi" }, { - "avatar": "https://avatars.githubusercontent.com/u/1254957?s=40&v=4", - "name": "zachbr", - "url": "https://github.com/zachbr" + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" }, { - "avatar": "https://avatars.githubusercontent.com/u/1228900?s=40&v=4", - "name": "electronicboy", - "url": "https://github.com/electronicboy" + "avatar": "https://avatars.githubusercontent.com/u/40789489?s=40&v=4", + "name": "litetex", + "url": "https://github.com/litetex" } ] }, { - "title": "yuliskov/SmartTube", - "url": "https://github.com/yuliskov/SmartTube", - "description": "SmartTube - an advanced player for set-top boxes and tvs running Android OS", + "title": "krahets/hello-algo", + "url": "https://github.com/krahets/hello-algo", + "description": "《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing", "language": "Java", "languageColor": "#b07219", - "stars": "20,027", - "forks": "1,078", - "addStars": "22", + "stars": "100,154", + "forks": "12,589", + "addStars": "333", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5897464?s=40&v=4", - "name": "yuliskov", - "url": "https://github.com/yuliskov" + "avatar": "https://avatars.githubusercontent.com/u/26993056?s=40&v=4", + "name": "krahets", + "url": "https://github.com/krahets" }, { - "avatar": "https://avatars.githubusercontent.com/u/74490408?s=40&v=4", - "name": "mpama2016", - "url": "https://github.com/mpama2016" + "avatar": "https://avatars.githubusercontent.com/u/24556310?s=40&v=4", + "name": "justin-tse", + "url": "https://github.com/justin-tse" }, { - "avatar": "https://avatars.githubusercontent.com/u/32204990?s=40&v=4", - "name": "Resshi", - "url": "https://github.com/Resshi" + "avatar": "https://avatars.githubusercontent.com/u/99076655?s=40&v=4", + "name": "coderonion", + "url": "https://github.com/coderonion" }, { - "avatar": "https://avatars.githubusercontent.com/u/59088697?s=40&v=4", - "name": "foreveryoungforty", - "url": "https://github.com/foreveryoungforty" + "avatar": "https://avatars.githubusercontent.com/u/3739017?s=40&v=4", + "name": "nuomi1", + "url": "https://github.com/nuomi1" }, { - "avatar": "https://avatars.githubusercontent.com/u/41143572?s=40&v=4", - "name": "mapi68", - "url": "https://github.com/mapi68" + "avatar": "https://avatars.githubusercontent.com/u/39959756?s=40&v=4", + "name": "Gonglja", + "url": "https://github.com/Gonglja" } ] }, { - "title": "Anuken/Mindustry", - "url": "https://github.com/Anuken/Mindustry", - "description": "The automation tower defense RTS", + "title": "MeteorDevelopment/meteor-client", + "url": "https://github.com/MeteorDevelopment/meteor-client", + "description": "Based Minecraft utility mod.", "language": "Java", "languageColor": "#b07219", - "stars": "22,810", - "forks": "2,992", - "addStars": "8", + "stars": "2,266", + "forks": "843", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10100000?s=40&v=4", - "name": "Anuken", - "url": "https://github.com/Anuken" + "avatar": "https://avatars.githubusercontent.com/u/25082624?s=40&v=4", + "name": "MineGame159", + "url": "https://github.com/MineGame159" }, { - "avatar": "https://avatars.githubusercontent.com/u/3179271?s=40&v=4", - "name": "Quezler", - "url": "https://github.com/Quezler" + "avatar": "https://avatars.githubusercontent.com/u/17166139?s=40&v=4", + "name": "arlomcwalter", + "url": "https://github.com/arlomcwalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/2945013?s=40&v=4", - "name": "Epowerj", - "url": "https://github.com/Epowerj" + "avatar": "https://avatars.githubusercontent.com/u/35968667?s=40&v=4", + "name": "squidoodly", + "url": "https://github.com/squidoodly" }, { - "avatar": "https://avatars.githubusercontent.com/u/54301439?s=40&v=4", - "name": "MEEPofFaith", - "url": "https://github.com/MEEPofFaith" + "avatar": "https://avatars.githubusercontent.com/u/74723656?s=40&v=4", + "name": "Wide-Cat", + "url": "https://github.com/Wide-Cat" }, { - "avatar": "https://avatars.githubusercontent.com/u/31485341?s=40&v=4", - "name": "Prosta4okua", - "url": "https://github.com/Prosta4okua" + "avatar": "https://avatars.githubusercontent.com/u/18114966?s=40&v=4", + "name": "C10udburst", + "url": "https://github.com/C10udburst" } ] }, { - "title": "runelite/runelite", - "url": "https://github.com/runelite/runelite", - "description": "Open source Old School RuneScape client", + "title": "cabaletta/baritone", + "url": "https://github.com/cabaletta/baritone", + "description": "google maps for block game", "language": "Java", "languageColor": "#b07219", - "stars": "4,826", - "forks": "5,249", - "addStars": "1", + "stars": "7,286", + "forks": "1,458", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/309739?s=40&v=4", - "name": "Adam-", - "url": "https://github.com/Adam-" + "avatar": "https://avatars.githubusercontent.com/u/3837873?s=40&v=4", + "name": "leijurv", + "url": "https://github.com/leijurv" }, { - "avatar": "https://avatars.githubusercontent.com/u/5115805?s=40&v=4", - "name": "deathbeam", - "url": "https://github.com/deathbeam" + "avatar": "https://avatars.githubusercontent.com/u/24485393?s=40&v=4", + "name": "ZeroMemes", + "url": "https://github.com/ZeroMemes" }, { - "avatar": "https://avatars.githubusercontent.com/u/12366911?s=40&v=4", - "name": "abextm", - "url": "https://github.com/abextm" + "avatar": "https://avatars.githubusercontent.com/u/68165024?s=40&v=4", + "name": "ZacSharp", + "url": "https://github.com/ZacSharp" }, { - "avatar": "https://avatars.githubusercontent.com/u/2199511?s=40&v=4", - "name": "Nightfirecat", - "url": "https://github.com/Nightfirecat" + "avatar": "https://avatars.githubusercontent.com/u/6234704?s=40&v=4", + "name": "wagyourtail", + "url": "https://github.com/wagyourtail" }, { - "avatar": "https://avatars.githubusercontent.com/u/2979691?s=40&v=4", - "name": "Enriath", - "url": "https://github.com/Enriath" + "avatar": "https://avatars.githubusercontent.com/u/12820770?s=40&v=4", + "name": "babbaj", + "url": "https://github.com/babbaj" } ] }, { - "title": "DrKLO/Telegram", - "url": "https://github.com/DrKLO/Telegram", - "description": "Telegram for Android source", + "title": "prestodb/presto", + "url": "https://github.com/prestodb/presto", + "description": "The official home of the Presto distributed SQL query engine for big data", "language": "Java", "languageColor": "#b07219", - "stars": "25,299", - "forks": "8,136", - "addStars": "7", + "stars": "16,074", + "forks": "5,384", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7038595?s=40&v=4", - "name": "xaxtix", - "url": "https://github.com/xaxtix" + "avatar": "https://avatars.githubusercontent.com/u/9230?s=40&v=4", + "name": "electrum", + "url": "https://github.com/electrum" }, { - "avatar": "https://avatars.githubusercontent.com/u/69369?s=40&v=4", - "name": "DrKLO", - "url": "https://github.com/DrKLO" + "avatar": "https://avatars.githubusercontent.com/u/58298?s=40&v=4", + "name": "dain", + "url": "https://github.com/dain" }, { - "avatar": "https://avatars.githubusercontent.com/u/10834227?s=40&v=4", - "name": "dkaraush", - "url": "https://github.com/dkaraush" + "avatar": "https://avatars.githubusercontent.com/u/14387?s=40&v=4", + "name": "martint", + "url": "https://github.com/martint" }, { - "avatar": "https://avatars.githubusercontent.com/u/3435533?s=40&v=4", - "name": "jsonchi", - "url": "https://github.com/jsonchi" + "avatar": "https://avatars.githubusercontent.com/u/842688?s=40&v=4", + "name": "cberner", + "url": "https://github.com/cberner" }, { - "avatar": "https://avatars.githubusercontent.com/u/105185?s=40&v=4", - "name": "Bubu", - "url": "https://github.com/Bubu" + "avatar": "https://avatars.githubusercontent.com/u/144328?s=40&v=4", + "name": "findepi", + "url": "https://github.com/findepi" } ] }, { - "title": "jagrosh/MusicBot", - "url": "https://github.com/jagrosh/MusicBot", - "description": "🎶 A Discord music bot that's easy to set up and run yourself!", + "title": "logisim-evolution/logisim-evolution", + "url": "https://github.com/logisim-evolution/logisim-evolution", + "description": "Digital logic design tool and simulator", "language": "Java", "languageColor": "#b07219", - "stars": "5,386", - "forks": "2,578", - "addStars": "0", + "stars": "4,973", + "forks": "643", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12754382?s=40&v=4", - "name": "jagrosh", - "url": "https://github.com/jagrosh" + "avatar": "https://avatars.githubusercontent.com/u/13875618?s=40&v=4", + "name": "BFH-ktt1", + "url": "https://github.com/BFH-ktt1" }, { - "avatar": "https://avatars.githubusercontent.com/u/39029839?s=40&v=4", - "name": "MichailiK", - "url": "https://github.com/MichailiK" + "avatar": "https://avatars.githubusercontent.com/u/8041294?s=40&v=4", + "name": "MarcinOrlowski", + "url": "https://github.com/MarcinOrlowski" }, { - "avatar": "https://avatars.githubusercontent.com/u/9768134?s=40&v=4", - "name": "Dougley", - "url": "https://github.com/Dougley" + "avatar": "https://avatars.githubusercontent.com/u/42096681?s=40&v=4", + "name": "mbaillif", + "url": "https://github.com/mbaillif" }, { - "avatar": "https://avatars.githubusercontent.com/u/64579257?s=40&v=4", - "name": "lorivaro", - "url": "https://github.com/lorivaro" + "avatar": "https://avatars.githubusercontent.com/u/62245695?s=40&v=4", + "name": "R3dst0ne", + "url": "https://github.com/R3dst0ne" }, { - "avatar": "https://avatars.githubusercontent.com/u/2377379?s=40&v=4", - "name": "Telluur", - "url": "https://github.com/Telluur" + "avatar": "https://avatars.githubusercontent.com/u/1174243?s=40&v=4", + "name": "maehne", + "url": "https://github.com/maehne" } ] }, { - "title": "jenkinsci/jenkins", - "url": "https://github.com/jenkinsci/jenkins", - "description": "Jenkins automation server", + "title": "PojavLauncherTeam/PojavLauncher", + "url": "https://github.com/PojavLauncherTeam/PojavLauncher", + "description": "A Minecraft: Java Edition Launcher for Android and iOS based on Boardwalk. This repository contains source code for Android platform.", "language": "Java", "languageColor": "#b07219", - "stars": "23,286", - "forks": "8,803", - "addStars": "1", + "stars": "6,863", + "forks": "1,292", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/50003?s=40&v=4", - "name": "kohsuke", - "url": "https://github.com/kohsuke" + "avatar": "https://avatars.githubusercontent.com/u/40482367?s=40&v=4", + "name": "khanhduytran0", + "url": "https://github.com/khanhduytran0" }, { - "avatar": "https://avatars.githubusercontent.com/u/154109?s=40&v=4", - "name": "jglick", - "url": "https://github.com/jglick" + "avatar": "https://avatars.githubusercontent.com/u/24864674?s=40&v=4", + "name": "Mathias-Boulay", + "url": "https://github.com/Mathias-Boulay" }, { - "avatar": "https://avatars.githubusercontent.com/u/1831569?s=40&v=4", - "name": "daniel-beck", - "url": "https://github.com/daniel-beck" + "avatar": "https://avatars.githubusercontent.com/u/45949002?s=40&v=4", + "name": "artdeell", + "url": "https://github.com/artdeell" }, { - "avatar": "https://avatars.githubusercontent.com/u/3000480?s=40&v=4", - "name": "oleg-nenashev", - "url": "https://github.com/oleg-nenashev" + "avatar": "https://avatars.githubusercontent.com/u/25864932?s=40&v=4", + "name": "WesleyVanNeck", + "url": "https://github.com/WesleyVanNeck" } ] }, { - "title": "bepass-org/oblivion", - "url": "https://github.com/bepass-org/oblivion", - "description": "Unofficial warp client for android", + "title": "PaperMC/Paper", + "url": "https://github.com/PaperMC/Paper", + "description": "The most widely used, high performance Minecraft server that aims to fix gameplay and mechanics inconsistencies", "language": "Java", "languageColor": "#b07219", - "stars": "3,876", - "forks": "519", - "addStars": "5", + "stars": "10,038", + "forks": "2,335", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/68733224?s=40&v=4", - "name": "DanielcoderX", - "url": "https://github.com/DanielcoderX" + "avatar": "https://avatars.githubusercontent.com/u/58008?s=40&v=4", + "name": "aikar", + "url": "https://github.com/aikar" }, { - "avatar": "https://avatars.githubusercontent.com/u/6353129?s=40&v=4", - "name": "markpash", - "url": "https://github.com/markpash" + "avatar": "https://avatars.githubusercontent.com/u/15055071?s=40&v=4", + "name": "Machine-Maker", + "url": "https://github.com/Machine-Maker" }, { - "avatar": "https://avatars.githubusercontent.com/u/75689502?s=40&v=4", - "name": "ameerhossein", - "url": "https://github.com/ameerhossein" + "avatar": "https://avatars.githubusercontent.com/u/1007849?s=40&v=4", + "name": "md-5", + "url": "https://github.com/md-5" }, { - "avatar": "https://avatars.githubusercontent.com/u/43346478?s=40&v=4", - "name": "uoosef", - "url": "https://github.com/uoosef" + "avatar": "https://avatars.githubusercontent.com/u/1254957?s=40&v=4", + "name": "zachbr", + "url": "https://github.com/zachbr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1228900?s=40&v=4", + "name": "electronicboy", + "url": "https://github.com/electronicboy" + } + ] + }, + { + "title": "JabRef/jabref", + "url": "https://github.com/JabRef/jabref", + "description": "Graphical Java application for managing BibTeX and biblatex (.bib) databases", + "language": "Java", + "languageColor": "#b07219", + "stars": "3,643", + "forks": "2,593", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1366654?s=40&v=4", + "name": "koppor", + "url": "https://github.com/koppor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/320228?s=40&v=4", + "name": "Siedlerchr", + "url": "https://github.com/Siedlerchr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5037600?s=40&v=4", + "name": "tobiasdiez", + "url": "https://github.com/tobiasdiez" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2141507?s=40&v=4", + "name": "stefan-kolb", + "url": "https://github.com/stefan-kolb" } ] }, @@ -407,7 +444,7 @@ "description": "Free and Open Source, Distributed, RESTful Search Engine", "language": "Java", "languageColor": "#b07219", - "stars": "1,375", + "stars": "1,384", "forks": "24,867", "addStars": "14", "contributors": [ @@ -439,76 +476,39 @@ ] }, { - "title": "amitshekhariitbhu/android-interview-questions", - "url": "https://github.com/amitshekhariitbhu/android-interview-questions", - "description": "Your Cheat Sheet For Android Interview - Android Interview Questions and Answers", + "title": "polymorphicshade/Tubular", + "url": "https://github.com/polymorphicshade/Tubular", + "description": "A fork of NewPipe that implements SponsorBlock and ReturnYouTubeDislike.", "language": "Java", "languageColor": "#b07219", - "stars": "11,460", - "forks": "2,263", - "addStars": "5", + "stars": "1,684", + "forks": "43", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9877145?s=40&v=4", - "name": "amitshekhariitbhu", - "url": "https://github.com/amitshekhariitbhu" + "avatar": "https://avatars.githubusercontent.com/u/36421898?s=40&v=4", + "name": "Stypox", + "url": "https://github.com/Stypox" }, { - "avatar": "https://avatars.githubusercontent.com/u/18574763?s=40&v=4", - "name": "Ifhay", - "url": "https://github.com/Ifhay" + "avatar": "https://avatars.githubusercontent.com/u/32504507?s=40&v=4", + "name": "polymorphicshade", + "url": "https://github.com/polymorphicshade" }, { - "avatar": "https://avatars.githubusercontent.com/u/6580298?s=40&v=4", - "name": "JosiasSena", - "url": "https://github.com/JosiasSena" + "avatar": "https://avatars.githubusercontent.com/u/17365767?s=40&v=4", + "name": "TobiGr", + "url": "https://github.com/TobiGr" }, { - "avatar": "https://avatars.githubusercontent.com/u/4899907?s=40&v=4", - "name": "taruncse", - "url": "https://github.com/taruncse" + "avatar": "https://avatars.githubusercontent.com/u/32899400?s=40&v=4", + "name": "GGAutomaton", + "url": "https://github.com/GGAutomaton" }, { - "avatar": "https://avatars.githubusercontent.com/u/40509714?s=40&v=4", - "name": "jayesh83", - "url": "https://github.com/jayesh83" - } - ] - }, - { - "title": "junit-team/junit5", - "url": "https://github.com/junit-team/junit5", - "description": "✅ The 5th major version of the programmer-friendly testing framework for Java and the JVM", - "language": "Java", - "languageColor": "#b07219", - "stars": "6,435", - "forks": "1,498", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/214207?s=40&v=4", - "name": "marcphilipp", - "url": "https://github.com/marcphilipp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16123556?s=40&v=4", - "name": "junit-builds", - "url": "https://github.com/junit-builds" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/104798?s=40&v=4", - "name": "sbrannen", - "url": "https://github.com/sbrannen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2319838?s=40&v=4", - "name": "sormuras", - "url": "https://github.com/sormuras" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/103937?s=40&v=4", - "name": "jlink", - "url": "https://github.com/jlink" + "avatar": "https://avatars.githubusercontent.com/u/110076924?s=40&v=4", + "name": "vincetzr", + "url": "https://github.com/vincetzr" } ] }, @@ -518,8 +518,8 @@ "description": "This repository consists of the code samples, assignments, and notes for the Java data structures & algorithms + interview preparation bootcamp of WeMakeDevs.", "language": "Java", "languageColor": "#b07219", - "stars": "17,531", - "forks": "11,219", + "stars": "17,532", + "forks": "11,222", "addStars": "10", "contributors": [ { @@ -550,214 +550,246 @@ ] }, { - "title": "MeteorDevelopment/meteor-client", - "url": "https://github.com/MeteorDevelopment/meteor-client", - "description": "Based Minecraft utility mod.", + "title": "yuliskov/SmartTube", + "url": "https://github.com/yuliskov/SmartTube", + "description": "SmartTube - an advanced player for set-top boxes and tvs running Android OS", "language": "Java", "languageColor": "#b07219", - "stars": "2,266", - "forks": "843", - "addStars": "5", + "stars": "20,033", + "forks": "1,078", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25082624?s=40&v=4", - "name": "MineGame159", - "url": "https://github.com/MineGame159" + "avatar": "https://avatars.githubusercontent.com/u/5897464?s=40&v=4", + "name": "yuliskov", + "url": "https://github.com/yuliskov" }, { - "avatar": "https://avatars.githubusercontent.com/u/17166139?s=40&v=4", - "name": "arlomcwalter", - "url": "https://github.com/arlomcwalter" + "avatar": "https://avatars.githubusercontent.com/u/74490408?s=40&v=4", + "name": "mpama2016", + "url": "https://github.com/mpama2016" }, { - "avatar": "https://avatars.githubusercontent.com/u/35968667?s=40&v=4", - "name": "squidoodly", - "url": "https://github.com/squidoodly" + "avatar": "https://avatars.githubusercontent.com/u/32204990?s=40&v=4", + "name": "Resshi", + "url": "https://github.com/Resshi" }, { - "avatar": "https://avatars.githubusercontent.com/u/74723656?s=40&v=4", - "name": "Wide-Cat", - "url": "https://github.com/Wide-Cat" + "avatar": "https://avatars.githubusercontent.com/u/59088697?s=40&v=4", + "name": "foreveryoungforty", + "url": "https://github.com/foreveryoungforty" }, { - "avatar": "https://avatars.githubusercontent.com/u/18114966?s=40&v=4", - "name": "C10udburst", - "url": "https://github.com/C10udburst" + "avatar": "https://avatars.githubusercontent.com/u/41143572?s=40&v=4", + "name": "mapi68", + "url": "https://github.com/mapi68" } ] }, { - "title": "PojavLauncherTeam/PojavLauncher", - "url": "https://github.com/PojavLauncherTeam/PojavLauncher", - "description": "A Minecraft: Java Edition Launcher for Android and iOS based on Boardwalk. This repository contains source code for Android platform.", + "title": "FabricMC/fabric", + "url": "https://github.com/FabricMC/fabric", + "description": "Essential hooks for modding with Fabric.", "language": "Java", "languageColor": "#b07219", - "stars": "6,862", - "forks": "1,292", - "addStars": "7", + "stars": "2,379", + "forks": "419", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/40482367?s=40&v=4", - "name": "khanhduytran0", - "url": "https://github.com/khanhduytran0" + "avatar": "https://avatars.githubusercontent.com/u/4324090?s=40&v=4", + "name": "modmuss50", + "url": "https://github.com/modmuss50" }, { - "avatar": "https://avatars.githubusercontent.com/u/24864674?s=40&v=4", - "name": "Mathias-Boulay", - "url": "https://github.com/Mathias-Boulay" + "avatar": "https://avatars.githubusercontent.com/u/113514?s=40&v=4", + "name": "asiekierka", + "url": "https://github.com/asiekierka" }, { - "avatar": "https://avatars.githubusercontent.com/u/45949002?s=40&v=4", - "name": "artdeell", - "url": "https://github.com/artdeell" + "avatar": "https://avatars.githubusercontent.com/u/13494793?s=40&v=4", + "name": "Technici4n", + "url": "https://github.com/Technici4n" }, { - "avatar": "https://avatars.githubusercontent.com/u/25864932?s=40&v=4", - "name": "WesleyVanNeck", - "url": "https://github.com/WesleyVanNeck" + "avatar": "https://avatars.githubusercontent.com/u/33279053?s=40&v=4", + "name": "apple502j", + "url": "https://github.com/apple502j" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6596629?s=40&v=4", + "name": "Juuxel", + "url": "https://github.com/Juuxel" } ] }, { - "title": "apache/hertzbeat", - "url": "https://github.com/apache/hertzbeat", - "description": "Apache HertzBeat(incubating) is a real-time monitoring system with agentless, performance cluster, prometheus-compatible, custom monitoring and status page building capabilities.", + "title": "Stirling-Tools/Stirling-PDF", + "url": "https://github.com/Stirling-Tools/Stirling-PDF", + "description": "#1 Locally hosted web application that allows you to perform various operations on PDF files", "language": "Java", "languageColor": "#b07219", - "stars": "5,753", - "forks": "1,000", - "addStars": "7", + "stars": "46,608", + "forks": "3,804", + "addStars": "58", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/24788200?s=40&v=4", - "name": "tomsun28", - "url": "https://github.com/tomsun28" + "avatar": "https://avatars.githubusercontent.com/u/77850077?s=40&v=4", + "name": "Frooodle", + "url": "https://github.com/Frooodle" }, { - "avatar": "https://avatars.githubusercontent.com/u/30048352?s=40&v=4", - "name": "zqr10159", - "url": "https://github.com/zqr10159" + "avatar": "https://avatars.githubusercontent.com/u/4592558?s=40&v=4", + "name": "Ludy87", + "url": "https://github.com/Ludy87" }, { - "avatar": "https://avatars.githubusercontent.com/u/77964041?s=40&v=4", - "name": "yuluo-yx", - "url": "https://github.com/yuluo-yx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25810623?s=40&v=4", - "name": "Aias00", - "url": "https://github.com/Aias00" + "avatar": "https://avatars.githubusercontent.com/u/71648843?s=40&v=4", + "name": "sbplat", + "url": "https://github.com/sbplat" } ] }, { - "title": "MuntashirAkon/AppManager", - "url": "https://github.com/MuntashirAkon/AppManager", - "description": "A full-featured package manager and viewer for Android", + "title": "apache/incubator-seata", + "url": "https://github.com/apache/incubator-seata", + "description": "🔥 Seata is an easy-to-use, high-performance, open source distributed transaction solution.", "language": "Java", "languageColor": "#b07219", - "stars": "5,022", - "forks": "282", - "addStars": "5", + "stars": "25,367", + "forks": "8,789", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8176128?s=40&v=4", - "name": "MuntashirAkon", - "url": "https://github.com/MuntashirAkon" + "avatar": "https://avatars.githubusercontent.com/u/8758457?s=40&v=4", + "name": "slievrly", + "url": "https://github.com/slievrly" }, { - "avatar": "https://avatars.githubusercontent.com/u/24703825?s=40&v=4", - "name": "RickyM7", - "url": "https://github.com/RickyM7" + "avatar": "https://avatars.githubusercontent.com/u/19943636?s=40&v=4", + "name": "funky-eyes", + "url": "https://github.com/funky-eyes" }, { - "avatar": "https://avatars.githubusercontent.com/u/13156001?s=40&v=4", - "name": "rex07", - "url": "https://github.com/rex07" + "avatar": "https://avatars.githubusercontent.com/u/1527893?s=40&v=4", + "name": "wangliang181230", + "url": "https://github.com/wangliang181230" }, { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" + "avatar": "https://avatars.githubusercontent.com/u/24457941?s=40&v=4", + "name": "xingfudeshi", + "url": "https://github.com/xingfudeshi" }, { - "avatar": "https://avatars.githubusercontent.com/u/41156994?s=40&v=4", - "name": "gnuhead-chieb", - "url": "https://github.com/gnuhead-chieb" + "avatar": "https://avatars.githubusercontent.com/u/4516752?s=40&v=4", + "name": "l81893521", + "url": "https://github.com/l81893521" } ] }, { - "title": "FCL-Team/FoldCraftLauncher", - "url": "https://github.com/FCL-Team/FoldCraftLauncher", - "description": "Fold Craft Launcher, an Android Minecraft : Java Edition launcher.", + "title": "Telegram-FOSS-Team/Telegram-FOSS", + "url": "https://github.com/Telegram-FOSS-Team/Telegram-FOSS", + "description": "Unofficial, FOSS-friendly fork of the original Telegram client for Android", "language": "Java", "languageColor": "#b07219", - "stars": "1,626", - "forks": "158", - "addStars": "3", + "stars": "2,949", + "forks": "376", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/74163103?s=40&v=4", - "name": "Tungstend", - "url": "https://github.com/Tungstend" + "avatar": "https://avatars.githubusercontent.com/u/7038595?s=40&v=4", + "name": "xaxtix", + "url": "https://github.com/xaxtix" }, { - "avatar": "https://avatars.githubusercontent.com/u/19233890?s=40&v=4", - "name": "ShirosakiMio", - "url": "https://github.com/ShirosakiMio" + "avatar": "https://avatars.githubusercontent.com/u/69369?s=40&v=4", + "name": "DrKLO", + "url": "https://github.com/DrKLO" }, { - "avatar": "https://avatars.githubusercontent.com/u/87926662?s=40&v=4", - "name": "Vera-Firefly", - "url": "https://github.com/Vera-Firefly" + "avatar": "https://avatars.githubusercontent.com/u/56506714?s=40&v=4", + "name": "nekohasekai", + "url": "https://github.com/nekohasekai" }, { - "avatar": "https://avatars.githubusercontent.com/u/25864932?s=40&v=4", - "name": "WesleyVanNeck", - "url": "https://github.com/WesleyVanNeck" + "avatar": "https://avatars.githubusercontent.com/u/2287483?s=40&v=4", + "name": "thermatk", + "url": "https://github.com/thermatk" }, { - "avatar": "https://avatars.githubusercontent.com/u/64117916?s=40&v=4", - "name": "zkitefly", - "url": "https://github.com/zkitefly" + "avatar": "https://avatars.githubusercontent.com/u/7930239?s=40&v=4", + "name": "kdrag0n", + "url": "https://github.com/kdrag0n" } ] }, { - "title": "Querz/mcaselector", - "url": "https://github.com/Querz/mcaselector", - "description": "A tool to select chunks from Minecraft worlds for deletion or export.", + "title": "bepass-org/oblivion", + "url": "https://github.com/bepass-org/oblivion", + "description": "Unofficial warp client for android", "language": "Java", "languageColor": "#b07219", - "stars": "3,278", + "stars": "3,876", + "forks": "519", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/68733224?s=40&v=4", + "name": "DanielcoderX", + "url": "https://github.com/DanielcoderX" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6353129?s=40&v=4", + "name": "markpash", + "url": "https://github.com/markpash" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/75689502?s=40&v=4", + "name": "ameerhossein", + "url": "https://github.com/ameerhossein" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43346478?s=40&v=4", + "name": "uoosef", + "url": "https://github.com/uoosef" + } + ] + }, + { + "title": "GregTechCEu/GregTech-Modern", + "url": "https://github.com/GregTechCEu/GregTech-Modern", + "description": "GregTech CE Unofficial for modern versions", + "language": "Java", + "languageColor": "#b07219", + "stars": "294", "forks": "177", - "addStars": "3", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8278330?s=40&v=4", - "name": "Querz", - "url": "https://github.com/Querz" + "avatar": "https://avatars.githubusercontent.com/u/68943070?s=40&v=4", + "name": "screret", + "url": "https://github.com/screret" }, { - "avatar": "https://avatars.githubusercontent.com/u/52843537?s=40&v=4", - "name": "Insprill", - "url": "https://github.com/Insprill" + "avatar": "https://avatars.githubusercontent.com/u/18493855?s=40&v=4", + "name": "Yefancy", + "url": "https://github.com/Yefancy" }, { - "avatar": "https://avatars.githubusercontent.com/u/6459309?s=40&v=4", - "name": "Artoria2e5", - "url": "https://github.com/Artoria2e5" + "avatar": "https://avatars.githubusercontent.com/u/39562198?s=40&v=4", + "name": "YoungOnionMC", + "url": "https://github.com/YoungOnionMC" }, { - "avatar": "https://avatars.githubusercontent.com/u/30827929?s=40&v=4", - "name": "5idereal", - "url": "https://github.com/5idereal" + "avatar": "https://avatars.githubusercontent.com/u/139889766?s=40&v=4", + "name": "mikerooni", + "url": "https://github.com/mikerooni" }, { - "avatar": "https://avatars.githubusercontent.com/u/38329398?s=40&v=4", - "name": "TechnicProblem", - "url": "https://github.com/TechnicProblem" + "avatar": "https://avatars.githubusercontent.com/u/135918757?s=40&v=4", + "name": "krossgg", + "url": "https://github.com/krossgg" } ] } diff --git a/data/daily/java.xml b/data/daily/java.xml index c0748e1e67a5..29e76cee3c43 100644 --- a/data/daily/java.xml +++ b/data/daily/java.xml @@ -3,42 +3,42 @@ GitHub Java Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Java Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - krahets/hello-algo - https://github.com/krahets/hello-algo - 《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing - https://github.com/krahets/hello-algo + termux/termux-app + https://github.com/termux/termux-app + Termux - a terminal emulator application for Android OS extendible by variety of packages. + https://github.com/termux/termux-app Java #b07219 - 100,066 - 12,583 - 123 + 36,726 + 3,857 + 28 - https://avatars.githubusercontent.com/u/26993056?s=40&v=4 - krahets - https://github.com/krahets + https://avatars.githubusercontent.com/u/31106828?s=40&v=4 + agnostic-apollo + https://github.com/agnostic-apollo - https://avatars.githubusercontent.com/u/24556310?s=40&v=4 - justin-tse - https://github.com/justin-tse + https://avatars.githubusercontent.com/u/277251?s=40&v=4 + fornwall + https://github.com/fornwall - https://avatars.githubusercontent.com/u/99076655?s=40&v=4 - coderonion - https://github.com/coderonion + https://avatars.githubusercontent.com/u/18900601?s=40&v=4 + Grimler91 + https://github.com/Grimler91 - https://avatars.githubusercontent.com/u/3739017?s=40&v=4 - nuomi1 - https://github.com/nuomi1 + https://avatars.githubusercontent.com/u/3536343?s=40&v=4 + maoabc + https://github.com/maoabc - https://avatars.githubusercontent.com/u/39959756?s=40&v=4 - Gonglja - https://github.com/Gonglja + https://avatars.githubusercontent.com/u/601966?s=40&v=4 + trygveaa + https://github.com/trygveaa @@ -49,9 +49,9 @@ https://github.com/gunnarmorling/1brc Java #b07219 - 6,436 - 1,910 - 12 + 6,447 + 1,913 + 65 https://avatars.githubusercontent.com/u/28612?s=40&v=4 @@ -81,334 +81,372 @@ - termux/termux-app - https://github.com/termux/termux-app - Termux - a terminal emulator application for Android OS extendible by variety of packages. - https://github.com/termux/termux-app + Creators-of-Create/Create + https://github.com/Creators-of-Create/Create + [Forge Mod] Building Tools and Aesthetic Technology + https://github.com/Creators-of-Create/Create Java #b07219 - 36,709 - 3,855 - 28 + 2,954 + 911 + 2 - https://avatars.githubusercontent.com/u/31106828?s=40&v=4 - agnostic-apollo - https://github.com/agnostic-apollo + https://avatars.githubusercontent.com/u/31564874?s=40&v=4 + simibubi + https://github.com/simibubi - https://avatars.githubusercontent.com/u/277251?s=40&v=4 - fornwall - https://github.com/fornwall + https://avatars.githubusercontent.com/u/21962423?s=40&v=4 + Jozufozu + https://github.com/Jozufozu - https://avatars.githubusercontent.com/u/18900601?s=40&v=4 - Grimler91 - https://github.com/Grimler91 + https://avatars.githubusercontent.com/u/44146161?s=40&v=4 + PepperCode1 + https://github.com/PepperCode1 - https://avatars.githubusercontent.com/u/3536343?s=40&v=4 - maoabc - https://github.com/maoabc + https://avatars.githubusercontent.com/u/49513131?s=40&v=4 + LordGrimmauld + https://github.com/LordGrimmauld - https://avatars.githubusercontent.com/u/601966?s=40&v=4 - trygveaa - https://github.com/trygveaa + https://avatars.githubusercontent.com/u/25709445?s=40&v=4 + zelophed + https://github.com/zelophed - PaperMC/Paper - https://github.com/PaperMC/Paper - The most widely used, high performance Minecraft server that aims to fix gameplay and mechanics inconsistencies - https://github.com/PaperMC/Paper + TeamNewPipe/NewPipe + https://github.com/TeamNewPipe/NewPipe + A libre lightweight streaming front-end for Android. + https://github.com/TeamNewPipe/NewPipe Java #b07219 - 10,035 - 2,333 - 3 + 31,726 + 3,085 + 18 - https://avatars.githubusercontent.com/u/58008?s=40&v=4 - aikar - https://github.com/aikar + https://avatars.githubusercontent.com/u/17365767?s=40&v=4 + TobiGr + https://github.com/TobiGr - https://avatars.githubusercontent.com/u/15055071?s=40&v=4 - Machine-Maker - https://github.com/Machine-Maker + https://avatars.githubusercontent.com/u/36421898?s=40&v=4 + Stypox + https://github.com/Stypox - https://avatars.githubusercontent.com/u/1007849?s=40&v=4 - md-5 - https://github.com/md-5 + https://avatars.githubusercontent.com/u/1891273?s=40&v=4 + theScrabi + https://github.com/theScrabi - https://avatars.githubusercontent.com/u/1254957?s=40&v=4 - zachbr - https://github.com/zachbr + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate - https://avatars.githubusercontent.com/u/1228900?s=40&v=4 - electronicboy - https://github.com/electronicboy + https://avatars.githubusercontent.com/u/40789489?s=40&v=4 + litetex + https://github.com/litetex - yuliskov/SmartTube - https://github.com/yuliskov/SmartTube - SmartTube - an advanced player for set-top boxes and tvs running Android OS - https://github.com/yuliskov/SmartTube + krahets/hello-algo + https://github.com/krahets/hello-algo + 《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing + https://github.com/krahets/hello-algo Java #b07219 - 20,027 - 1,078 - 22 + 100,154 + 12,589 + 333 - https://avatars.githubusercontent.com/u/5897464?s=40&v=4 - yuliskov - https://github.com/yuliskov + https://avatars.githubusercontent.com/u/26993056?s=40&v=4 + krahets + https://github.com/krahets - https://avatars.githubusercontent.com/u/74490408?s=40&v=4 - mpama2016 - https://github.com/mpama2016 + https://avatars.githubusercontent.com/u/24556310?s=40&v=4 + justin-tse + https://github.com/justin-tse - https://avatars.githubusercontent.com/u/32204990?s=40&v=4 - Resshi - https://github.com/Resshi + https://avatars.githubusercontent.com/u/99076655?s=40&v=4 + coderonion + https://github.com/coderonion - https://avatars.githubusercontent.com/u/59088697?s=40&v=4 - foreveryoungforty - https://github.com/foreveryoungforty + https://avatars.githubusercontent.com/u/3739017?s=40&v=4 + nuomi1 + https://github.com/nuomi1 - https://avatars.githubusercontent.com/u/41143572?s=40&v=4 - mapi68 - https://github.com/mapi68 + https://avatars.githubusercontent.com/u/39959756?s=40&v=4 + Gonglja + https://github.com/Gonglja - Anuken/Mindustry - https://github.com/Anuken/Mindustry - The automation tower defense RTS - https://github.com/Anuken/Mindustry + MeteorDevelopment/meteor-client + https://github.com/MeteorDevelopment/meteor-client + Based Minecraft utility mod. + https://github.com/MeteorDevelopment/meteor-client Java #b07219 - 22,810 - 2,992 - 8 + 2,266 + 843 + 5 - https://avatars.githubusercontent.com/u/10100000?s=40&v=4 - Anuken - https://github.com/Anuken + https://avatars.githubusercontent.com/u/25082624?s=40&v=4 + MineGame159 + https://github.com/MineGame159 - https://avatars.githubusercontent.com/u/3179271?s=40&v=4 - Quezler - https://github.com/Quezler + https://avatars.githubusercontent.com/u/17166139?s=40&v=4 + arlomcwalter + https://github.com/arlomcwalter - https://avatars.githubusercontent.com/u/2945013?s=40&v=4 - Epowerj - https://github.com/Epowerj + https://avatars.githubusercontent.com/u/35968667?s=40&v=4 + squidoodly + https://github.com/squidoodly - https://avatars.githubusercontent.com/u/54301439?s=40&v=4 - MEEPofFaith - https://github.com/MEEPofFaith + https://avatars.githubusercontent.com/u/74723656?s=40&v=4 + Wide-Cat + https://github.com/Wide-Cat - https://avatars.githubusercontent.com/u/31485341?s=40&v=4 - Prosta4okua - https://github.com/Prosta4okua + https://avatars.githubusercontent.com/u/18114966?s=40&v=4 + C10udburst + https://github.com/C10udburst - runelite/runelite - https://github.com/runelite/runelite - Open source Old School RuneScape client - https://github.com/runelite/runelite + cabaletta/baritone + https://github.com/cabaletta/baritone + google maps for block game + https://github.com/cabaletta/baritone Java #b07219 - 4,826 - 5,249 - 1 + 7,286 + 1,458 + 4 - https://avatars.githubusercontent.com/u/309739?s=40&v=4 - Adam- - https://github.com/Adam- + https://avatars.githubusercontent.com/u/3837873?s=40&v=4 + leijurv + https://github.com/leijurv - https://avatars.githubusercontent.com/u/5115805?s=40&v=4 - deathbeam - https://github.com/deathbeam + https://avatars.githubusercontent.com/u/24485393?s=40&v=4 + ZeroMemes + https://github.com/ZeroMemes - https://avatars.githubusercontent.com/u/12366911?s=40&v=4 - abextm - https://github.com/abextm + https://avatars.githubusercontent.com/u/68165024?s=40&v=4 + ZacSharp + https://github.com/ZacSharp - https://avatars.githubusercontent.com/u/2199511?s=40&v=4 - Nightfirecat - https://github.com/Nightfirecat + https://avatars.githubusercontent.com/u/6234704?s=40&v=4 + wagyourtail + https://github.com/wagyourtail - https://avatars.githubusercontent.com/u/2979691?s=40&v=4 - Enriath - https://github.com/Enriath + https://avatars.githubusercontent.com/u/12820770?s=40&v=4 + babbaj + https://github.com/babbaj - DrKLO/Telegram - https://github.com/DrKLO/Telegram - Telegram for Android source - https://github.com/DrKLO/Telegram + prestodb/presto + https://github.com/prestodb/presto + The official home of the Presto distributed SQL query engine for big data + https://github.com/prestodb/presto Java #b07219 - 25,299 - 8,136 - 7 + 16,074 + 5,384 + 6 - https://avatars.githubusercontent.com/u/7038595?s=40&v=4 - xaxtix - https://github.com/xaxtix + https://avatars.githubusercontent.com/u/9230?s=40&v=4 + electrum + https://github.com/electrum - https://avatars.githubusercontent.com/u/69369?s=40&v=4 - DrKLO - https://github.com/DrKLO + https://avatars.githubusercontent.com/u/58298?s=40&v=4 + dain + https://github.com/dain - https://avatars.githubusercontent.com/u/10834227?s=40&v=4 - dkaraush - https://github.com/dkaraush + https://avatars.githubusercontent.com/u/14387?s=40&v=4 + martint + https://github.com/martint - https://avatars.githubusercontent.com/u/3435533?s=40&v=4 - jsonchi - https://github.com/jsonchi + https://avatars.githubusercontent.com/u/842688?s=40&v=4 + cberner + https://github.com/cberner - https://avatars.githubusercontent.com/u/105185?s=40&v=4 - Bubu - https://github.com/Bubu + https://avatars.githubusercontent.com/u/144328?s=40&v=4 + findepi + https://github.com/findepi - jagrosh/MusicBot - https://github.com/jagrosh/MusicBot - 🎶 A Discord music bot that's easy to set up and run yourself! - https://github.com/jagrosh/MusicBot + logisim-evolution/logisim-evolution + https://github.com/logisim-evolution/logisim-evolution + Digital logic design tool and simulator + https://github.com/logisim-evolution/logisim-evolution Java #b07219 - 5,386 - 2,578 - 0 + 4,973 + 643 + 2 - https://avatars.githubusercontent.com/u/12754382?s=40&v=4 - jagrosh - https://github.com/jagrosh + https://avatars.githubusercontent.com/u/13875618?s=40&v=4 + BFH-ktt1 + https://github.com/BFH-ktt1 - https://avatars.githubusercontent.com/u/39029839?s=40&v=4 - MichailiK - https://github.com/MichailiK + https://avatars.githubusercontent.com/u/8041294?s=40&v=4 + MarcinOrlowski + https://github.com/MarcinOrlowski - https://avatars.githubusercontent.com/u/9768134?s=40&v=4 - Dougley - https://github.com/Dougley + https://avatars.githubusercontent.com/u/42096681?s=40&v=4 + mbaillif + https://github.com/mbaillif - https://avatars.githubusercontent.com/u/64579257?s=40&v=4 - lorivaro - https://github.com/lorivaro + https://avatars.githubusercontent.com/u/62245695?s=40&v=4 + R3dst0ne + https://github.com/R3dst0ne - https://avatars.githubusercontent.com/u/2377379?s=40&v=4 - Telluur - https://github.com/Telluur + https://avatars.githubusercontent.com/u/1174243?s=40&v=4 + maehne + https://github.com/maehne - jenkinsci/jenkins - https://github.com/jenkinsci/jenkins - Jenkins automation server - https://github.com/jenkinsci/jenkins + PojavLauncherTeam/PojavLauncher + https://github.com/PojavLauncherTeam/PojavLauncher + A Minecraft: Java Edition Launcher for Android and iOS based on Boardwalk. This repository contains source code for Android platform. + https://github.com/PojavLauncherTeam/PojavLauncher Java #b07219 - 23,286 - 8,803 - 1 + 6,863 + 1,292 + 7 - https://avatars.githubusercontent.com/u/50003?s=40&v=4 - kohsuke - https://github.com/kohsuke + https://avatars.githubusercontent.com/u/40482367?s=40&v=4 + khanhduytran0 + https://github.com/khanhduytran0 - https://avatars.githubusercontent.com/u/154109?s=40&v=4 - jglick - https://github.com/jglick + https://avatars.githubusercontent.com/u/24864674?s=40&v=4 + Mathias-Boulay + https://github.com/Mathias-Boulay - https://avatars.githubusercontent.com/u/1831569?s=40&v=4 - daniel-beck - https://github.com/daniel-beck + https://avatars.githubusercontent.com/u/45949002?s=40&v=4 + artdeell + https://github.com/artdeell - https://avatars.githubusercontent.com/u/3000480?s=40&v=4 - oleg-nenashev - https://github.com/oleg-nenashev + https://avatars.githubusercontent.com/u/25864932?s=40&v=4 + WesleyVanNeck + https://github.com/WesleyVanNeck - bepass-org/oblivion - https://github.com/bepass-org/oblivion - Unofficial warp client for android - https://github.com/bepass-org/oblivion + PaperMC/Paper + https://github.com/PaperMC/Paper + The most widely used, high performance Minecraft server that aims to fix gameplay and mechanics inconsistencies + https://github.com/PaperMC/Paper Java #b07219 - 3,876 - 519 - 5 + 10,038 + 2,335 + 3 - https://avatars.githubusercontent.com/u/68733224?s=40&v=4 - DanielcoderX - https://github.com/DanielcoderX + https://avatars.githubusercontent.com/u/58008?s=40&v=4 + aikar + https://github.com/aikar - https://avatars.githubusercontent.com/u/6353129?s=40&v=4 - markpash - https://github.com/markpash + https://avatars.githubusercontent.com/u/15055071?s=40&v=4 + Machine-Maker + https://github.com/Machine-Maker - https://avatars.githubusercontent.com/u/75689502?s=40&v=4 - ameerhossein - https://github.com/ameerhossein + https://avatars.githubusercontent.com/u/1007849?s=40&v=4 + md-5 + https://github.com/md-5 - https://avatars.githubusercontent.com/u/43346478?s=40&v=4 - uoosef - https://github.com/uoosef + https://avatars.githubusercontent.com/u/1254957?s=40&v=4 + zachbr + https://github.com/zachbr + + + https://avatars.githubusercontent.com/u/1228900?s=40&v=4 + electronicboy + https://github.com/electronicboy + + + + + JabRef/jabref + https://github.com/JabRef/jabref + Graphical Java application for managing BibTeX and biblatex (.bib) databases + https://github.com/JabRef/jabref + Java + #b07219 + 3,643 + 2,593 + 4 + + + https://avatars.githubusercontent.com/u/1366654?s=40&v=4 + koppor + https://github.com/koppor + + + https://avatars.githubusercontent.com/u/320228?s=40&v=4 + Siedlerchr + https://github.com/Siedlerchr + + + https://avatars.githubusercontent.com/u/5037600?s=40&v=4 + tobiasdiez + https://github.com/tobiasdiez + + + https://avatars.githubusercontent.com/u/2141507?s=40&v=4 + stefan-kolb + https://github.com/stefan-kolb @@ -419,7 +457,7 @@ https://github.com/elastic/elasticsearch Java #b07219 - 1,375 + 1,384 24,867 14 @@ -451,78 +489,40 @@ - amitshekhariitbhu/android-interview-questions - https://github.com/amitshekhariitbhu/android-interview-questions - Your Cheat Sheet For Android Interview - Android Interview Questions and Answers - https://github.com/amitshekhariitbhu/android-interview-questions + polymorphicshade/Tubular + https://github.com/polymorphicshade/Tubular + A fork of NewPipe that implements SponsorBlock and ReturnYouTubeDislike. + https://github.com/polymorphicshade/Tubular Java #b07219 - 11,460 - 2,263 - 5 + 1,684 + 43 + 4 - https://avatars.githubusercontent.com/u/9877145?s=40&v=4 - amitshekhariitbhu - https://github.com/amitshekhariitbhu + https://avatars.githubusercontent.com/u/36421898?s=40&v=4 + Stypox + https://github.com/Stypox - https://avatars.githubusercontent.com/u/18574763?s=40&v=4 - Ifhay - https://github.com/Ifhay + https://avatars.githubusercontent.com/u/32504507?s=40&v=4 + polymorphicshade + https://github.com/polymorphicshade - https://avatars.githubusercontent.com/u/6580298?s=40&v=4 - JosiasSena - https://github.com/JosiasSena + https://avatars.githubusercontent.com/u/17365767?s=40&v=4 + TobiGr + https://github.com/TobiGr - https://avatars.githubusercontent.com/u/4899907?s=40&v=4 - taruncse - https://github.com/taruncse + https://avatars.githubusercontent.com/u/32899400?s=40&v=4 + GGAutomaton + https://github.com/GGAutomaton - https://avatars.githubusercontent.com/u/40509714?s=40&v=4 - jayesh83 - https://github.com/jayesh83 - - - - - junit-team/junit5 - https://github.com/junit-team/junit5 - ✅ The 5th major version of the programmer-friendly testing framework for Java and the JVM - https://github.com/junit-team/junit5 - Java - #b07219 - 6,435 - 1,498 - 1 - - - https://avatars.githubusercontent.com/u/214207?s=40&v=4 - marcphilipp - https://github.com/marcphilipp - - - https://avatars.githubusercontent.com/u/16123556?s=40&v=4 - junit-builds - https://github.com/junit-builds - - - https://avatars.githubusercontent.com/u/104798?s=40&v=4 - sbrannen - https://github.com/sbrannen - - - https://avatars.githubusercontent.com/u/2319838?s=40&v=4 - sormuras - https://github.com/sormuras - - - https://avatars.githubusercontent.com/u/103937?s=40&v=4 - jlink - https://github.com/jlink + https://avatars.githubusercontent.com/u/110076924?s=40&v=4 + vincetzr + https://github.com/vincetzr @@ -533,8 +533,8 @@ https://github.com/kunal-kushwaha/DSA-Bootcamp-Java Java #b07219 - 17,531 - 11,219 + 17,532 + 11,222 10 @@ -565,220 +565,253 @@ - MeteorDevelopment/meteor-client - https://github.com/MeteorDevelopment/meteor-client - Based Minecraft utility mod. - https://github.com/MeteorDevelopment/meteor-client + yuliskov/SmartTube + https://github.com/yuliskov/SmartTube + SmartTube - an advanced player for set-top boxes and tvs running Android OS + https://github.com/yuliskov/SmartTube Java #b07219 - 2,266 - 843 - 5 + 20,033 + 1,078 + 22 - https://avatars.githubusercontent.com/u/25082624?s=40&v=4 - MineGame159 - https://github.com/MineGame159 + https://avatars.githubusercontent.com/u/5897464?s=40&v=4 + yuliskov + https://github.com/yuliskov - https://avatars.githubusercontent.com/u/17166139?s=40&v=4 - arlomcwalter - https://github.com/arlomcwalter + https://avatars.githubusercontent.com/u/74490408?s=40&v=4 + mpama2016 + https://github.com/mpama2016 - https://avatars.githubusercontent.com/u/35968667?s=40&v=4 - squidoodly - https://github.com/squidoodly + https://avatars.githubusercontent.com/u/32204990?s=40&v=4 + Resshi + https://github.com/Resshi - https://avatars.githubusercontent.com/u/74723656?s=40&v=4 - Wide-Cat - https://github.com/Wide-Cat + https://avatars.githubusercontent.com/u/59088697?s=40&v=4 + foreveryoungforty + https://github.com/foreveryoungforty - https://avatars.githubusercontent.com/u/18114966?s=40&v=4 - C10udburst - https://github.com/C10udburst + https://avatars.githubusercontent.com/u/41143572?s=40&v=4 + mapi68 + https://github.com/mapi68 - PojavLauncherTeam/PojavLauncher - https://github.com/PojavLauncherTeam/PojavLauncher - A Minecraft: Java Edition Launcher for Android and iOS based on Boardwalk. This repository contains source code for Android platform. - https://github.com/PojavLauncherTeam/PojavLauncher + FabricMC/fabric + https://github.com/FabricMC/fabric + Essential hooks for modding with Fabric. + https://github.com/FabricMC/fabric Java #b07219 - 6,862 - 1,292 - 7 + 2,379 + 419 + 0 - https://avatars.githubusercontent.com/u/40482367?s=40&v=4 - khanhduytran0 - https://github.com/khanhduytran0 + https://avatars.githubusercontent.com/u/4324090?s=40&v=4 + modmuss50 + https://github.com/modmuss50 - https://avatars.githubusercontent.com/u/24864674?s=40&v=4 - Mathias-Boulay - https://github.com/Mathias-Boulay + https://avatars.githubusercontent.com/u/113514?s=40&v=4 + asiekierka + https://github.com/asiekierka - https://avatars.githubusercontent.com/u/45949002?s=40&v=4 - artdeell - https://github.com/artdeell + https://avatars.githubusercontent.com/u/13494793?s=40&v=4 + Technici4n + https://github.com/Technici4n - https://avatars.githubusercontent.com/u/25864932?s=40&v=4 - WesleyVanNeck - https://github.com/WesleyVanNeck + https://avatars.githubusercontent.com/u/33279053?s=40&v=4 + apple502j + https://github.com/apple502j + + + https://avatars.githubusercontent.com/u/6596629?s=40&v=4 + Juuxel + https://github.com/Juuxel - apache/hertzbeat - https://github.com/apache/hertzbeat - Apache HertzBeat(incubating) is a real-time monitoring system with agentless, performance cluster, prometheus-compatible, custom monitoring and status page building capabilities. - https://github.com/apache/hertzbeat + Stirling-Tools/Stirling-PDF + https://github.com/Stirling-Tools/Stirling-PDF + #1 Locally hosted web application that allows you to perform various operations on PDF files + https://github.com/Stirling-Tools/Stirling-PDF Java #b07219 - 5,753 - 1,000 - 7 + 46,608 + 3,804 + 58 - https://avatars.githubusercontent.com/u/24788200?s=40&v=4 - tomsun28 - https://github.com/tomsun28 - - - https://avatars.githubusercontent.com/u/30048352?s=40&v=4 - zqr10159 - https://github.com/zqr10159 + https://avatars.githubusercontent.com/u/77850077?s=40&v=4 + Frooodle + https://github.com/Frooodle - https://avatars.githubusercontent.com/u/77964041?s=40&v=4 - yuluo-yx - https://github.com/yuluo-yx + https://avatars.githubusercontent.com/u/4592558?s=40&v=4 + Ludy87 + https://github.com/Ludy87 - https://avatars.githubusercontent.com/u/25810623?s=40&v=4 - Aias00 - https://github.com/Aias00 + https://avatars.githubusercontent.com/u/71648843?s=40&v=4 + sbplat + https://github.com/sbplat - MuntashirAkon/AppManager - https://github.com/MuntashirAkon/AppManager - A full-featured package manager and viewer for Android - https://github.com/MuntashirAkon/AppManager + apache/incubator-seata + https://github.com/apache/incubator-seata + 🔥 Seata is an easy-to-use, high-performance, open source distributed transaction solution. + https://github.com/apache/incubator-seata Java #b07219 - 5,022 - 282 - 5 + 25,367 + 8,789 + 3 - https://avatars.githubusercontent.com/u/8176128?s=40&v=4 - MuntashirAkon - https://github.com/MuntashirAkon + https://avatars.githubusercontent.com/u/8758457?s=40&v=4 + slievrly + https://github.com/slievrly - https://avatars.githubusercontent.com/u/24703825?s=40&v=4 - RickyM7 - https://github.com/RickyM7 + https://avatars.githubusercontent.com/u/19943636?s=40&v=4 + funky-eyes + https://github.com/funky-eyes - https://avatars.githubusercontent.com/u/13156001?s=40&v=4 - rex07 - https://github.com/rex07 + https://avatars.githubusercontent.com/u/1527893?s=40&v=4 + wangliang181230 + https://github.com/wangliang181230 - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate + https://avatars.githubusercontent.com/u/24457941?s=40&v=4 + xingfudeshi + https://github.com/xingfudeshi - https://avatars.githubusercontent.com/u/41156994?s=40&v=4 - gnuhead-chieb - https://github.com/gnuhead-chieb + https://avatars.githubusercontent.com/u/4516752?s=40&v=4 + l81893521 + https://github.com/l81893521 - FCL-Team/FoldCraftLauncher - https://github.com/FCL-Team/FoldCraftLauncher - Fold Craft Launcher, an Android Minecraft : Java Edition launcher. - https://github.com/FCL-Team/FoldCraftLauncher + Telegram-FOSS-Team/Telegram-FOSS + https://github.com/Telegram-FOSS-Team/Telegram-FOSS + Unofficial, FOSS-friendly fork of the original Telegram client for Android + https://github.com/Telegram-FOSS-Team/Telegram-FOSS Java #b07219 - 1,626 - 158 - 3 + 2,949 + 376 + 4 - https://avatars.githubusercontent.com/u/74163103?s=40&v=4 - Tungstend - https://github.com/Tungstend + https://avatars.githubusercontent.com/u/7038595?s=40&v=4 + xaxtix + https://github.com/xaxtix - https://avatars.githubusercontent.com/u/19233890?s=40&v=4 - ShirosakiMio - https://github.com/ShirosakiMio + https://avatars.githubusercontent.com/u/69369?s=40&v=4 + DrKLO + https://github.com/DrKLO - https://avatars.githubusercontent.com/u/87926662?s=40&v=4 - Vera-Firefly - https://github.com/Vera-Firefly + https://avatars.githubusercontent.com/u/56506714?s=40&v=4 + nekohasekai + https://github.com/nekohasekai - https://avatars.githubusercontent.com/u/25864932?s=40&v=4 - WesleyVanNeck - https://github.com/WesleyVanNeck + https://avatars.githubusercontent.com/u/2287483?s=40&v=4 + thermatk + https://github.com/thermatk - https://avatars.githubusercontent.com/u/64117916?s=40&v=4 - zkitefly - https://github.com/zkitefly + https://avatars.githubusercontent.com/u/7930239?s=40&v=4 + kdrag0n + https://github.com/kdrag0n - Querz/mcaselector - https://github.com/Querz/mcaselector - A tool to select chunks from Minecraft worlds for deletion or export. - https://github.com/Querz/mcaselector + bepass-org/oblivion + https://github.com/bepass-org/oblivion + Unofficial warp client for android + https://github.com/bepass-org/oblivion Java #b07219 - 3,278 + 3,876 + 519 + 5 + + + https://avatars.githubusercontent.com/u/68733224?s=40&v=4 + DanielcoderX + https://github.com/DanielcoderX + + + https://avatars.githubusercontent.com/u/6353129?s=40&v=4 + markpash + https://github.com/markpash + + + https://avatars.githubusercontent.com/u/75689502?s=40&v=4 + ameerhossein + https://github.com/ameerhossein + + + https://avatars.githubusercontent.com/u/43346478?s=40&v=4 + uoosef + https://github.com/uoosef + + + + + GregTechCEu/GregTech-Modern + https://github.com/GregTechCEu/GregTech-Modern + GregTech CE Unofficial for modern versions + https://github.com/GregTechCEu/GregTech-Modern + Java + #b07219 + 294 177 - 3 + 1 - https://avatars.githubusercontent.com/u/8278330?s=40&v=4 - Querz - https://github.com/Querz + https://avatars.githubusercontent.com/u/68943070?s=40&v=4 + screret + https://github.com/screret - https://avatars.githubusercontent.com/u/52843537?s=40&v=4 - Insprill - https://github.com/Insprill + https://avatars.githubusercontent.com/u/18493855?s=40&v=4 + Yefancy + https://github.com/Yefancy - https://avatars.githubusercontent.com/u/6459309?s=40&v=4 - Artoria2e5 - https://github.com/Artoria2e5 + https://avatars.githubusercontent.com/u/39562198?s=40&v=4 + YoungOnionMC + https://github.com/YoungOnionMC - https://avatars.githubusercontent.com/u/30827929?s=40&v=4 - 5idereal - https://github.com/5idereal + https://avatars.githubusercontent.com/u/139889766?s=40&v=4 + mikerooni + https://github.com/mikerooni - https://avatars.githubusercontent.com/u/38329398?s=40&v=4 - TechnicProblem - https://github.com/TechnicProblem + https://avatars.githubusercontent.com/u/135918757?s=40&v=4 + krossgg + https://github.com/krossgg diff --git a/data/daily/javascript+erb.json b/data/daily/javascript+erb.json index e08c220f8a10..bce4369a274a 100644 --- a/data/daily/javascript+erb.json +++ b/data/daily/javascript+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Javascript+erb Languages Daily Trending", "description": "Daily Trending of Javascript+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/javascript+erb.xml b/data/daily/javascript+erb.xml index 644857deb624..80c2ffa0c74e 100644 --- a/data/daily/javascript+erb.xml +++ b/data/daily/javascript+erb.xml @@ -3,6 +3,6 @@ GitHub Javascript+erb Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Javascript+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/javascript.json b/data/daily/javascript.json index f2ba83c3ec58..1936edbe05d2 100644 --- a/data/daily/javascript.json +++ b/data/daily/javascript.json @@ -2,330 +2,392 @@ "title": "GitHub Javascript Languages Daily Trending", "description": "Daily Trending of Javascript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "gorhill/uBlock", - "url": "https://github.com/gorhill/uBlock", - "description": "uBlock Origin - An efficient blocker for Chromium and Firefox. Fast and lean.", + "title": "FortAwesome/Font-Awesome", + "url": "https://github.com/FortAwesome/Font-Awesome", + "description": "The iconic SVG, font, and CSS toolkit", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "47,602", - "forks": "3,159", - "addStars": "18", + "stars": "74,111", + "forks": "12,202", + "addStars": "115", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/585534?s=40&v=4", - "name": "gorhill", - "url": "https://github.com/gorhill" + "avatar": "https://avatars.githubusercontent.com/u/132895?s=40&v=4", + "name": "robmadole", + "url": "https://github.com/robmadole" }, { - "avatar": "https://avatars.githubusercontent.com/u/5853030?s=40&v=4", - "name": "Deathamns", - "url": "https://github.com/Deathamns" + "avatar": "https://avatars.githubusercontent.com/u/556268?s=40&v=4", + "name": "tagliala", + "url": "https://github.com/tagliala" }, { - "avatar": "https://avatars.githubusercontent.com/u/2351359?s=40&v=4", - "name": "chrisaljoudi", - "url": "https://github.com/chrisaljoudi" + "avatar": "https://avatars.githubusercontent.com/u/19155546?s=40&v=4", + "name": "deathnfudge", + "url": "https://github.com/deathnfudge" }, { - "avatar": "https://avatars.githubusercontent.com/u/617358?s=40&v=4", - "name": "mjethani", - "url": "https://github.com/mjethani" + "avatar": "https://avatars.githubusercontent.com/u/529604?s=40&v=4", + "name": "sensibleworld", + "url": "https://github.com/sensibleworld" }, { - "avatar": "https://avatars.githubusercontent.com/u/531706?s=40&v=4", - "name": "AlexVallat", - "url": "https://github.com/AlexVallat" + "avatar": "https://avatars.githubusercontent.com/u/78311368?s=40&v=4", + "name": "Aryan2727-debug", + "url": "https://github.com/Aryan2727-debug" } ] }, { - "title": "7Sageer/sublink-worker", - "url": "https://github.com/7Sageer/sublink-worker", - "description": "无需服务器,一键部署,快速使用自建节点分享URL进行订阅转换,提供灵活的自定义选项,支持SingBox/Clash/V2Ray/Xray", + "title": "SillyTavern/SillyTavern", + "url": "https://github.com/SillyTavern/SillyTavern", + "description": "LLM Frontend for Power Users.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "847", - "forks": "1,389", - "addStars": "13", + "stars": "8,445", + "forks": "2,447", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/125936732?s=40&v=4", - "name": "7Sageer", - "url": "https://github.com/7Sageer" + "avatar": "https://avatars.githubusercontent.com/u/18619528?s=40&v=4", + "name": "Cohee1207", + "url": "https://github.com/Cohee1207" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9962104?s=40&v=4", + "name": "Wolfsblvt", + "url": "https://github.com/Wolfsblvt" }, { - "avatar": "https://avatars.githubusercontent.com/u/27171256?s=40&v=4", - "name": "miyunda", - "url": "https://github.com/miyunda" + "avatar": "https://avatars.githubusercontent.com/u/124905043?s=40&v=4", + "name": "RossAscends", + "url": "https://github.com/RossAscends" }, { - "avatar": "https://avatars.githubusercontent.com/u/160765054?s=40&v=4", - "name": "0x10240", - "url": "https://github.com/0x10240" + "avatar": "https://avatars.githubusercontent.com/u/12953058?s=40&v=4", + "name": "artisticMink", + "url": "https://github.com/artisticMink" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7149120?s=40&v=4", + "name": "LenAnderson", + "url": "https://github.com/LenAnderson" } ] }, { - "title": "zizifn/edgetunnel", - "url": "https://github.com/zizifn/edgetunnel", - "description": "Running V2ray inside edge/serverless runtime", + "title": "sveltejs/svelte", + "url": "https://github.com/sveltejs/svelte", + "description": "web development for the rest of us", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "7,183", - "forks": "25,522", - "addStars": "15", + "stars": "80,152", + "forks": "4,265", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1803942?s=40&v=4", - "name": "zizifn", - "url": "https://github.com/zizifn" + "avatar": "https://avatars.githubusercontent.com/u/1162160?s=40&v=4", + "name": "Rich-Harris", + "url": "https://github.com/Rich-Harris" }, { - "avatar": "https://avatars.githubusercontent.com/u/75520940?s=40&v=4", - "name": "Emo-Damage", - "url": "https://github.com/Emo-Damage" + "avatar": "https://avatars.githubusercontent.com/u/16696352?s=40&v=4", + "name": "Conduitry", + "url": "https://github.com/Conduitry" }, { - "avatar": "https://avatars.githubusercontent.com/u/78028446?s=40&v=4", - "name": "github-neo", - "url": "https://github.com/github-neo" + "avatar": "https://avatars.githubusercontent.com/u/5968653?s=40&v=4", + "name": "dummdidumm", + "url": "https://github.com/dummdidumm" }, { - "avatar": "https://avatars.githubusercontent.com/u/124616173?s=40&v=4", - "name": "etfans", - "url": "https://github.com/etfans" + "avatar": "https://avatars.githubusercontent.com/u/1519870?s=40&v=4", + "name": "trueadm", + "url": "https://github.com/trueadm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2338632?s=40&v=4", + "name": "tanhauhau", + "url": "https://github.com/tanhauhau" } ] }, { - "title": "koodo-reader/koodo-reader", - "url": "https://github.com/koodo-reader/koodo-reader", - "description": "A modern ebook manager and reader with sync and backup capacities for Windows, macOS, Linux and Web", + "title": "kolbytn/mindcraft", + "url": "https://github.com/kolbytn/mindcraft", + "description": "", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "19,237", - "forks": "1,485", - "addStars": "55", + "stars": "2,302", + "forks": "281", + "addStars": "17", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13820674?s=40&v=4", - "name": "troyeguo", - "url": "https://github.com/troyeguo" + "avatar": "https://avatars.githubusercontent.com/u/9001247?s=40&v=4", + "name": "MaxRobinsonTheGreat", + "url": "https://github.com/MaxRobinsonTheGreat" }, { - "avatar": "https://avatars.githubusercontent.com/u/13329768?s=40&v=4", - "name": "hacketiwack", - "url": "https://github.com/hacketiwack" + "avatar": "https://avatars.githubusercontent.com/u/25499651?s=40&v=4", + "name": "kolbytn", + "url": "https://github.com/kolbytn" }, { - "avatar": "https://avatars.githubusercontent.com/u/45925550?s=40&v=4", - "name": "marciniuk", - "url": "https://github.com/marciniuk" + "avatar": "https://avatars.githubusercontent.com/u/157772455?s=40&v=4", + "name": "FateUnix29", + "url": "https://github.com/FateUnix29" }, { - "avatar": "https://avatars.githubusercontent.com/u/64630607?s=40&v=4", - "name": "molajusi", - "url": "https://github.com/molajusi" + "avatar": "https://avatars.githubusercontent.com/u/73424304?s=40&v=4", + "name": "Ninot1Quyi", + "url": "https://github.com/Ninot1Quyi" }, { - "avatar": "https://avatars.githubusercontent.com/u/7201687?s=40&v=4", - "name": "vanja-san", - "url": "https://github.com/vanja-san" + "avatar": "https://avatars.githubusercontent.com/u/98205608?s=40&v=4", + "name": "Lawtro37", + "url": "https://github.com/Lawtro37" } ] }, { - "title": "FortAwesome/Font-Awesome", - "url": "https://github.com/FortAwesome/Font-Awesome", - "description": "The iconic SVG, font, and CSS toolkit", + "title": "alexlenail/NN-SVG", + "url": "https://github.com/alexlenail/NN-SVG", + "description": "Publication-ready NN-architecture schematics.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "74,090", - "forks": "12,202", - "addStars": "115", + "stars": "5,175", + "forks": "688", + "addStars": "50", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/132895?s=40&v=4", - "name": "robmadole", - "url": "https://github.com/robmadole" + "avatar": "https://avatars.githubusercontent.com/u/2761597?s=40&v=4", + "name": "alexlenail", + "url": "https://github.com/alexlenail" }, { - "avatar": "https://avatars.githubusercontent.com/u/556268?s=40&v=4", - "name": "tagliala", - "url": "https://github.com/tagliala" + "avatar": "https://avatars.githubusercontent.com/u/59724?s=40&v=4", + "name": "sof", + "url": "https://github.com/sof" }, { - "avatar": "https://avatars.githubusercontent.com/u/19155546?s=40&v=4", - "name": "deathnfudge", - "url": "https://github.com/deathnfudge" + "avatar": "https://avatars.githubusercontent.com/u/441968?s=40&v=4", + "name": "jpauwels", + "url": "https://github.com/jpauwels" }, { - "avatar": "https://avatars.githubusercontent.com/u/529604?s=40&v=4", - "name": "sensibleworld", - "url": "https://github.com/sensibleworld" + "avatar": "https://avatars.githubusercontent.com/u/12752145?s=40&v=4", + "name": "Benjamin-Loison", + "url": "https://github.com/Benjamin-Loison" }, { - "avatar": "https://avatars.githubusercontent.com/u/78311368?s=40&v=4", - "name": "Aryan2727-debug", - "url": "https://github.com/Aryan2727-debug" + "avatar": "https://avatars.githubusercontent.com/u/15975303?s=40&v=4", + "name": "ryanalexmartin", + "url": "https://github.com/ryanalexmartin" } ] }, { - "title": "meshery/meshery", - "url": "https://github.com/meshery/meshery", - "description": "Meshery, the cloud native manager", + "title": "nodejs/node", + "url": "https://github.com/nodejs/node", + "description": "Node.js JavaScript runtime ✨🐢🚀✨", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "6,266", - "forks": "1,902", - "addStars": "12", + "stars": "107,950", + "forks": "29,775", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7570704?s=40&v=4", - "name": "leecalcote", - "url": "https://github.com/leecalcote" + "avatar": "https://avatars.githubusercontent.com/u/718899?s=40&v=4", + "name": "Trott", + "url": "https://github.com/Trott" }, { - "avatar": "https://avatars.githubusercontent.com/u/79860504?s=40&v=4", - "name": "MUzairS15", - "url": "https://github.com/MUzairS15" + "avatar": "https://avatars.githubusercontent.com/u/80?s=40&v=4", + "name": "ry", + "url": "https://github.com/ry" }, { - "avatar": "https://avatars.githubusercontent.com/u/73700530?s=40&v=4", - "name": "theBeginner86", - "url": "https://github.com/theBeginner86" + "avatar": "https://avatars.githubusercontent.com/u/275871?s=40&v=4", + "name": "bnoordhuis", + "url": "https://github.com/bnoordhuis" }, { - "avatar": "https://avatars.githubusercontent.com/u/43276904?s=40&v=4", - "name": "Revolyssup", - "url": "https://github.com/Revolyssup" + "avatar": "https://avatars.githubusercontent.com/u/9287?s=40&v=4", + "name": "isaacs", + "url": "https://github.com/isaacs" }, { - "avatar": "https://avatars.githubusercontent.com/u/48255244?s=40&v=4", - "name": "Abhishek-kumar09", - "url": "https://github.com/Abhishek-kumar09" + "avatar": "https://avatars.githubusercontent.com/u/899444?s=40&v=4", + "name": "addaleax", + "url": "https://github.com/addaleax" } ] }, { - "title": "TheBeastLT/torrentio-scraper", - "url": "https://github.com/TheBeastLT/torrentio-scraper", - "description": "", + "title": "Koenkk/zigbee2mqtt", + "url": "https://github.com/Koenkk/zigbee2mqtt", + "description": "Zigbee 🐝 to MQTT bridge 🌉, get rid of your proprietary Zigbee bridges 🔨", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "890", - "forks": "108", - "addStars": "13", + "stars": "12,206", + "forks": "1,682", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/39882951?s=40&v=4", - "name": "TheBeastLT", - "url": "https://github.com/TheBeastLT" + "avatar": "https://avatars.githubusercontent.com/u/2892853?s=40&v=4", + "name": "Koenkk", + "url": "https://github.com/Koenkk" }, { - "avatar": "https://avatars.githubusercontent.com/u/8840282?s=40&v=4", - "name": "mrcanelas", - "url": "https://github.com/mrcanelas" + "avatar": "https://avatars.githubusercontent.com/u/1525421?s=40&v=4", + "name": "nurikk", + "url": "https://github.com/nurikk" }, { - "avatar": "https://avatars.githubusercontent.com/u/1829094?s=40&v=4", - "name": "mplewis", - "url": "https://github.com/mplewis" + "avatar": "https://avatars.githubusercontent.com/u/379665?s=40&v=4", + "name": "sjorge", + "url": "https://github.com/sjorge" + } + ] + }, + { + "title": "zizifn/edgetunnel", + "url": "https://github.com/zizifn/edgetunnel", + "description": "Running V2ray inside edge/serverless runtime", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "7,186", + "forks": "25,558", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1803942?s=40&v=4", + "name": "zizifn", + "url": "https://github.com/zizifn" }, { - "avatar": "https://avatars.githubusercontent.com/u/86283021?s=40&v=4", - "name": "edde746", - "url": "https://github.com/edde746" + "avatar": "https://avatars.githubusercontent.com/u/75520940?s=40&v=4", + "name": "Emo-Damage", + "url": "https://github.com/Emo-Damage" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/78028446?s=40&v=4", + "name": "github-neo", + "url": "https://github.com/github-neo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/124616173?s=40&v=4", + "name": "etfans", + "url": "https://github.com/etfans" } ] }, { - "title": "prettier/prettier", - "url": "https://github.com/prettier/prettier", - "description": "Prettier is an opinionated code formatter.", + "title": "libccy/noname", + "url": "https://github.com/libccy/noname", + "description": "", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "49,505", - "forks": "4,372", - "addStars": "9", + "stars": "2,857", + "forks": "611", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/172584?s=40&v=4", - "name": "fisker", - "url": "https://github.com/fisker" + "avatar": "https://avatars.githubusercontent.com/u/29366371?s=40&v=4", + "name": "Spmario233", + "url": "https://github.com/Spmario233" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/139629761?s=40&v=4", + "name": "mengxinzxz", + "url": "https://github.com/mengxinzxz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/144450955?s=40&v=4", + "name": "PZ157", + "url": "https://github.com/PZ157" }, { - "avatar": "https://avatars.githubusercontent.com/u/14838850?s=40&v=4", - "name": "sosukesuzuki", - "url": "https://github.com/sosukesuzuki" + "avatar": "https://avatars.githubusercontent.com/u/88574031?s=40&v=4", + "name": "nonameShijian", + "url": "https://github.com/nonameShijian" }, { - "avatar": "https://avatars.githubusercontent.com/u/197597?s=40&v=4", - "name": "vjeux", - "url": "https://github.com/vjeux" + "avatar": "https://avatars.githubusercontent.com/u/131325076?s=40&v=4", + "name": "lieren2023", + "url": "https://github.com/lieren2023" } ] }, { - "title": "CodeWithHarry/Sigma-Web-Dev-Course", - "url": "https://github.com/CodeWithHarry/Sigma-Web-Dev-Course", - "description": "Source Code for Sigma Web Development Course", + "title": "iptv-org/iptv", + "url": "https://github.com/iptv-org/iptv", + "description": "Collection of publicly available IPTV channels from all over the world", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "6,317", - "forks": "1,948", - "addStars": "8", + "stars": "86,700", + "forks": "2,713", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/48705673?s=40&v=4", - "name": "CodeWithHarry", - "url": "https://github.com/CodeWithHarry" + "avatar": "https://avatars.githubusercontent.com/u/7253922?s=40&v=4", + "name": "freearhey", + "url": "https://github.com/freearhey" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30985701?s=40&v=4", + "name": "BellezaEmporium", + "url": "https://github.com/BellezaEmporium" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5430116?s=40&v=4", + "name": "Dum4G", + "url": "https://github.com/Dum4G" }, { - "avatar": "https://avatars.githubusercontent.com/u/125397128?s=40&v=4", - "name": "it-is-Aman", - "url": "https://github.com/it-is-Aman" + "avatar": "https://avatars.githubusercontent.com/u/108294295?s=40&v=4", + "name": "UltraHDR", + "url": "https://github.com/UltraHDR" } ] }, { - "title": "docmirror/dev-sidecar", - "url": "https://github.com/docmirror/dev-sidecar", - "description": "开发者边车,github打不开,github加速,git clone加速,git release下载加速,stackoverflow加速", + "title": "openwrt/luci", + "url": "https://github.com/openwrt/luci", + "description": "LuCI - OpenWrt Configuration Interface", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "15,632", - "forks": "1,835", - "addStars": "19", + "stars": "6,415", + "forks": "2,541", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1687298?s=40&v=4", - "name": "greper", - "url": "https://github.com/greper" + "avatar": "https://avatars.githubusercontent.com/u/2528802?s=40&v=4", + "name": "jow-", + "url": "https://github.com/jow-" }, { - "avatar": "https://avatars.githubusercontent.com/u/1527893?s=40&v=4", - "name": "wangliang181230", - "url": "https://github.com/wangliang181230" + "avatar": "https://avatars.githubusercontent.com/u/7926856?s=40&v=4", + "name": "hnyman", + "url": "https://github.com/hnyman" }, { - "avatar": "https://avatars.githubusercontent.com/u/64941905?s=40&v=4", - "name": "starknt", - "url": "https://github.com/starknt" + "avatar": "https://avatars.githubusercontent.com/u/2621634?s=40&v=4", + "name": "sbyx", + "url": "https://github.com/sbyx" }, { - "avatar": "https://avatars.githubusercontent.com/u/40586009?s=40&v=4", - "name": "Mryan2005", - "url": "https://github.com/Mryan2005" + "avatar": "https://avatars.githubusercontent.com/u/553091?s=40&v=4", + "name": "feckert", + "url": "https://github.com/feckert" }, { - "avatar": "https://avatars.githubusercontent.com/u/32991121?s=40&v=4", - "name": "Enaium", - "url": "https://github.com/Enaium" + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" } ] }, @@ -335,8 +397,8 @@ "description": "这个是一个将 Cloudflare Workers - VLESS 搭配 自建优选域名 的 订阅生成器", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "3,784", - "forks": "5,534", + "stars": "3,786", + "forks": "5,536", "addStars": "10", "contributors": [ { @@ -360,6 +422,65 @@ "url": "https://github.com/EzSync" } ] + }, + { + "title": "vernesong/OpenClash", + "url": "https://github.com/vernesong/OpenClash", + "description": "A Clash Client For OpenWrt", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "17,683", + "forks": "3,197", + "addStars": "14", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/42875168?s=40&v=4", + "name": "vernesong", + "url": "https://github.com/vernesong" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45259624?s=40&v=4", + "name": "zxlhhyccc", + "url": "https://github.com/zxlhhyccc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1388852?s=40&v=4", + "name": "msylgj", + "url": "https://github.com/msylgj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11692994?s=40&v=4", + "name": "Septrum101", + "url": "https://github.com/Septrum101" + } + ] + }, + { + "title": "GitSquared/edex-ui", + "url": "https://github.com/GitSquared/edex-ui", + "description": "A cross-platform, customizable science fiction terminal emulator with advanced monitoring & touchscreen support.", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "40,835", + "forks": "2,582", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/24496417?s=40&v=4", + "name": "GitSquared", + "url": "https://github.com/GitSquared" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36207117?s=40&v=4", + "name": "dependabot-bot", + "url": "https://github.com/dependabot-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14790466?s=40&v=4", + "name": "greenkeeperio-bot", + "url": "https://github.com/greenkeeperio-bot" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/javascript.xml b/data/daily/javascript.xml index 2be4bf7723f9..c48db18ae257 100644 --- a/data/daily/javascript.xml +++ b/data/daily/javascript.xml @@ -3,339 +3,402 @@ GitHub Javascript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Javascript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - gorhill/uBlock - https://github.com/gorhill/uBlock - uBlock Origin - An efficient blocker for Chromium and Firefox. Fast and lean. - https://github.com/gorhill/uBlock + FortAwesome/Font-Awesome + https://github.com/FortAwesome/Font-Awesome + The iconic SVG, font, and CSS toolkit + https://github.com/FortAwesome/Font-Awesome JavaScript #f1e05a - 47,602 - 3,159 - 18 + 74,111 + 12,202 + 115 - https://avatars.githubusercontent.com/u/585534?s=40&v=4 - gorhill - https://github.com/gorhill + https://avatars.githubusercontent.com/u/132895?s=40&v=4 + robmadole + https://github.com/robmadole - https://avatars.githubusercontent.com/u/5853030?s=40&v=4 - Deathamns - https://github.com/Deathamns + https://avatars.githubusercontent.com/u/556268?s=40&v=4 + tagliala + https://github.com/tagliala - https://avatars.githubusercontent.com/u/2351359?s=40&v=4 - chrisaljoudi - https://github.com/chrisaljoudi + https://avatars.githubusercontent.com/u/19155546?s=40&v=4 + deathnfudge + https://github.com/deathnfudge - https://avatars.githubusercontent.com/u/617358?s=40&v=4 - mjethani - https://github.com/mjethani + https://avatars.githubusercontent.com/u/529604?s=40&v=4 + sensibleworld + https://github.com/sensibleworld - https://avatars.githubusercontent.com/u/531706?s=40&v=4 - AlexVallat - https://github.com/AlexVallat + https://avatars.githubusercontent.com/u/78311368?s=40&v=4 + Aryan2727-debug + https://github.com/Aryan2727-debug - 7Sageer/sublink-worker - https://github.com/7Sageer/sublink-worker - 无需服务器,一键部署,快速使用自建节点分享URL进行订阅转换,提供灵活的自定义选项,支持SingBox/Clash/V2Ray/Xray - https://github.com/7Sageer/sublink-worker + SillyTavern/SillyTavern + https://github.com/SillyTavern/SillyTavern + LLM Frontend for Power Users. + https://github.com/SillyTavern/SillyTavern JavaScript #f1e05a - 847 - 1,389 - 13 + 8,445 + 2,447 + 16 - https://avatars.githubusercontent.com/u/125936732?s=40&v=4 - 7Sageer - https://github.com/7Sageer + https://avatars.githubusercontent.com/u/18619528?s=40&v=4 + Cohee1207 + https://github.com/Cohee1207 + + + https://avatars.githubusercontent.com/u/9962104?s=40&v=4 + Wolfsblvt + https://github.com/Wolfsblvt - https://avatars.githubusercontent.com/u/27171256?s=40&v=4 - miyunda - https://github.com/miyunda + https://avatars.githubusercontent.com/u/124905043?s=40&v=4 + RossAscends + https://github.com/RossAscends - https://avatars.githubusercontent.com/u/160765054?s=40&v=4 - 0x10240 - https://github.com/0x10240 + https://avatars.githubusercontent.com/u/12953058?s=40&v=4 + artisticMink + https://github.com/artisticMink + + + https://avatars.githubusercontent.com/u/7149120?s=40&v=4 + LenAnderson + https://github.com/LenAnderson - zizifn/edgetunnel - https://github.com/zizifn/edgetunnel - Running V2ray inside edge/serverless runtime - https://github.com/zizifn/edgetunnel + sveltejs/svelte + https://github.com/sveltejs/svelte + web development for the rest of us + https://github.com/sveltejs/svelte JavaScript #f1e05a - 7,183 - 25,522 - 15 + 80,152 + 4,265 + 26 - https://avatars.githubusercontent.com/u/1803942?s=40&v=4 - zizifn - https://github.com/zizifn + https://avatars.githubusercontent.com/u/1162160?s=40&v=4 + Rich-Harris + https://github.com/Rich-Harris - https://avatars.githubusercontent.com/u/75520940?s=40&v=4 - Emo-Damage - https://github.com/Emo-Damage + https://avatars.githubusercontent.com/u/16696352?s=40&v=4 + Conduitry + https://github.com/Conduitry - https://avatars.githubusercontent.com/u/78028446?s=40&v=4 - github-neo - https://github.com/github-neo + https://avatars.githubusercontent.com/u/5968653?s=40&v=4 + dummdidumm + https://github.com/dummdidumm - https://avatars.githubusercontent.com/u/124616173?s=40&v=4 - etfans - https://github.com/etfans + https://avatars.githubusercontent.com/u/1519870?s=40&v=4 + trueadm + https://github.com/trueadm + + + https://avatars.githubusercontent.com/u/2338632?s=40&v=4 + tanhauhau + https://github.com/tanhauhau - koodo-reader/koodo-reader - https://github.com/koodo-reader/koodo-reader - A modern ebook manager and reader with sync and backup capacities for Windows, macOS, Linux and Web - https://github.com/koodo-reader/koodo-reader + kolbytn/mindcraft + https://github.com/kolbytn/mindcraft + + https://github.com/kolbytn/mindcraft JavaScript #f1e05a - 19,237 - 1,485 - 55 + 2,302 + 281 + 17 - https://avatars.githubusercontent.com/u/13820674?s=40&v=4 - troyeguo - https://github.com/troyeguo + https://avatars.githubusercontent.com/u/9001247?s=40&v=4 + MaxRobinsonTheGreat + https://github.com/MaxRobinsonTheGreat - https://avatars.githubusercontent.com/u/13329768?s=40&v=4 - hacketiwack - https://github.com/hacketiwack + https://avatars.githubusercontent.com/u/25499651?s=40&v=4 + kolbytn + https://github.com/kolbytn - https://avatars.githubusercontent.com/u/45925550?s=40&v=4 - marciniuk - https://github.com/marciniuk + https://avatars.githubusercontent.com/u/157772455?s=40&v=4 + FateUnix29 + https://github.com/FateUnix29 - https://avatars.githubusercontent.com/u/64630607?s=40&v=4 - molajusi - https://github.com/molajusi + https://avatars.githubusercontent.com/u/73424304?s=40&v=4 + Ninot1Quyi + https://github.com/Ninot1Quyi - https://avatars.githubusercontent.com/u/7201687?s=40&v=4 - vanja-san - https://github.com/vanja-san + https://avatars.githubusercontent.com/u/98205608?s=40&v=4 + Lawtro37 + https://github.com/Lawtro37 - FortAwesome/Font-Awesome - https://github.com/FortAwesome/Font-Awesome - The iconic SVG, font, and CSS toolkit - https://github.com/FortAwesome/Font-Awesome + alexlenail/NN-SVG + https://github.com/alexlenail/NN-SVG + Publication-ready NN-architecture schematics. + https://github.com/alexlenail/NN-SVG JavaScript #f1e05a - 74,090 - 12,202 - 115 + 5,175 + 688 + 50 - https://avatars.githubusercontent.com/u/132895?s=40&v=4 - robmadole - https://github.com/robmadole + https://avatars.githubusercontent.com/u/2761597?s=40&v=4 + alexlenail + https://github.com/alexlenail - https://avatars.githubusercontent.com/u/556268?s=40&v=4 - tagliala - https://github.com/tagliala + https://avatars.githubusercontent.com/u/59724?s=40&v=4 + sof + https://github.com/sof - https://avatars.githubusercontent.com/u/19155546?s=40&v=4 - deathnfudge - https://github.com/deathnfudge + https://avatars.githubusercontent.com/u/441968?s=40&v=4 + jpauwels + https://github.com/jpauwels - https://avatars.githubusercontent.com/u/529604?s=40&v=4 - sensibleworld - https://github.com/sensibleworld + https://avatars.githubusercontent.com/u/12752145?s=40&v=4 + Benjamin-Loison + https://github.com/Benjamin-Loison - https://avatars.githubusercontent.com/u/78311368?s=40&v=4 - Aryan2727-debug - https://github.com/Aryan2727-debug + https://avatars.githubusercontent.com/u/15975303?s=40&v=4 + ryanalexmartin + https://github.com/ryanalexmartin - meshery/meshery - https://github.com/meshery/meshery - Meshery, the cloud native manager - https://github.com/meshery/meshery + nodejs/node + https://github.com/nodejs/node + Node.js JavaScript runtime ✨🐢🚀✨ + https://github.com/nodejs/node JavaScript #f1e05a - 6,266 - 1,902 - 12 + 107,950 + 29,775 + 19 - https://avatars.githubusercontent.com/u/7570704?s=40&v=4 - leecalcote - https://github.com/leecalcote + https://avatars.githubusercontent.com/u/718899?s=40&v=4 + Trott + https://github.com/Trott - https://avatars.githubusercontent.com/u/79860504?s=40&v=4 - MUzairS15 - https://github.com/MUzairS15 + https://avatars.githubusercontent.com/u/80?s=40&v=4 + ry + https://github.com/ry - https://avatars.githubusercontent.com/u/73700530?s=40&v=4 - theBeginner86 - https://github.com/theBeginner86 + https://avatars.githubusercontent.com/u/275871?s=40&v=4 + bnoordhuis + https://github.com/bnoordhuis - https://avatars.githubusercontent.com/u/43276904?s=40&v=4 - Revolyssup - https://github.com/Revolyssup + https://avatars.githubusercontent.com/u/9287?s=40&v=4 + isaacs + https://github.com/isaacs - https://avatars.githubusercontent.com/u/48255244?s=40&v=4 - Abhishek-kumar09 - https://github.com/Abhishek-kumar09 + https://avatars.githubusercontent.com/u/899444?s=40&v=4 + addaleax + https://github.com/addaleax - TheBeastLT/torrentio-scraper - https://github.com/TheBeastLT/torrentio-scraper - - https://github.com/TheBeastLT/torrentio-scraper + Koenkk/zigbee2mqtt + https://github.com/Koenkk/zigbee2mqtt + Zigbee 🐝 to MQTT bridge 🌉, get rid of your proprietary Zigbee bridges 🔨 + https://github.com/Koenkk/zigbee2mqtt JavaScript #f1e05a - 890 - 108 - 13 + 12,206 + 1,682 + 8 - https://avatars.githubusercontent.com/u/39882951?s=40&v=4 - TheBeastLT - https://github.com/TheBeastLT + https://avatars.githubusercontent.com/u/2892853?s=40&v=4 + Koenkk + https://github.com/Koenkk - https://avatars.githubusercontent.com/u/8840282?s=40&v=4 - mrcanelas - https://github.com/mrcanelas + https://avatars.githubusercontent.com/u/1525421?s=40&v=4 + nurikk + https://github.com/nurikk - https://avatars.githubusercontent.com/u/1829094?s=40&v=4 - mplewis - https://github.com/mplewis + https://avatars.githubusercontent.com/u/379665?s=40&v=4 + sjorge + https://github.com/sjorge + + + + + zizifn/edgetunnel + https://github.com/zizifn/edgetunnel + Running V2ray inside edge/serverless runtime + https://github.com/zizifn/edgetunnel + JavaScript + #f1e05a + 7,186 + 25,558 + 15 + + + https://avatars.githubusercontent.com/u/1803942?s=40&v=4 + zizifn + https://github.com/zizifn + + + https://avatars.githubusercontent.com/u/75520940?s=40&v=4 + Emo-Damage + https://github.com/Emo-Damage - https://avatars.githubusercontent.com/u/86283021?s=40&v=4 - edde746 - https://github.com/edde746 + https://avatars.githubusercontent.com/u/78028446?s=40&v=4 + github-neo + https://github.com/github-neo + + + https://avatars.githubusercontent.com/u/124616173?s=40&v=4 + etfans + https://github.com/etfans - prettier/prettier - https://github.com/prettier/prettier - Prettier is an opinionated code formatter. - https://github.com/prettier/prettier + libccy/noname + https://github.com/libccy/noname + + https://github.com/libccy/noname JavaScript #f1e05a - 49,505 - 4,372 - 9 + 2,857 + 611 + 10 - https://avatars.githubusercontent.com/u/172584?s=40&v=4 - fisker - https://github.com/fisker + https://avatars.githubusercontent.com/u/29366371?s=40&v=4 + Spmario233 + https://github.com/Spmario233 + + + https://avatars.githubusercontent.com/u/139629761?s=40&v=4 + mengxinzxz + https://github.com/mengxinzxz + + + https://avatars.githubusercontent.com/u/144450955?s=40&v=4 + PZ157 + https://github.com/PZ157 - https://avatars.githubusercontent.com/u/14838850?s=40&v=4 - sosukesuzuki - https://github.com/sosukesuzuki + https://avatars.githubusercontent.com/u/88574031?s=40&v=4 + nonameShijian + https://github.com/nonameShijian - https://avatars.githubusercontent.com/u/197597?s=40&v=4 - vjeux - https://github.com/vjeux + https://avatars.githubusercontent.com/u/131325076?s=40&v=4 + lieren2023 + https://github.com/lieren2023 - CodeWithHarry/Sigma-Web-Dev-Course - https://github.com/CodeWithHarry/Sigma-Web-Dev-Course - Source Code for Sigma Web Development Course - https://github.com/CodeWithHarry/Sigma-Web-Dev-Course + iptv-org/iptv + https://github.com/iptv-org/iptv + Collection of publicly available IPTV channels from all over the world + https://github.com/iptv-org/iptv JavaScript #f1e05a - 6,317 - 1,948 - 8 + 86,700 + 2,713 + 38 - https://avatars.githubusercontent.com/u/48705673?s=40&v=4 - CodeWithHarry - https://github.com/CodeWithHarry + https://avatars.githubusercontent.com/u/7253922?s=40&v=4 + freearhey + https://github.com/freearhey - https://avatars.githubusercontent.com/u/125397128?s=40&v=4 - it-is-Aman - https://github.com/it-is-Aman + https://avatars.githubusercontent.com/u/30985701?s=40&v=4 + BellezaEmporium + https://github.com/BellezaEmporium + + + https://avatars.githubusercontent.com/u/5430116?s=40&v=4 + Dum4G + https://github.com/Dum4G + + + https://avatars.githubusercontent.com/u/108294295?s=40&v=4 + UltraHDR + https://github.com/UltraHDR - docmirror/dev-sidecar - https://github.com/docmirror/dev-sidecar - 开发者边车,github打不开,github加速,git clone加速,git release下载加速,stackoverflow加速 - https://github.com/docmirror/dev-sidecar + openwrt/luci + https://github.com/openwrt/luci + LuCI - OpenWrt Configuration Interface + https://github.com/openwrt/luci JavaScript #f1e05a - 15,632 - 1,835 - 19 + 6,415 + 2,541 + 6 - https://avatars.githubusercontent.com/u/1687298?s=40&v=4 - greper - https://github.com/greper + https://avatars.githubusercontent.com/u/2528802?s=40&v=4 + jow- + https://github.com/jow- - https://avatars.githubusercontent.com/u/1527893?s=40&v=4 - wangliang181230 - https://github.com/wangliang181230 + https://avatars.githubusercontent.com/u/7926856?s=40&v=4 + hnyman + https://github.com/hnyman - https://avatars.githubusercontent.com/u/64941905?s=40&v=4 - starknt - https://github.com/starknt + https://avatars.githubusercontent.com/u/2621634?s=40&v=4 + sbyx + https://github.com/sbyx - https://avatars.githubusercontent.com/u/40586009?s=40&v=4 - Mryan2005 - https://github.com/Mryan2005 + https://avatars.githubusercontent.com/u/553091?s=40&v=4 + feckert + https://github.com/feckert - https://avatars.githubusercontent.com/u/32991121?s=40&v=4 - Enaium - https://github.com/Enaium + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate @@ -346,8 +409,8 @@ https://github.com/cmliu/WorkerVless2sub JavaScript #f1e05a - 3,784 - 5,534 + 3,786 + 5,536 10 @@ -372,5 +435,66 @@ + + vernesong/OpenClash + https://github.com/vernesong/OpenClash + A Clash Client For OpenWrt + https://github.com/vernesong/OpenClash + JavaScript + #f1e05a + 17,683 + 3,197 + 14 + + + https://avatars.githubusercontent.com/u/42875168?s=40&v=4 + vernesong + https://github.com/vernesong + + + https://avatars.githubusercontent.com/u/45259624?s=40&v=4 + zxlhhyccc + https://github.com/zxlhhyccc + + + https://avatars.githubusercontent.com/u/1388852?s=40&v=4 + msylgj + https://github.com/msylgj + + + https://avatars.githubusercontent.com/u/11692994?s=40&v=4 + Septrum101 + https://github.com/Septrum101 + + + + + GitSquared/edex-ui + https://github.com/GitSquared/edex-ui + A cross-platform, customizable science fiction terminal emulator with advanced monitoring & touchscreen support. + https://github.com/GitSquared/edex-ui + JavaScript + #f1e05a + 40,835 + 2,582 + 15 + + + https://avatars.githubusercontent.com/u/24496417?s=40&v=4 + GitSquared + https://github.com/GitSquared + + + https://avatars.githubusercontent.com/u/36207117?s=40&v=4 + dependabot-bot + https://github.com/dependabot-bot + + + https://avatars.githubusercontent.com/u/14790466?s=40&v=4 + greenkeeperio-bot + https://github.com/greenkeeperio-bot + + + \ No newline at end of file diff --git a/data/daily/jcl.json b/data/daily/jcl.json index 319f463b2e39..1df2a1092f0d 100644 --- a/data/daily/jcl.json +++ b/data/daily/jcl.json @@ -2,6 +2,6 @@ "title": "GitHub Jcl Languages Daily Trending", "description": "Daily Trending of Jcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jcl.xml b/data/daily/jcl.xml index 3b0943221fae..1894000a66ab 100644 --- a/data/daily/jcl.xml +++ b/data/daily/jcl.xml @@ -3,6 +3,6 @@ GitHub Jcl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jest-snapshot.json b/data/daily/jest-snapshot.json index 07400824ebd9..f3cc48921a72 100644 --- a/data/daily/jest-snapshot.json +++ b/data/daily/jest-snapshot.json @@ -2,6 +2,6 @@ "title": "GitHub Jest-snapshot Languages Daily Trending", "description": "Daily Trending of Jest-snapshot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jest-snapshot.xml b/data/daily/jest-snapshot.xml index 13f35c3cbea6..8cf314300d71 100644 --- a/data/daily/jest-snapshot.xml +++ b/data/daily/jest-snapshot.xml @@ -3,6 +3,6 @@ GitHub Jest-snapshot Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jest-snapshot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jetbrains-mps.json b/data/daily/jetbrains-mps.json index 285ee092e949..d5817fdd813a 100644 --- a/data/daily/jetbrains-mps.json +++ b/data/daily/jetbrains-mps.json @@ -2,6 +2,6 @@ "title": "GitHub Jetbrains-mps Languages Daily Trending", "description": "Daily Trending of Jetbrains-mps Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jetbrains-mps.xml b/data/daily/jetbrains-mps.xml index b4abe04b550f..386a2f716cc7 100644 --- a/data/daily/jetbrains-mps.xml +++ b/data/daily/jetbrains-mps.xml @@ -3,6 +3,6 @@ GitHub Jetbrains-mps Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jetbrains-mps Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jflex.json b/data/daily/jflex.json index 6bd7f66dca9e..10fb16549e3e 100644 --- a/data/daily/jflex.json +++ b/data/daily/jflex.json @@ -2,6 +2,6 @@ "title": "GitHub Jflex Languages Daily Trending", "description": "Daily Trending of Jflex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jflex.xml b/data/daily/jflex.xml index 2d4d89cae6b1..bdc353a7b012 100644 --- a/data/daily/jflex.xml +++ b/data/daily/jflex.xml @@ -3,6 +3,6 @@ GitHub Jflex Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jflex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jinja.json b/data/daily/jinja.json index 0e860e6fa86d..155b6baf1a37 100644 --- a/data/daily/jinja.json +++ b/data/daily/jinja.json @@ -2,6 +2,6 @@ "title": "GitHub Jinja Languages Daily Trending", "description": "Daily Trending of Jinja Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jinja.xml b/data/daily/jinja.xml index 406217b5a3e9..479860996de8 100644 --- a/data/daily/jinja.xml +++ b/data/daily/jinja.xml @@ -3,6 +3,6 @@ GitHub Jinja Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jinja Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jison-lex.json b/data/daily/jison-lex.json index 9ad1d2d4ac6c..7f9019f7739d 100644 --- a/data/daily/jison-lex.json +++ b/data/daily/jison-lex.json @@ -2,6 +2,6 @@ "title": "GitHub Jison-lex Languages Daily Trending", "description": "Daily Trending of Jison-lex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jison-lex.xml b/data/daily/jison-lex.xml index 4affbd7d7bba..c57448c5c3a5 100644 --- a/data/daily/jison-lex.xml +++ b/data/daily/jison-lex.xml @@ -3,6 +3,6 @@ GitHub Jison-lex Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jison-lex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jison.json b/data/daily/jison.json index 200739f6e632..0e335ffdcd97 100644 --- a/data/daily/jison.json +++ b/data/daily/jison.json @@ -2,6 +2,6 @@ "title": "GitHub Jison Languages Daily Trending", "description": "Daily Trending of Jison Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jison.xml b/data/daily/jison.xml index e783ed4896e2..10529502f1f0 100644 --- a/data/daily/jison.xml +++ b/data/daily/jison.xml @@ -3,6 +3,6 @@ GitHub Jison Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jison Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jolie.json b/data/daily/jolie.json index 62b144b1af1d..4cefa1095e4b 100644 --- a/data/daily/jolie.json +++ b/data/daily/jolie.json @@ -2,6 +2,6 @@ "title": "GitHub Jolie Languages Daily Trending", "description": "Daily Trending of Jolie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jolie.xml b/data/daily/jolie.xml index aee57f2b6a27..61e840e87d97 100644 --- a/data/daily/jolie.xml +++ b/data/daily/jolie.xml @@ -3,6 +3,6 @@ GitHub Jolie Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jolie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jq.json b/data/daily/jq.json index 28b3b0e5bab1..a27ba635ec33 100644 --- a/data/daily/jq.json +++ b/data/daily/jq.json @@ -2,6 +2,6 @@ "title": "GitHub Jq Languages Daily Trending", "description": "Daily Trending of Jq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jq.xml b/data/daily/jq.xml index 56e761ed74dc..c42d0b16ef38 100644 --- a/data/daily/jq.xml +++ b/data/daily/jq.xml @@ -3,6 +3,6 @@ GitHub Jq Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/json-with-comments.json b/data/daily/json-with-comments.json index 9ab72527d418..4711e709b234 100644 --- a/data/daily/json-with-comments.json +++ b/data/daily/json-with-comments.json @@ -2,6 +2,6 @@ "title": "GitHub Json-with-comments Languages Daily Trending", "description": "Daily Trending of Json-with-comments Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/json-with-comments.xml b/data/daily/json-with-comments.xml index 9d677d5ffe65..da3bd7806b96 100644 --- a/data/daily/json-with-comments.xml +++ b/data/daily/json-with-comments.xml @@ -3,6 +3,6 @@ GitHub Json-with-comments Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Json-with-comments Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/json.json b/data/daily/json.json index de65c4278a36..80733564d07e 100644 --- a/data/daily/json.json +++ b/data/daily/json.json @@ -2,7 +2,7 @@ "title": "GitHub Json Languages Daily Trending", "description": "Daily Trending of Json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "mdn/browser-compat-data", @@ -10,7 +10,7 @@ "description": "This repository contains compatibility data for Web technologies as displayed on MDN", "language": "JSON", "languageColor": "#292929", - "stars": "5,005", + "stars": "5,006", "forks": "2,006", "addStars": "1", "contributors": [ diff --git a/data/daily/json.xml b/data/daily/json.xml index 66cf2b4bb3c3..24180675d338 100644 --- a/data/daily/json.xml +++ b/data/daily/json.xml @@ -3,7 +3,7 @@ GitHub Json Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT mdn/browser-compat-data https://github.com/mdn/browser-compat-data @@ -11,7 +11,7 @@ https://github.com/mdn/browser-compat-data JSON #292929 - 5,005 + 5,006 2,006 1 diff --git a/data/daily/json5.json b/data/daily/json5.json index 313ed45cab39..0c9e95df90ec 100644 --- a/data/daily/json5.json +++ b/data/daily/json5.json @@ -2,6 +2,6 @@ "title": "GitHub Json5 Languages Daily Trending", "description": "Daily Trending of Json5 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/json5.xml b/data/daily/json5.xml index 1ce76e0784af..789231155ec3 100644 --- a/data/daily/json5.xml +++ b/data/daily/json5.xml @@ -3,6 +3,6 @@ GitHub Json5 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Json5 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jsoniq.json b/data/daily/jsoniq.json index 82da8d2fbd97..0d47c9bac9de 100644 --- a/data/daily/jsoniq.json +++ b/data/daily/jsoniq.json @@ -2,6 +2,6 @@ "title": "GitHub Jsoniq Languages Daily Trending", "description": "Daily Trending of Jsoniq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jsoniq.xml b/data/daily/jsoniq.xml index c453657a7263..1434bfa75193 100644 --- a/data/daily/jsoniq.xml +++ b/data/daily/jsoniq.xml @@ -3,6 +3,6 @@ GitHub Jsoniq Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jsoniq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jsonld.json b/data/daily/jsonld.json index 7c9c59cffcc4..ffc0f2a508b9 100644 --- a/data/daily/jsonld.json +++ b/data/daily/jsonld.json @@ -2,6 +2,6 @@ "title": "GitHub Jsonld Languages Daily Trending", "description": "Daily Trending of Jsonld Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/jsonld.xml b/data/daily/jsonld.xml index e1c691ad0972..d549ee2659ec 100644 --- a/data/daily/jsonld.xml +++ b/data/daily/jsonld.xml @@ -3,6 +3,6 @@ GitHub Jsonld Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jsonld Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/jsonnet.json b/data/daily/jsonnet.json index f7cd292ed6b9..addce123078a 100644 --- a/data/daily/jsonnet.json +++ b/data/daily/jsonnet.json @@ -2,53 +2,16 @@ "title": "GitHub Jsonnet Languages Daily Trending", "description": "Daily Trending of Jsonnet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "google/jsonnet", - "url": "https://github.com/google/jsonnet", - "description": "Jsonnet - The data templating language", - "language": "Jsonnet", - "languageColor": "#0064bd", - "stars": "7,007", - "forks": "440", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/168158?s=40&v=4", - "name": "sparkprime", - "url": "https://github.com/sparkprime" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/683828?s=40&v=4", - "name": "sbarzowski", - "url": "https://github.com/sbarzowski" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/115749?s=40&v=4", - "name": "johnbartholomew", - "url": "https://github.com/johnbartholomew" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5283042?s=40&v=4", - "name": "davidzchen", - "url": "https://github.com/davidzchen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1787169?s=40&v=4", - "name": "mikedanese", - "url": "https://github.com/mikedanese" - } - ] - }, { "title": "argoproj/argocd-example-apps", "url": "https://github.com/argoproj/argocd-example-apps", "description": "Example Apps to Demonstrate Argo CD", "language": "Jsonnet", "languageColor": "#0064bd", - "stars": "1,595", - "forks": "7,228", + "stars": "1,597", + "forks": "7,229", "addStars": "0", "contributors": [ { @@ -77,6 +40,43 @@ "url": "https://github.com/ajayk" } ] + }, + { + "title": "thanos-io/kube-thanos", + "url": "https://github.com/thanos-io/kube-thanos", + "description": "Kubernetes specific configuration for deploying Thanos.", + "language": "Jsonnet", + "languageColor": "#0064bd", + "stars": "521", + "forks": "177", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/872251?s=40&v=4", + "name": "metalmatze", + "url": "https://github.com/metalmatze" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/536449?s=40&v=4", + "name": "kakkoyun", + "url": "https://github.com/kakkoyun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4546722?s=40&v=4", + "name": "brancz", + "url": "https://github.com/brancz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7711311?s=40&v=4", + "name": "clyang82", + "url": "https://github.com/clyang82" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25150124?s=40&v=4", + "name": "yeya24", + "url": "https://github.com/yeya24" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/jsonnet.xml b/data/daily/jsonnet.xml index 29ec72698080..ea4401e51ef8 100644 --- a/data/daily/jsonnet.xml +++ b/data/daily/jsonnet.xml @@ -3,45 +3,7 @@ GitHub Jsonnet Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Jsonnet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - google/jsonnet - https://github.com/google/jsonnet - Jsonnet - The data templating language - https://github.com/google/jsonnet - Jsonnet - #0064bd - 7,007 - 440 - 0 - - - https://avatars.githubusercontent.com/u/168158?s=40&v=4 - sparkprime - https://github.com/sparkprime - - - https://avatars.githubusercontent.com/u/683828?s=40&v=4 - sbarzowski - https://github.com/sbarzowski - - - https://avatars.githubusercontent.com/u/115749?s=40&v=4 - johnbartholomew - https://github.com/johnbartholomew - - - https://avatars.githubusercontent.com/u/5283042?s=40&v=4 - davidzchen - https://github.com/davidzchen - - - https://avatars.githubusercontent.com/u/1787169?s=40&v=4 - mikedanese - https://github.com/mikedanese - - - + Mon, 25 Nov 2024 13:30:36 GMT argoproj/argocd-example-apps https://github.com/argoproj/argocd-example-apps @@ -49,8 +11,8 @@ https://github.com/argoproj/argocd-example-apps Jsonnet #0064bd - 1,595 - 7,228 + 1,597 + 7,229 0 @@ -80,5 +42,43 @@ + + thanos-io/kube-thanos + https://github.com/thanos-io/kube-thanos + Kubernetes specific configuration for deploying Thanos. + https://github.com/thanos-io/kube-thanos + Jsonnet + #0064bd + 521 + 177 + 0 + + + https://avatars.githubusercontent.com/u/872251?s=40&v=4 + metalmatze + https://github.com/metalmatze + + + https://avatars.githubusercontent.com/u/536449?s=40&v=4 + kakkoyun + https://github.com/kakkoyun + + + https://avatars.githubusercontent.com/u/4546722?s=40&v=4 + brancz + https://github.com/brancz + + + https://avatars.githubusercontent.com/u/7711311?s=40&v=4 + clyang82 + https://github.com/clyang82 + + + https://avatars.githubusercontent.com/u/25150124?s=40&v=4 + yeya24 + https://github.com/yeya24 + + + \ No newline at end of file diff --git a/data/daily/julia-repl.json b/data/daily/julia-repl.json index 988976caa694..de0069aceaf8 100644 --- a/data/daily/julia-repl.json +++ b/data/daily/julia-repl.json @@ -2,6 +2,6 @@ "title": "GitHub Julia-repl Languages Daily Trending", "description": "Daily Trending of Julia-repl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/julia-repl.xml b/data/daily/julia-repl.xml index 588a4c0b9592..a301a2de7fe5 100644 --- a/data/daily/julia-repl.xml +++ b/data/daily/julia-repl.xml @@ -3,6 +3,6 @@ GitHub Julia-repl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Julia-repl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/julia.json b/data/daily/julia.json index e4a577e5153e..7727eb97b59d 100644 --- a/data/daily/julia.json +++ b/data/daily/julia.json @@ -2,7 +2,7 @@ "title": "GitHub Julia Languages Daily Trending", "description": "Daily Trending of Julia Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "JuliaLang/julia", @@ -10,8 +10,8 @@ "description": "The Julia Programming Language", "language": "Julia", "languageColor": "#a270ba", - "stars": "45,860", - "forks": "5,489", + "stars": "45,862", + "forks": "5,488", "addStars": "11", "contributors": [ { @@ -48,7 +48,7 @@ "language": "Julia", "languageColor": "#a270ba", "stars": "608", - "forks": "468", + "forks": "469", "addStars": "1", "contributors": [ { @@ -79,13 +79,13 @@ ] }, { - "title": "CliMA/ClimaAtmos.jl", - "url": "https://github.com/CliMA/ClimaAtmos.jl", - "description": "ClimaAtmos.jl is a library for building atmospheric circulation models that is designed from the outset to leverage data assimilation and machine learning tools. We welcome contributions!", + "title": "CliMA/ClimaCore.jl", + "url": "https://github.com/CliMA/ClimaCore.jl", + "description": "CliMA model dycore", "language": "Julia", "languageColor": "#a270ba", - "stars": "85", - "forks": "19", + "stars": "87", + "forks": "9", "addStars": "0", "contributors": [ { @@ -94,19 +94,51 @@ "url": "https://github.com/charleskawczynski" }, { - "avatar": "https://avatars.githubusercontent.com/u/11598433?s=40&v=4", - "name": "szy21", - "url": "https://github.com/szy21" + "avatar": "https://avatars.githubusercontent.com/u/1692009?s=40&v=4", + "name": "simonbyrne", + "url": "https://github.com/simonbyrne" }, { - "avatar": "https://avatars.githubusercontent.com/u/9167485?s=40&v=4", - "name": "Sbozzolo", - "url": "https://github.com/Sbozzolo" + "avatar": "https://avatars.githubusercontent.com/u/39932030?s=40&v=4", + "name": "valeriabarra", + "url": "https://github.com/valeriabarra" }, { - "avatar": "https://avatars.githubusercontent.com/u/1196696?s=40&v=4", - "name": "trontrytel", - "url": "https://github.com/trontrytel" + "avatar": "https://avatars.githubusercontent.com/u/55154978?s=40&v=4", + "name": "sriharshakandala", + "url": "https://github.com/sriharshakandala" + } + ] + }, + { + "title": "MilesCranmer/SymbolicRegression.jl", + "url": "https://github.com/MilesCranmer/SymbolicRegression.jl", + "description": "Distributed High-Performance Symbolic Regression in Julia", + "language": "Julia", + "languageColor": "#a270ba", + "stars": "637", + "forks": "82", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7593028?s=40&v=4", + "name": "MilesCranmer", + "url": "https://github.com/MilesCranmer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/852554?s=40&v=4", + "name": "johanbluecreek", + "url": "https://github.com/johanbluecreek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8803931?s=40&v=4", + "name": "kazewong", + "url": "https://github.com/kazewong" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20998300?s=40&v=4", + "name": "AlCap23", + "url": "https://github.com/AlCap23" } ] }, @@ -142,43 +174,6 @@ } ] }, - { - "title": "JuliaLang/PackageCompiler.jl", - "url": "https://github.com/JuliaLang/PackageCompiler.jl", - "description": "Compile your Julia Package", - "language": "Julia", - "languageColor": "#a270ba", - "stars": "1,431", - "forks": "192", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1282691?s=40&v=4", - "name": "KristofferC", - "url": "https://github.com/KristofferC" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1010467?s=40&v=4", - "name": "SimonDanisch", - "url": "https://github.com/SimonDanisch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8380499?s=40&v=4", - "name": "lucatrv", - "url": "https://github.com/lucatrv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5619885?s=40&v=4", - "name": "DilumAluthge", - "url": "https://github.com/DilumAluthge" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/744411?s=40&v=4", - "name": "ViralBShah", - "url": "https://github.com/ViralBShah" - } - ] - }, { "title": "SciML/ModelingToolkit.jl", "url": "https://github.com/SciML/ModelingToolkit.jl", @@ -217,34 +212,39 @@ ] }, { - "title": "CliMA/ClimaCore.jl", - "url": "https://github.com/CliMA/ClimaCore.jl", - "description": "CliMA model dycore", + "title": "JuliaLang/PackageCompiler.jl", + "url": "https://github.com/JuliaLang/PackageCompiler.jl", + "description": "Compile your Julia Package", "language": "Julia", "languageColor": "#a270ba", - "stars": "87", - "forks": "9", - "addStars": "0", + "stars": "1,431", + "forks": "192", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1880641?s=40&v=4", - "name": "charleskawczynski", - "url": "https://github.com/charleskawczynski" + "avatar": "https://avatars.githubusercontent.com/u/1282691?s=40&v=4", + "name": "KristofferC", + "url": "https://github.com/KristofferC" }, { - "avatar": "https://avatars.githubusercontent.com/u/1692009?s=40&v=4", - "name": "simonbyrne", - "url": "https://github.com/simonbyrne" + "avatar": "https://avatars.githubusercontent.com/u/1010467?s=40&v=4", + "name": "SimonDanisch", + "url": "https://github.com/SimonDanisch" }, { - "avatar": "https://avatars.githubusercontent.com/u/39932030?s=40&v=4", - "name": "valeriabarra", - "url": "https://github.com/valeriabarra" + "avatar": "https://avatars.githubusercontent.com/u/8380499?s=40&v=4", + "name": "lucatrv", + "url": "https://github.com/lucatrv" }, { - "avatar": "https://avatars.githubusercontent.com/u/55154978?s=40&v=4", - "name": "sriharshakandala", - "url": "https://github.com/sriharshakandala" + "avatar": "https://avatars.githubusercontent.com/u/5619885?s=40&v=4", + "name": "DilumAluthge", + "url": "https://github.com/DilumAluthge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/744411?s=40&v=4", + "name": "ViralBShah", + "url": "https://github.com/ViralBShah" } ] } diff --git a/data/daily/julia.xml b/data/daily/julia.xml index 8b44cf5b9fc7..c4282a210265 100644 --- a/data/daily/julia.xml +++ b/data/daily/julia.xml @@ -3,7 +3,7 @@ GitHub Julia Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Julia Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT JuliaLang/julia https://github.com/JuliaLang/julia @@ -11,8 +11,8 @@ https://github.com/JuliaLang/julia Julia #a270ba - 45,860 - 5,489 + 45,862 + 5,488 11 @@ -50,7 +50,7 @@ Julia #a270ba 608 - 468 + 469 1 @@ -81,14 +81,14 @@ - CliMA/ClimaAtmos.jl - https://github.com/CliMA/ClimaAtmos.jl - ClimaAtmos.jl is a library for building atmospheric circulation models that is designed from the outset to leverage data assimilation and machine learning tools. We welcome contributions! - https://github.com/CliMA/ClimaAtmos.jl + CliMA/ClimaCore.jl + https://github.com/CliMA/ClimaCore.jl + CliMA model dycore + https://github.com/CliMA/ClimaCore.jl Julia #a270ba - 85 - 19 + 87 + 9 0 @@ -97,19 +97,52 @@ https://github.com/charleskawczynski - https://avatars.githubusercontent.com/u/11598433?s=40&v=4 - szy21 - https://github.com/szy21 + https://avatars.githubusercontent.com/u/1692009?s=40&v=4 + simonbyrne + https://github.com/simonbyrne - https://avatars.githubusercontent.com/u/9167485?s=40&v=4 - Sbozzolo - https://github.com/Sbozzolo + https://avatars.githubusercontent.com/u/39932030?s=40&v=4 + valeriabarra + https://github.com/valeriabarra - https://avatars.githubusercontent.com/u/1196696?s=40&v=4 - trontrytel - https://github.com/trontrytel + https://avatars.githubusercontent.com/u/55154978?s=40&v=4 + sriharshakandala + https://github.com/sriharshakandala + + + + + MilesCranmer/SymbolicRegression.jl + https://github.com/MilesCranmer/SymbolicRegression.jl + Distributed High-Performance Symbolic Regression in Julia + https://github.com/MilesCranmer/SymbolicRegression.jl + Julia + #a270ba + 637 + 82 + 2 + + + https://avatars.githubusercontent.com/u/7593028?s=40&v=4 + MilesCranmer + https://github.com/MilesCranmer + + + https://avatars.githubusercontent.com/u/852554?s=40&v=4 + johanbluecreek + https://github.com/johanbluecreek + + + https://avatars.githubusercontent.com/u/8803931?s=40&v=4 + kazewong + https://github.com/kazewong + + + https://avatars.githubusercontent.com/u/20998300?s=40&v=4 + AlCap23 + https://github.com/AlCap23 @@ -146,44 +179,6 @@ - - JuliaLang/PackageCompiler.jl - https://github.com/JuliaLang/PackageCompiler.jl - Compile your Julia Package - https://github.com/JuliaLang/PackageCompiler.jl - Julia - #a270ba - 1,431 - 192 - 1 - - - https://avatars.githubusercontent.com/u/1282691?s=40&v=4 - KristofferC - https://github.com/KristofferC - - - https://avatars.githubusercontent.com/u/1010467?s=40&v=4 - SimonDanisch - https://github.com/SimonDanisch - - - https://avatars.githubusercontent.com/u/8380499?s=40&v=4 - lucatrv - https://github.com/lucatrv - - - https://avatars.githubusercontent.com/u/5619885?s=40&v=4 - DilumAluthge - https://github.com/DilumAluthge - - - https://avatars.githubusercontent.com/u/744411?s=40&v=4 - ViralBShah - https://github.com/ViralBShah - - - SciML/ModelingToolkit.jl https://github.com/SciML/ModelingToolkit.jl @@ -223,35 +218,40 @@ - CliMA/ClimaCore.jl - https://github.com/CliMA/ClimaCore.jl - CliMA model dycore - https://github.com/CliMA/ClimaCore.jl + JuliaLang/PackageCompiler.jl + https://github.com/JuliaLang/PackageCompiler.jl + Compile your Julia Package + https://github.com/JuliaLang/PackageCompiler.jl Julia #a270ba - 87 - 9 - 0 + 1,431 + 192 + 1 - https://avatars.githubusercontent.com/u/1880641?s=40&v=4 - charleskawczynski - https://github.com/charleskawczynski + https://avatars.githubusercontent.com/u/1282691?s=40&v=4 + KristofferC + https://github.com/KristofferC - https://avatars.githubusercontent.com/u/1692009?s=40&v=4 - simonbyrne - https://github.com/simonbyrne + https://avatars.githubusercontent.com/u/1010467?s=40&v=4 + SimonDanisch + https://github.com/SimonDanisch - https://avatars.githubusercontent.com/u/39932030?s=40&v=4 - valeriabarra - https://github.com/valeriabarra + https://avatars.githubusercontent.com/u/8380499?s=40&v=4 + lucatrv + https://github.com/lucatrv - https://avatars.githubusercontent.com/u/55154978?s=40&v=4 - sriharshakandala - https://github.com/sriharshakandala + https://avatars.githubusercontent.com/u/5619885?s=40&v=4 + DilumAluthge + https://github.com/DilumAluthge + + + https://avatars.githubusercontent.com/u/744411?s=40&v=4 + ViralBShah + https://github.com/ViralBShah diff --git a/data/daily/just.json b/data/daily/just.json index 8d72265b9fa1..cd4b8034db04 100644 --- a/data/daily/just.json +++ b/data/daily/just.json @@ -2,7 +2,7 @@ "title": "GitHub Just Languages Daily Trending", "description": "Daily Trending of Just Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pop-os/cosmic-epoch", @@ -10,7 +10,7 @@ "description": "Next generation Cosmic desktop environment", "language": "Just", "languageColor": "#384d54", - "stars": "3,199", + "stars": "3,200", "forks": "86", "addStars": "7", "contributors": [ diff --git a/data/daily/just.xml b/data/daily/just.xml index f2d35ddacc51..6bb94ac75833 100644 --- a/data/daily/just.xml +++ b/data/daily/just.xml @@ -3,7 +3,7 @@ GitHub Just Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Just Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pop-os/cosmic-epoch https://github.com/pop-os/cosmic-epoch @@ -11,7 +11,7 @@ https://github.com/pop-os/cosmic-epoch Just #384d54 - 3,199 + 3,200 86 7 diff --git a/data/daily/kaitai-struct.json b/data/daily/kaitai-struct.json index cf99554fdb88..48d53a703652 100644 --- a/data/daily/kaitai-struct.json +++ b/data/daily/kaitai-struct.json @@ -2,6 +2,6 @@ "title": "GitHub Kaitai-struct Languages Daily Trending", "description": "Daily Trending of Kaitai-struct Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kaitai-struct.xml b/data/daily/kaitai-struct.xml index 734f69e4a2a2..b69fd7ec9308 100644 --- a/data/daily/kaitai-struct.xml +++ b/data/daily/kaitai-struct.xml @@ -3,6 +3,6 @@ GitHub Kaitai-struct Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kaitai-struct Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kakounescript.json b/data/daily/kakounescript.json index 64667e99e7da..3768e83882ef 100644 --- a/data/daily/kakounescript.json +++ b/data/daily/kakounescript.json @@ -2,6 +2,6 @@ "title": "GitHub Kakounescript Languages Daily Trending", "description": "Daily Trending of Kakounescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kakounescript.xml b/data/daily/kakounescript.xml index 798e53802341..8a8825ea40f9 100644 --- a/data/daily/kakounescript.xml +++ b/data/daily/kakounescript.xml @@ -3,6 +3,6 @@ GitHub Kakounescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kakounescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kerboscript.json b/data/daily/kerboscript.json index 13164bfc710f..0a59d985b503 100644 --- a/data/daily/kerboscript.json +++ b/data/daily/kerboscript.json @@ -2,6 +2,6 @@ "title": "GitHub Kerboscript Languages Daily Trending", "description": "Daily Trending of Kerboscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kerboscript.xml b/data/daily/kerboscript.xml index 5c5efaec196f..967b08f0f946 100644 --- a/data/daily/kerboscript.xml +++ b/data/daily/kerboscript.xml @@ -3,6 +3,6 @@ GitHub Kerboscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kerboscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kicad-layout.json b/data/daily/kicad-layout.json index 627f0491d443..7158a31ad66f 100644 --- a/data/daily/kicad-layout.json +++ b/data/daily/kicad-layout.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-layout Languages Daily Trending", "description": "Daily Trending of Kicad-layout Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kicad-layout.xml b/data/daily/kicad-layout.xml index 007c3961ecc1..7faca11dda94 100644 --- a/data/daily/kicad-layout.xml +++ b/data/daily/kicad-layout.xml @@ -3,6 +3,6 @@ GitHub Kicad-layout Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kicad-layout Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kicad-legacy-layout.json b/data/daily/kicad-legacy-layout.json index a03d852bfc41..91d244ce55be 100644 --- a/data/daily/kicad-legacy-layout.json +++ b/data/daily/kicad-legacy-layout.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-legacy-layout Languages Daily Trending", "description": "Daily Trending of Kicad-legacy-layout Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kicad-legacy-layout.xml b/data/daily/kicad-legacy-layout.xml index 39417169fa88..0fca75b5f02f 100644 --- a/data/daily/kicad-legacy-layout.xml +++ b/data/daily/kicad-legacy-layout.xml @@ -3,6 +3,6 @@ GitHub Kicad-legacy-layout Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kicad-legacy-layout Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kicad-schematic.json b/data/daily/kicad-schematic.json index 2ea5ea2a2b64..91f7570aefd9 100644 --- a/data/daily/kicad-schematic.json +++ b/data/daily/kicad-schematic.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-schematic Languages Daily Trending", "description": "Daily Trending of Kicad-schematic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kicad-schematic.xml b/data/daily/kicad-schematic.xml index 9292937f9e0e..bfc4eec0a3eb 100644 --- a/data/daily/kicad-schematic.xml +++ b/data/daily/kicad-schematic.xml @@ -3,6 +3,6 @@ GitHub Kicad-schematic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kicad-schematic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kickstart.json b/data/daily/kickstart.json index f991c11552b5..f80d752ae551 100644 --- a/data/daily/kickstart.json +++ b/data/daily/kickstart.json @@ -2,6 +2,6 @@ "title": "GitHub Kickstart Languages Daily Trending", "description": "Daily Trending of Kickstart Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kickstart.xml b/data/daily/kickstart.xml index 327fdac59bcc..c90500bda196 100644 --- a/data/daily/kickstart.xml +++ b/data/daily/kickstart.xml @@ -3,6 +3,6 @@ GitHub Kickstart Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kickstart Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kit.json b/data/daily/kit.json index 5052bc444f6e..d39fabac905c 100644 --- a/data/daily/kit.json +++ b/data/daily/kit.json @@ -2,6 +2,6 @@ "title": "GitHub Kit Languages Daily Trending", "description": "Daily Trending of Kit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kit.xml b/data/daily/kit.xml index f6587c569538..5276c624c969 100644 --- a/data/daily/kit.xml +++ b/data/daily/kit.xml @@ -3,6 +3,6 @@ GitHub Kit Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kotlin.json b/data/daily/kotlin.json index f16e31fe81d7..b72e6448abfc 100644 --- a/data/daily/kotlin.json +++ b/data/daily/kotlin.json @@ -2,15 +2,79 @@ "title": "GitHub Kotlin Languages Daily Trending", "description": "Daily Trending of Kotlin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "tiann/KernelSU", + "url": "https://github.com/tiann/KernelSU", + "description": "A Kernel based root solution for Android", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "10,551", + "forks": "1,728", + "addStars": "13", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4233744?s=40&v=4", + "name": "tiann", + "url": "https://github.com/tiann" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30978685?s=40&v=4", + "name": "Ylarod", + "url": "https://github.com/Ylarod" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/134963561?s=40&v=4", + "name": "igormiguell", + "url": "https://github.com/igormiguell" + } + ] + }, + { + "title": "yairm210/Unciv", + "url": "https://github.com/yairm210/Unciv", + "description": "Open-source Android/Desktop remake of Civ V", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "8,570", + "forks": "1,576", + "addStars": "18", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8366208?s=40&v=4", + "name": "yairm210", + "url": "https://github.com/yairm210" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63000004?s=40&v=4", + "name": "SomeTroglodyte", + "url": "https://github.com/SomeTroglodyte" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/41149920?s=40&v=4", + "name": "Smashfanful", + "url": "https://github.com/Smashfanful" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/71121390?s=40&v=4", + "name": "xlenstra", + "url": "https://github.com/xlenstra" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11946570?s=40&v=4", + "name": "Ouaz", + "url": "https://github.com/Ouaz" + } + ] + }, { "title": "ReVanced/revanced-patches", "url": "https://github.com/ReVanced/revanced-patches", "description": "🧩 Patches for ReVanced", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "2,545", + "stars": "2,546", "forks": "294", "addStars": "37", "contributors": [ @@ -42,438 +106,480 @@ ] }, { - "title": "T8RIN/ImageToolbox", - "url": "https://github.com/T8RIN/ImageToolbox", - "description": "🖼️ Image Toolbox is a powerful app for advanced image manipulation. It offers dozens of features, from basic tools like crop and draw to filters, OCR, and a wide range of image processing options", + "title": "aniyomiorg/aniyomi", + "url": "https://github.com/aniyomiorg/aniyomi", + "description": "An app for manga and anime", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "4,076", - "forks": "195", - "addStars": "10", + "stars": "5,136", + "forks": "321", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/52178347?s=40&v=4", - "name": "T8RIN", - "url": "https://github.com/T8RIN" + "avatar": "https://avatars.githubusercontent.com/u/4098258?s=40&v=4", + "name": "arkon", + "url": "https://github.com/arkon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3521738?s=40&v=4", + "name": "inorichi", + "url": "https://github.com/inorichi" }, { - "avatar": "https://avatars.githubusercontent.com/u/68811535?s=40&v=4", - "name": "Emperormummy", - "url": "https://github.com/Emperormummy" + "avatar": "https://avatars.githubusercontent.com/u/43830312?s=40&v=4", + "name": "jmir1", + "url": "https://github.com/jmir1" }, { - "avatar": "https://avatars.githubusercontent.com/u/130994216?s=40&v=4", - "name": "xventivs", - "url": "https://github.com/xventivs" + "avatar": "https://avatars.githubusercontent.com/u/12537387?s=40&v=4", + "name": "ivaniskandar", + "url": "https://github.com/ivaniskandar" }, { - "avatar": "https://avatars.githubusercontent.com/u/133746295?s=40&v=4", - "name": "souffly007", - "url": "https://github.com/souffly007" + "avatar": "https://avatars.githubusercontent.com/u/59261191?s=40&v=4", + "name": "AntsyLich", + "url": "https://github.com/AntsyLich" } ] }, { - "title": "MatsuriDayo/NekoBoxForAndroid", - "url": "https://github.com/MatsuriDayo/NekoBoxForAndroid", - "description": "NekoBox for Android / sing-box / universal proxy toolchain for Android", + "title": "signalapp/Signal-Android", + "url": "https://github.com/signalapp/Signal-Android", + "description": "A private messenger for Android.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "11,909", - "forks": "995", - "addStars": "26", + "stars": "25,673", + "forks": "6,167", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/48624112?s=40&v=4", - "name": "arm64v8a", - "url": "https://github.com/arm64v8a" + "avatar": "https://avatars.githubusercontent.com/u/37311915?s=40&v=4", + "name": "greyson-signal", + "url": "https://github.com/greyson-signal" }, { - "avatar": "https://avatars.githubusercontent.com/u/37149302?s=40&v=4", - "name": "purofle", - "url": "https://github.com/purofle" + "avatar": "https://avatars.githubusercontent.com/u/54450162?s=40&v=4", + "name": "alex-signal", + "url": "https://github.com/alex-signal" }, { - "avatar": "https://avatars.githubusercontent.com/u/122191366?s=40&v=4", - "name": "Misaka-blog", - "url": "https://github.com/Misaka-blog" + "avatar": "https://avatars.githubusercontent.com/u/512439?s=40&v=4", + "name": "moxie0", + "url": "https://github.com/moxie0" }, { - "avatar": "https://avatars.githubusercontent.com/u/139959885?s=40&v=4", - "name": "xchacha20-poly1305", - "url": "https://github.com/xchacha20-poly1305" + "avatar": "https://avatars.githubusercontent.com/u/66265416?s=40&v=4", + "name": "cody-signal", + "url": "https://github.com/cody-signal" }, { - "avatar": "https://avatars.githubusercontent.com/u/6560862?s=40&v=4", - "name": "turikhay", - "url": "https://github.com/turikhay" + "avatar": "https://avatars.githubusercontent.com/u/48254818?s=40&v=4", + "name": "alan-signal", + "url": "https://github.com/alan-signal" } ] }, { - "title": "bannedbook/fanqiang", - "url": "https://github.com/bannedbook/fanqiang", - "description": "翻墙-科学上网", + "title": "d4rken-org/sdmaid-se", + "url": "https://github.com/d4rken-org/sdmaid-se", + "description": "SD Maid 2/SE is Android's most thorough cleaning tool.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "38,607", - "forks": "7,283", - "addStars": "5", + "stars": "2,773", + "forks": "432", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4361923?s=40&v=4", - "name": "bannedbook", - "url": "https://github.com/bannedbook" + "avatar": "https://avatars.githubusercontent.com/u/1439229?s=40&v=4", + "name": "d4rken", + "url": "https://github.com/d4rken" }, { - "avatar": "https://avatars.githubusercontent.com/u/2706151?s=40&v=4", - "name": "wu-wenxiang", - "url": "https://github.com/wu-wenxiang" + "avatar": "https://avatars.githubusercontent.com/u/24192522?s=40&v=4", + "name": "MithicSpirit", + "url": "https://github.com/MithicSpirit" }, { - "avatar": "https://avatars.githubusercontent.com/u/50905019?s=40&v=4", - "name": "crazyetiii", - "url": "https://github.com/crazyetiii" + "avatar": "https://avatars.githubusercontent.com/u/28185198?s=40&v=4", + "name": "yurtemre7", + "url": "https://github.com/yurtemre7" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7214961?s=40&v=4", + "name": "chaoscalm", + "url": "https://github.com/chaoscalm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7300310?s=40&v=4", + "name": "christantoan", + "url": "https://github.com/christantoan" } ] }, { - "title": "vfsfitvnm/ViMusic", - "url": "https://github.com/vfsfitvnm/ViMusic", - "description": "An Android application for streaming music from YouTube Music.", + "title": "ktorio/ktor", + "url": "https://github.com/ktorio/ktor", + "description": "Framework for quickly creating connected applications in Kotlin with minimal effort", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "9,135", - "forks": "906", - "addStars": "1", + "stars": "13,058", + "forks": "1,068", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/46219656?s=40&v=4", - "name": "vfsfitvnm", - "url": "https://github.com/vfsfitvnm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82752168?s=40&v=4", - "name": "Bnyro", - "url": "https://github.com/Bnyro" + "avatar": "https://avatars.githubusercontent.com/u/762598?s=40&v=4", + "name": "cy6erGn0m", + "url": "https://github.com/cy6erGn0m" }, { - "avatar": "https://avatars.githubusercontent.com/u/8887756?s=40&v=4", - "name": "offa", - "url": "https://github.com/offa" + "avatar": "https://avatars.githubusercontent.com/u/4290035?s=40&v=4", + "name": "e5l", + "url": "https://github.com/e5l" }, { - "avatar": "https://avatars.githubusercontent.com/u/8983976?s=40&v=4", - "name": "sriio", - "url": "https://github.com/sriio" + "avatar": "https://avatars.githubusercontent.com/u/616841?s=40&v=4", + "name": "orangy", + "url": "https://github.com/orangy" }, { - "avatar": "https://avatars.githubusercontent.com/u/11215000?s=40&v=4", - "name": "Surendrajat", - "url": "https://github.com/Surendrajat" + "avatar": "https://avatars.githubusercontent.com/u/16111479?s=40&v=4", + "name": "rsinukov", + "url": "https://github.com/rsinukov" } ] }, { - "title": "fcitx5-android/fcitx5-android", - "url": "https://github.com/fcitx5-android/fcitx5-android", - "description": "Fcitx5 input method framework and engines ported to Android", + "title": "firebase/quickstart-android", + "url": "https://github.com/firebase/quickstart-android", + "description": "Firebase Quickstart Samples for Android", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "3,061", - "forks": "180", - "addStars": "5", + "stars": "8,885", + "forks": "7,331", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13914967?s=40&v=4", - "name": "rocka", - "url": "https://github.com/rocka" + "avatar": "https://avatars.githubusercontent.com/u/8466666?s=40&v=4", + "name": "samtstern", + "url": "https://github.com/samtstern" }, { - "avatar": "https://avatars.githubusercontent.com/u/26041945?s=40&v=4", - "name": "berberman", - "url": "https://github.com/berberman" + "avatar": "https://avatars.githubusercontent.com/u/19979279?s=40&v=4", + "name": "dpebot", + "url": "https://github.com/dpebot" }, { - "avatar": "https://avatars.githubusercontent.com/u/26783539?s=40&v=4", - "name": "eagleoflqj", - "url": "https://github.com/eagleoflqj" + "avatar": "https://avatars.githubusercontent.com/u/16766726?s=40&v=4", + "name": "thatfiredev", + "url": "https://github.com/thatfiredev" }, { - "avatar": "https://avatars.githubusercontent.com/u/41381927?s=40&v=4", - "name": "keta1", - "url": "https://github.com/keta1" + "avatar": "https://avatars.githubusercontent.com/u/24443108?s=40&v=4", + "name": "kokoro-team", + "url": "https://github.com/kokoro-team" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2370200?s=40&v=4", + "name": "kroikie", + "url": "https://github.com/kroikie" } ] }, { - "title": "tiann/KernelSU", - "url": "https://github.com/tiann/KernelSU", - "description": "A Kernel based root solution for Android", + "title": "recloudstream/cloudstream", + "url": "https://github.com/recloudstream/cloudstream", + "description": "Android app for streaming and downloading media.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "10,547", - "forks": "1,728", - "addStars": "13", + "stars": "6,797", + "forks": "545", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4233744?s=40&v=4", - "name": "tiann", - "url": "https://github.com/tiann" + "avatar": "https://avatars.githubusercontent.com/u/11805592?s=40&v=4", + "name": "LagradOst", + "url": "https://github.com/LagradOst" }, { - "avatar": "https://avatars.githubusercontent.com/u/30978685?s=40&v=4", - "name": "Ylarod", - "url": "https://github.com/Ylarod" + "avatar": "https://avatars.githubusercontent.com/u/46196380?s=40&v=4", + "name": "Blatzar", + "url": "https://github.com/Blatzar" }, { - "avatar": "https://avatars.githubusercontent.com/u/134963561?s=40&v=4", - "name": "igormiguell", - "url": "https://github.com/igormiguell" + "avatar": "https://avatars.githubusercontent.com/u/18114966?s=40&v=4", + "name": "C10udburst", + "url": "https://github.com/C10udburst" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53124886?s=40&v=4", + "name": "ArjixWasTaken", + "url": "https://github.com/ArjixWasTaken" } ] }, { - "title": "android/identity-samples", - "url": "https://github.com/android/identity-samples", - "description": "Multiple samples showing the best practices in identity on Android.", + "title": "lizongying/my-tv-0", + "url": "https://github.com/lizongying/my-tv-0", + "description": "電視網絡視頻播放軟件,可以自定義視頻源", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "412", - "forks": "231", - "addStars": "4", + "stars": "2,015", + "forks": "287", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10564521?s=40&v=4", - "name": "ggfan", - "url": "https://github.com/ggfan" + "avatar": "https://avatars.githubusercontent.com/u/16871545?s=40&v=4", + "name": "lizongying", + "url": "https://github.com/lizongying" }, { - "avatar": "https://avatars.githubusercontent.com/u/10581063?s=40&v=4", - "name": "ksemenova", - "url": "https://github.com/ksemenova" + "avatar": "https://avatars.githubusercontent.com/u/8468732?s=40&v=4", + "name": "wq1977", + "url": "https://github.com/wq1977" }, { - "avatar": "https://avatars.githubusercontent.com/u/4154598?s=40&v=4", - "name": "codingjeremy", - "url": "https://github.com/codingjeremy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40764403?s=40&v=4", - "name": "niharika2810", - "url": "https://github.com/niharika2810" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/83780687?s=40&v=4", - "name": "ashnohe", - "url": "https://github.com/ashnohe" + "avatar": "https://avatars.githubusercontent.com/u/17667010?s=40&v=4", + "name": "fluidcat", + "url": "https://github.com/fluidcat" } ] }, { - "title": "videolan/vlc-android", - "url": "https://github.com/videolan/vlc-android", - "description": "VLC for Android, Android TV and ChromeOS", + "title": "JetBrains/kotlin", + "url": "https://github.com/JetBrains/kotlin", + "description": "The Kotlin Programming Language.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "2,766", - "forks": "634", - "addStars": "3", + "stars": "49,447", + "forks": "5,783", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5031161?s=40&v=4", - "name": "GeoffreyMetais", - "url": "https://github.com/GeoffreyMetais" + "avatar": "https://avatars.githubusercontent.com/u/292714?s=40&v=4", + "name": "udalov", + "url": "https://github.com/udalov" }, { - "avatar": "https://avatars.githubusercontent.com/u/9219282?s=40&v=4", - "name": "jbkempf", - "url": "https://github.com/jbkempf" + "avatar": "https://avatars.githubusercontent.com/u/1127631?s=40&v=4", + "name": "mglukhikh", + "url": "https://github.com/mglukhikh" }, { - "avatar": "https://avatars.githubusercontent.com/u/63602?s=40&v=4", - "name": "tguillem", - "url": "https://github.com/tguillem" + "avatar": "https://avatars.githubusercontent.com/u/908958?s=40&v=4", + "name": "goodwinnk", + "url": "https://github.com/goodwinnk" }, { - "avatar": "https://avatars.githubusercontent.com/u/10752510?s=40&v=4", - "name": "edwardcwang", - "url": "https://github.com/edwardcwang" + "avatar": "https://avatars.githubusercontent.com/u/14974409?s=40&v=4", + "name": "demiurg906", + "url": "https://github.com/demiurg906" }, { - "avatar": "https://avatars.githubusercontent.com/u/17705883?s=40&v=4", - "name": "xabroc", - "url": "https://github.com/xabroc" + "avatar": "https://avatars.githubusercontent.com/u/863207?s=40&v=4", + "name": "dzharkov", + "url": "https://github.com/dzharkov" } ] }, { - "title": "Arturo254/OpenTune", - "url": "https://github.com/Arturo254/OpenTune", - "description": "Un cliente de YouTube Music con Material Design 3, para Android", + "title": "deniscerri/ytdlnis", + "url": "https://github.com/deniscerri/ytdlnis", + "description": "Android Video/Audio Downloader app using yt-dlp", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "281", - "forks": "14", - "addStars": "3", + "stars": "4,124", + "forks": "146", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/87346871?s=40&v=4", - "name": "Arturo254", - "url": "https://github.com/Arturo254" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40720048?s=40&v=4", - "name": "AlessandroGalvan", - "url": "https://github.com/AlessandroGalvan" + "avatar": "https://avatars.githubusercontent.com/u/64997243?s=40&v=4", + "name": "deniscerri", + "url": "https://github.com/deniscerri" }, { - "avatar": "https://avatars.githubusercontent.com/u/31427850?s=40&v=4", - "name": "ImgBotApp", - "url": "https://github.com/ImgBotApp" + "avatar": "https://avatars.githubusercontent.com/u/75589932?s=40&v=4", + "name": "zaednasr", + "url": "https://github.com/zaednasr" }, { - "avatar": "https://avatars.githubusercontent.com/u/138934847?s=40&v=4", - "name": "Fabito02", - "url": "https://github.com/Fabito02" + "avatar": "https://avatars.githubusercontent.com/u/38974362?s=40&v=4", + "name": "AI-IMED", + "url": "https://github.com/AI-IMED" } ] }, { - "title": "ethereum-lists/chains", - "url": "https://github.com/ethereum-lists/chains", - "description": "provides metadata for chains", + "title": "nightscout/AndroidAPS", + "url": "https://github.com/nightscout/AndroidAPS", + "description": "Opensource automated insulin delivery system (closed loop)", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "8,891", - "forks": "6,650", - "addStars": "5", + "stars": "731", + "forks": "1,779", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/111600?s=40&v=4", - "name": "ligi", - "url": "https://github.com/ligi" + "avatar": "https://avatars.githubusercontent.com/u/11146458?s=40&v=4", + "name": "MilosKozak", + "url": "https://github.com/MilosKozak" }, { - "avatar": "https://avatars.githubusercontent.com/u/10136079?s=40&v=4", - "name": "pedrouid", - "url": "https://github.com/pedrouid" + "avatar": "https://avatars.githubusercontent.com/u/1732305?s=40&v=4", + "name": "jotomo", + "url": "https://github.com/jotomo" }, { - "avatar": "https://avatars.githubusercontent.com/u/88942434?s=40&v=4", - "name": "solidityx", - "url": "https://github.com/solidityx" + "avatar": "https://avatars.githubusercontent.com/u/52934600?s=40&v=4", + "name": "Philoul", + "url": "https://github.com/Philoul" }, { - "avatar": "https://avatars.githubusercontent.com/u/26237289?s=40&v=4", - "name": "3eph1r0th", - "url": "https://github.com/3eph1r0th" + "avatar": "https://avatars.githubusercontent.com/u/5500133?s=40&v=4", + "name": "andyrozman", + "url": "https://github.com/andyrozman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9692866?s=40&v=4", + "name": "AdrianLxM", + "url": "https://github.com/AdrianLxM" } ] }, { - "title": "recloudstream/cloudstream", - "url": "https://github.com/recloudstream/cloudstream", - "description": "Android app for streaming and downloading media.", + "title": "MatsuriDayo/NekoBoxForAndroid", + "url": "https://github.com/MatsuriDayo/NekoBoxForAndroid", + "description": "NekoBox for Android / sing-box / universal proxy toolchain for Android", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "6,795", - "forks": "545", - "addStars": "10", + "stars": "11,916", + "forks": "997", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11805592?s=40&v=4", - "name": "LagradOst", - "url": "https://github.com/LagradOst" + "avatar": "https://avatars.githubusercontent.com/u/48624112?s=40&v=4", + "name": "arm64v8a", + "url": "https://github.com/arm64v8a" }, { - "avatar": "https://avatars.githubusercontent.com/u/46196380?s=40&v=4", - "name": "Blatzar", - "url": "https://github.com/Blatzar" + "avatar": "https://avatars.githubusercontent.com/u/37149302?s=40&v=4", + "name": "purofle", + "url": "https://github.com/purofle" }, { - "avatar": "https://avatars.githubusercontent.com/u/18114966?s=40&v=4", - "name": "C10udburst", - "url": "https://github.com/C10udburst" + "avatar": "https://avatars.githubusercontent.com/u/122191366?s=40&v=4", + "name": "Misaka-blog", + "url": "https://github.com/Misaka-blog" }, { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/139959885?s=40&v=4", + "name": "xchacha20-poly1305", + "url": "https://github.com/xchacha20-poly1305" }, { - "avatar": "https://avatars.githubusercontent.com/u/53124886?s=40&v=4", - "name": "ArjixWasTaken", - "url": "https://github.com/ArjixWasTaken" + "avatar": "https://avatars.githubusercontent.com/u/6560862?s=40&v=4", + "name": "turikhay", + "url": "https://github.com/turikhay" } ] }, { - "title": "FooIbar/EhViewer", - "url": "https://github.com/FooIbar/EhViewer", - "description": "EhViewer overhauled with Material Design 3 and more, forked from https://github.com/Ehviewer-Overhauled/Ehviewer", + "title": "zacharee/InstallWithOptions", + "url": "https://github.com/zacharee/InstallWithOptions", + "description": "Simple-ish app using Shizuku to install APKs on-device with advanced options", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "2,533", - "forks": "98", - "addStars": "5", + "stars": "1,053", + "forks": "49", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/43900799?s=40&v=4", - "name": "asuka-mio", - "url": "https://github.com/asuka-mio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4018618?s=40&v=4", - "name": "seven332", - "url": "https://github.com/seven332" + "avatar": "https://avatars.githubusercontent.com/u/9020352?s=40&v=4", + "name": "zacharee", + "url": "https://github.com/zacharee" }, { - "avatar": "https://avatars.githubusercontent.com/u/118464521?s=40&v=4", - "name": "FooIbar", - "url": "https://github.com/FooIbar" + "avatar": "https://avatars.githubusercontent.com/u/75412448?s=40&v=4", + "name": "mikropsoft", + "url": "https://github.com/mikropsoft" }, { - "avatar": "https://avatars.githubusercontent.com/u/99822064?s=40&v=4", - "name": "revonateB0T", - "url": "https://github.com/revonateB0T" + "avatar": "https://avatars.githubusercontent.com/u/2564929?s=40&v=4", + "name": "marciozomb13", + "url": "https://github.com/marciozomb13" } ] }, { - "title": "keiyoushi/extensions-source", - "url": "https://github.com/keiyoushi/extensions-source", - "description": "Source code of extensions for Tachiyomi/Mihon and variants.", + "title": "amir1376/ab-download-manager", + "url": "https://github.com/amir1376/ab-download-manager", + "description": "A Download Manager that speeds up your downloads", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "2,216", - "forks": "496", - "addStars": "2", + "stars": "3,250", + "forks": "163", + "addStars": "85", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/92439990?s=40&v=4", - "name": "beer-psi", - "url": "https://github.com/beer-psi" + "avatar": "https://avatars.githubusercontent.com/u/38394888?s=40&v=4", + "name": "amir1376", + "url": "https://github.com/amir1376" }, { - "avatar": "https://avatars.githubusercontent.com/u/90949336?s=40&v=4", - "name": "bapeey", - "url": "https://github.com/bapeey" + "avatar": "https://avatars.githubusercontent.com/u/106905365?s=40&v=4", + "name": "dagimg-dot", + "url": "https://github.com/dagimg-dot" }, { - "avatar": "https://avatars.githubusercontent.com/u/48650614?s=40&v=4", - "name": "AwkwardPeak7", - "url": "https://github.com/AwkwardPeak7" + "avatar": "https://avatars.githubusercontent.com/u/56180050?s=40&v=4", + "name": "sitiom", + "url": "https://github.com/sitiom" }, { - "avatar": "https://avatars.githubusercontent.com/u/156493704?s=40&v=4", - "name": "choppeh", - "url": "https://github.com/choppeh" + "avatar": "https://avatars.githubusercontent.com/u/32303768?s=40&v=4", + "name": "peanut996", + "url": "https://github.com/peanut996" }, { - "avatar": "https://avatars.githubusercontent.com/u/13540478?s=40&v=4", - "name": "vetleledaal", - "url": "https://github.com/vetleledaal" + "avatar": "https://avatars.githubusercontent.com/u/60595427?s=40&v=4", + "name": "AliMehraji", + "url": "https://github.com/AliMehraji" + } + ] + }, + { + "title": "KotatsuApp/Kotatsu", + "url": "https://github.com/KotatsuApp/Kotatsu", + "description": "Manga reader for Android", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "4,218", + "forks": "186", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8948226?s=40&v=4", + "name": "Koitharu", + "url": "https://github.com/Koitharu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61558546?s=40&v=4", + "name": "ztimms73", + "url": "https://github.com/ztimms73" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/106192957?s=40&v=4", + "name": "Atrafon", + "url": "https://github.com/Atrafon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/88676873?s=40&v=4", + "name": "oersen", + "url": "https://github.com/oersen" } ] }, @@ -483,7 +589,7 @@ "description": "AnkiDroid: Anki flashcards on Android. Your secret trick to achieve superhuman information retention.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "8,740", + "stars": "8,744", "forks": "2,244", "addStars": "8", "contributors": [ @@ -515,61 +621,34 @@ ] }, { - "title": "YenalyLiew/Han1meViewer", - "url": "https://github.com/YenalyLiew/Han1meViewer", - "description": "An Unofficial Hanime1.me Application for Android.", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "1,884", - "forks": "131", - "addStars": "11", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/92662107?s=40&v=4", - "name": "YenalyLiew", - "url": "https://github.com/YenalyLiew" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/111511925?s=40&v=4", - "name": "NeKoOuO", - "url": "https://github.com/NeKoOuO" - } - ] - }, - { - "title": "JunkFood02/Seal", - "url": "https://github.com/JunkFood02/Seal", - "description": "🦭 Video/Audio Downloader for Android, based on yt-dlp, designed with Material You", + "title": "anilbeesetti/nextplayer", + "url": "https://github.com/anilbeesetti/nextplayer", + "description": "An Android native video player", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "11,843", - "forks": "514", - "addStars": "14", + "stars": "1,858", + "forks": "84", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/69683722?s=40&v=4", - "name": "JunkFood02", - "url": "https://github.com/JunkFood02" + "avatar": "https://avatars.githubusercontent.com/u/66936649?s=40&v=4", + "name": "anilbeesetti", + "url": "https://github.com/anilbeesetti" }, { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" + "avatar": "https://avatars.githubusercontent.com/u/12777771?s=40&v=4", + "name": "VasilisKos", + "url": "https://github.com/VasilisKos" }, { - "avatar": "https://avatars.githubusercontent.com/u/41828058?s=40&v=4", - "name": "Marco-9456", - "url": "https://github.com/Marco-9456" + "avatar": "https://avatars.githubusercontent.com/u/60492161?s=40&v=4", + "name": "ShareASmile", + "url": "https://github.com/ShareASmile" }, { - "avatar": "https://avatars.githubusercontent.com/u/30853081?s=40&v=4", - "name": "chiyi4488", - "url": "https://github.com/chiyi4488" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47475676?s=40&v=4", - "name": "Linerly", - "url": "https://github.com/Linerly" + "avatar": "https://avatars.githubusercontent.com/u/87907576?s=40&v=4", + "name": "ygxbnet", + "url": "https://github.com/ygxbnet" } ] }, @@ -579,7 +658,7 @@ "description": "Using system APIs directly with adb/root privileges from normal apps through a Java process started with app_process.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "11,347", + "stars": "11,349", "forks": "652", "addStars": "18", "contributors": [ @@ -609,97 +688,6 @@ "url": "https://github.com/MES-mitutti" } ] - }, - { - "title": "zacharee/InstallWithOptions", - "url": "https://github.com/zacharee/InstallWithOptions", - "description": "Simple-ish app using Shizuku to install APKs on-device with advanced options", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "1,053", - "forks": "49", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9020352?s=40&v=4", - "name": "zacharee", - "url": "https://github.com/zacharee" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/75412448?s=40&v=4", - "name": "mikropsoft", - "url": "https://github.com/mikropsoft" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2564929?s=40&v=4", - "name": "marciozomb13", - "url": "https://github.com/marciozomb13" - } - ] - }, - { - "title": "android/codelab-android-compose", - "url": "https://github.com/android/codelab-android-compose", - "description": "", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "1,459", - "forks": "666", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1113976?s=40&v=4", - "name": "yrezgui", - "url": "https://github.com/yrezgui" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6952116?s=40&v=4", - "name": "JolandaVerhoef", - "url": "https://github.com/JolandaVerhoef" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7014464?s=40&v=4", - "name": "manuelvicnt", - "url": "https://github.com/manuelvicnt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2998890?s=40&v=4", - "name": "florina-muntenescu", - "url": "https://github.com/florina-muntenescu" - } - ] - }, - { - "title": "jellyfin/jellyfin-androidtv", - "url": "https://github.com/jellyfin/jellyfin-androidtv", - "description": "Android TV Client for Jellyfin", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "2,898", - "forks": "491", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2305178?s=40&v=4", - "name": "nielsvanvelzen", - "url": "https://github.com/nielsvanvelzen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3450688?s=40&v=4", - "name": "thornbill", - "url": "https://github.com/thornbill" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2727561?s=40&v=4", - "name": "ebr11", - "url": "https://github.com/ebr11" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4700006?s=40&v=4", - "name": "WWWesten", - "url": "https://github.com/WWWesten" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/kotlin.xml b/data/daily/kotlin.xml index 8053e71d88c3..3c7218d08a2f 100644 --- a/data/daily/kotlin.xml +++ b/data/daily/kotlin.xml @@ -3,7 +3,73 @@ GitHub Kotlin Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kotlin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + tiann/KernelSU + https://github.com/tiann/KernelSU + A Kernel based root solution for Android + https://github.com/tiann/KernelSU + Kotlin + #A97BFF + 10,551 + 1,728 + 13 + + + https://avatars.githubusercontent.com/u/4233744?s=40&v=4 + tiann + https://github.com/tiann + + + https://avatars.githubusercontent.com/u/30978685?s=40&v=4 + Ylarod + https://github.com/Ylarod + + + https://avatars.githubusercontent.com/u/134963561?s=40&v=4 + igormiguell + https://github.com/igormiguell + + + + + yairm210/Unciv + https://github.com/yairm210/Unciv + Open-source Android/Desktop remake of Civ V + https://github.com/yairm210/Unciv + Kotlin + #A97BFF + 8,570 + 1,576 + 18 + + + https://avatars.githubusercontent.com/u/8366208?s=40&v=4 + yairm210 + https://github.com/yairm210 + + + https://avatars.githubusercontent.com/u/63000004?s=40&v=4 + SomeTroglodyte + https://github.com/SomeTroglodyte + + + https://avatars.githubusercontent.com/u/41149920?s=40&v=4 + Smashfanful + https://github.com/Smashfanful + + + https://avatars.githubusercontent.com/u/71121390?s=40&v=4 + xlenstra + https://github.com/xlenstra + + + https://avatars.githubusercontent.com/u/11946570?s=40&v=4 + Ouaz + https://github.com/Ouaz + + + ReVanced/revanced-patches https://github.com/ReVanced/revanced-patches @@ -11,7 +77,7 @@ https://github.com/ReVanced/revanced-patches Kotlin #A97BFF - 2,545 + 2,546 294 37 @@ -43,451 +109,494 @@ - T8RIN/ImageToolbox - https://github.com/T8RIN/ImageToolbox - 🖼️ Image Toolbox is a powerful app for advanced image manipulation. It offers dozens of features, from basic tools like crop and draw to filters, OCR, and a wide range of image processing options - https://github.com/T8RIN/ImageToolbox + aniyomiorg/aniyomi + https://github.com/aniyomiorg/aniyomi + An app for manga and anime + https://github.com/aniyomiorg/aniyomi Kotlin #A97BFF - 4,076 - 195 - 10 + 5,136 + 321 + 7 - https://avatars.githubusercontent.com/u/52178347?s=40&v=4 - T8RIN - https://github.com/T8RIN + https://avatars.githubusercontent.com/u/4098258?s=40&v=4 + arkon + https://github.com/arkon + + + https://avatars.githubusercontent.com/u/3521738?s=40&v=4 + inorichi + https://github.com/inorichi - https://avatars.githubusercontent.com/u/68811535?s=40&v=4 - Emperormummy - https://github.com/Emperormummy + https://avatars.githubusercontent.com/u/43830312?s=40&v=4 + jmir1 + https://github.com/jmir1 - https://avatars.githubusercontent.com/u/130994216?s=40&v=4 - xventivs - https://github.com/xventivs + https://avatars.githubusercontent.com/u/12537387?s=40&v=4 + ivaniskandar + https://github.com/ivaniskandar - https://avatars.githubusercontent.com/u/133746295?s=40&v=4 - souffly007 - https://github.com/souffly007 + https://avatars.githubusercontent.com/u/59261191?s=40&v=4 + AntsyLich + https://github.com/AntsyLich - MatsuriDayo/NekoBoxForAndroid - https://github.com/MatsuriDayo/NekoBoxForAndroid - NekoBox for Android / sing-box / universal proxy toolchain for Android - https://github.com/MatsuriDayo/NekoBoxForAndroid + signalapp/Signal-Android + https://github.com/signalapp/Signal-Android + A private messenger for Android. + https://github.com/signalapp/Signal-Android Kotlin #A97BFF - 11,909 - 995 - 26 + 25,673 + 6,167 + 6 - https://avatars.githubusercontent.com/u/48624112?s=40&v=4 - arm64v8a - https://github.com/arm64v8a + https://avatars.githubusercontent.com/u/37311915?s=40&v=4 + greyson-signal + https://github.com/greyson-signal - https://avatars.githubusercontent.com/u/37149302?s=40&v=4 - purofle - https://github.com/purofle + https://avatars.githubusercontent.com/u/54450162?s=40&v=4 + alex-signal + https://github.com/alex-signal - https://avatars.githubusercontent.com/u/122191366?s=40&v=4 - Misaka-blog - https://github.com/Misaka-blog + https://avatars.githubusercontent.com/u/512439?s=40&v=4 + moxie0 + https://github.com/moxie0 - https://avatars.githubusercontent.com/u/139959885?s=40&v=4 - xchacha20-poly1305 - https://github.com/xchacha20-poly1305 + https://avatars.githubusercontent.com/u/66265416?s=40&v=4 + cody-signal + https://github.com/cody-signal - https://avatars.githubusercontent.com/u/6560862?s=40&v=4 - turikhay - https://github.com/turikhay + https://avatars.githubusercontent.com/u/48254818?s=40&v=4 + alan-signal + https://github.com/alan-signal - bannedbook/fanqiang - https://github.com/bannedbook/fanqiang - 翻墙-科学上网 - https://github.com/bannedbook/fanqiang + d4rken-org/sdmaid-se + https://github.com/d4rken-org/sdmaid-se + SD Maid 2/SE is Android's most thorough cleaning tool. + https://github.com/d4rken-org/sdmaid-se Kotlin #A97BFF - 38,607 - 7,283 - 5 + 2,773 + 432 + 8 - https://avatars.githubusercontent.com/u/4361923?s=40&v=4 - bannedbook - https://github.com/bannedbook + https://avatars.githubusercontent.com/u/1439229?s=40&v=4 + d4rken + https://github.com/d4rken - https://avatars.githubusercontent.com/u/2706151?s=40&v=4 - wu-wenxiang - https://github.com/wu-wenxiang + https://avatars.githubusercontent.com/u/24192522?s=40&v=4 + MithicSpirit + https://github.com/MithicSpirit - https://avatars.githubusercontent.com/u/50905019?s=40&v=4 - crazyetiii - https://github.com/crazyetiii + https://avatars.githubusercontent.com/u/28185198?s=40&v=4 + yurtemre7 + https://github.com/yurtemre7 + + + https://avatars.githubusercontent.com/u/7214961?s=40&v=4 + chaoscalm + https://github.com/chaoscalm + + + https://avatars.githubusercontent.com/u/7300310?s=40&v=4 + christantoan + https://github.com/christantoan - vfsfitvnm/ViMusic - https://github.com/vfsfitvnm/ViMusic - An Android application for streaming music from YouTube Music. - https://github.com/vfsfitvnm/ViMusic + ktorio/ktor + https://github.com/ktorio/ktor + Framework for quickly creating connected applications in Kotlin with minimal effort + https://github.com/ktorio/ktor Kotlin #A97BFF - 9,135 - 906 - 1 + 13,058 + 1,068 + 4 - https://avatars.githubusercontent.com/u/46219656?s=40&v=4 - vfsfitvnm - https://github.com/vfsfitvnm - - - https://avatars.githubusercontent.com/u/82752168?s=40&v=4 - Bnyro - https://github.com/Bnyro + https://avatars.githubusercontent.com/u/762598?s=40&v=4 + cy6erGn0m + https://github.com/cy6erGn0m - https://avatars.githubusercontent.com/u/8887756?s=40&v=4 - offa - https://github.com/offa + https://avatars.githubusercontent.com/u/4290035?s=40&v=4 + e5l + https://github.com/e5l - https://avatars.githubusercontent.com/u/8983976?s=40&v=4 - sriio - https://github.com/sriio + https://avatars.githubusercontent.com/u/616841?s=40&v=4 + orangy + https://github.com/orangy - https://avatars.githubusercontent.com/u/11215000?s=40&v=4 - Surendrajat - https://github.com/Surendrajat + https://avatars.githubusercontent.com/u/16111479?s=40&v=4 + rsinukov + https://github.com/rsinukov - fcitx5-android/fcitx5-android - https://github.com/fcitx5-android/fcitx5-android - Fcitx5 input method framework and engines ported to Android - https://github.com/fcitx5-android/fcitx5-android + firebase/quickstart-android + https://github.com/firebase/quickstart-android + Firebase Quickstart Samples for Android + https://github.com/firebase/quickstart-android Kotlin #A97BFF - 3,061 - 180 - 5 + 8,885 + 7,331 + 0 - https://avatars.githubusercontent.com/u/13914967?s=40&v=4 - rocka - https://github.com/rocka + https://avatars.githubusercontent.com/u/8466666?s=40&v=4 + samtstern + https://github.com/samtstern - https://avatars.githubusercontent.com/u/26041945?s=40&v=4 - berberman - https://github.com/berberman + https://avatars.githubusercontent.com/u/19979279?s=40&v=4 + dpebot + https://github.com/dpebot - https://avatars.githubusercontent.com/u/26783539?s=40&v=4 - eagleoflqj - https://github.com/eagleoflqj + https://avatars.githubusercontent.com/u/16766726?s=40&v=4 + thatfiredev + https://github.com/thatfiredev - https://avatars.githubusercontent.com/u/41381927?s=40&v=4 - keta1 - https://github.com/keta1 + https://avatars.githubusercontent.com/u/24443108?s=40&v=4 + kokoro-team + https://github.com/kokoro-team + + + https://avatars.githubusercontent.com/u/2370200?s=40&v=4 + kroikie + https://github.com/kroikie - tiann/KernelSU - https://github.com/tiann/KernelSU - A Kernel based root solution for Android - https://github.com/tiann/KernelSU + recloudstream/cloudstream + https://github.com/recloudstream/cloudstream + Android app for streaming and downloading media. + https://github.com/recloudstream/cloudstream Kotlin #A97BFF - 10,547 - 1,728 - 13 + 6,797 + 545 + 10 - https://avatars.githubusercontent.com/u/4233744?s=40&v=4 - tiann - https://github.com/tiann + https://avatars.githubusercontent.com/u/11805592?s=40&v=4 + LagradOst + https://github.com/LagradOst - https://avatars.githubusercontent.com/u/30978685?s=40&v=4 - Ylarod - https://github.com/Ylarod + https://avatars.githubusercontent.com/u/46196380?s=40&v=4 + Blatzar + https://github.com/Blatzar - https://avatars.githubusercontent.com/u/134963561?s=40&v=4 - igormiguell - https://github.com/igormiguell + https://avatars.githubusercontent.com/u/18114966?s=40&v=4 + C10udburst + https://github.com/C10udburst + + + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user + + + https://avatars.githubusercontent.com/u/53124886?s=40&v=4 + ArjixWasTaken + https://github.com/ArjixWasTaken - android/identity-samples - https://github.com/android/identity-samples - Multiple samples showing the best practices in identity on Android. - https://github.com/android/identity-samples + lizongying/my-tv-0 + https://github.com/lizongying/my-tv-0 + 電視網絡視頻播放軟件,可以自定義視頻源 + https://github.com/lizongying/my-tv-0 Kotlin #A97BFF - 412 - 231 - 4 + 2,015 + 287 + 12 - https://avatars.githubusercontent.com/u/10564521?s=40&v=4 - ggfan - https://github.com/ggfan + https://avatars.githubusercontent.com/u/16871545?s=40&v=4 + lizongying + https://github.com/lizongying - https://avatars.githubusercontent.com/u/10581063?s=40&v=4 - ksemenova - https://github.com/ksemenova + https://avatars.githubusercontent.com/u/8468732?s=40&v=4 + wq1977 + https://github.com/wq1977 - https://avatars.githubusercontent.com/u/4154598?s=40&v=4 - codingjeremy - https://github.com/codingjeremy - - - https://avatars.githubusercontent.com/u/40764403?s=40&v=4 - niharika2810 - https://github.com/niharika2810 - - - https://avatars.githubusercontent.com/u/83780687?s=40&v=4 - ashnohe - https://github.com/ashnohe + https://avatars.githubusercontent.com/u/17667010?s=40&v=4 + fluidcat + https://github.com/fluidcat - videolan/vlc-android - https://github.com/videolan/vlc-android - VLC for Android, Android TV and ChromeOS - https://github.com/videolan/vlc-android + JetBrains/kotlin + https://github.com/JetBrains/kotlin + The Kotlin Programming Language. + https://github.com/JetBrains/kotlin Kotlin #A97BFF - 2,766 - 634 - 3 + 49,447 + 5,783 + 8 - https://avatars.githubusercontent.com/u/5031161?s=40&v=4 - GeoffreyMetais - https://github.com/GeoffreyMetais + https://avatars.githubusercontent.com/u/292714?s=40&v=4 + udalov + https://github.com/udalov - https://avatars.githubusercontent.com/u/9219282?s=40&v=4 - jbkempf - https://github.com/jbkempf + https://avatars.githubusercontent.com/u/1127631?s=40&v=4 + mglukhikh + https://github.com/mglukhikh - https://avatars.githubusercontent.com/u/63602?s=40&v=4 - tguillem - https://github.com/tguillem + https://avatars.githubusercontent.com/u/908958?s=40&v=4 + goodwinnk + https://github.com/goodwinnk - https://avatars.githubusercontent.com/u/10752510?s=40&v=4 - edwardcwang - https://github.com/edwardcwang + https://avatars.githubusercontent.com/u/14974409?s=40&v=4 + demiurg906 + https://github.com/demiurg906 - https://avatars.githubusercontent.com/u/17705883?s=40&v=4 - xabroc - https://github.com/xabroc + https://avatars.githubusercontent.com/u/863207?s=40&v=4 + dzharkov + https://github.com/dzharkov - Arturo254/OpenTune - https://github.com/Arturo254/OpenTune - Un cliente de YouTube Music con Material Design 3, para Android - https://github.com/Arturo254/OpenTune + deniscerri/ytdlnis + https://github.com/deniscerri/ytdlnis + Android Video/Audio Downloader app using yt-dlp + https://github.com/deniscerri/ytdlnis Kotlin #A97BFF - 281 - 14 - 3 + 4,124 + 146 + 10 - https://avatars.githubusercontent.com/u/87346871?s=40&v=4 - Arturo254 - https://github.com/Arturo254 - - - https://avatars.githubusercontent.com/u/40720048?s=40&v=4 - AlessandroGalvan - https://github.com/AlessandroGalvan + https://avatars.githubusercontent.com/u/64997243?s=40&v=4 + deniscerri + https://github.com/deniscerri - https://avatars.githubusercontent.com/u/31427850?s=40&v=4 - ImgBotApp - https://github.com/ImgBotApp + https://avatars.githubusercontent.com/u/75589932?s=40&v=4 + zaednasr + https://github.com/zaednasr - https://avatars.githubusercontent.com/u/138934847?s=40&v=4 - Fabito02 - https://github.com/Fabito02 + https://avatars.githubusercontent.com/u/38974362?s=40&v=4 + AI-IMED + https://github.com/AI-IMED - ethereum-lists/chains - https://github.com/ethereum-lists/chains - provides metadata for chains - https://github.com/ethereum-lists/chains + nightscout/AndroidAPS + https://github.com/nightscout/AndroidAPS + Opensource automated insulin delivery system (closed loop) + https://github.com/nightscout/AndroidAPS Kotlin #A97BFF - 8,891 - 6,650 - 5 + 731 + 1,779 + 1 - https://avatars.githubusercontent.com/u/111600?s=40&v=4 - ligi - https://github.com/ligi + https://avatars.githubusercontent.com/u/11146458?s=40&v=4 + MilosKozak + https://github.com/MilosKozak - https://avatars.githubusercontent.com/u/10136079?s=40&v=4 - pedrouid - https://github.com/pedrouid + https://avatars.githubusercontent.com/u/1732305?s=40&v=4 + jotomo + https://github.com/jotomo - https://avatars.githubusercontent.com/u/88942434?s=40&v=4 - solidityx - https://github.com/solidityx + https://avatars.githubusercontent.com/u/52934600?s=40&v=4 + Philoul + https://github.com/Philoul - https://avatars.githubusercontent.com/u/26237289?s=40&v=4 - 3eph1r0th - https://github.com/3eph1r0th + https://avatars.githubusercontent.com/u/5500133?s=40&v=4 + andyrozman + https://github.com/andyrozman + + + https://avatars.githubusercontent.com/u/9692866?s=40&v=4 + AdrianLxM + https://github.com/AdrianLxM - recloudstream/cloudstream - https://github.com/recloudstream/cloudstream - Android app for streaming and downloading media. - https://github.com/recloudstream/cloudstream + MatsuriDayo/NekoBoxForAndroid + https://github.com/MatsuriDayo/NekoBoxForAndroid + NekoBox for Android / sing-box / universal proxy toolchain for Android + https://github.com/MatsuriDayo/NekoBoxForAndroid Kotlin #A97BFF - 6,795 - 545 - 10 + 11,916 + 997 + 26 - https://avatars.githubusercontent.com/u/11805592?s=40&v=4 - LagradOst - https://github.com/LagradOst + https://avatars.githubusercontent.com/u/48624112?s=40&v=4 + arm64v8a + https://github.com/arm64v8a - https://avatars.githubusercontent.com/u/46196380?s=40&v=4 - Blatzar - https://github.com/Blatzar + https://avatars.githubusercontent.com/u/37149302?s=40&v=4 + purofle + https://github.com/purofle - https://avatars.githubusercontent.com/u/18114966?s=40&v=4 - C10udburst - https://github.com/C10udburst + https://avatars.githubusercontent.com/u/122191366?s=40&v=4 + Misaka-blog + https://github.com/Misaka-blog - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/139959885?s=40&v=4 + xchacha20-poly1305 + https://github.com/xchacha20-poly1305 - https://avatars.githubusercontent.com/u/53124886?s=40&v=4 - ArjixWasTaken - https://github.com/ArjixWasTaken + https://avatars.githubusercontent.com/u/6560862?s=40&v=4 + turikhay + https://github.com/turikhay - FooIbar/EhViewer - https://github.com/FooIbar/EhViewer - EhViewer overhauled with Material Design 3 and more, forked from https://github.com/Ehviewer-Overhauled/Ehviewer - https://github.com/FooIbar/EhViewer + zacharee/InstallWithOptions + https://github.com/zacharee/InstallWithOptions + Simple-ish app using Shizuku to install APKs on-device with advanced options + https://github.com/zacharee/InstallWithOptions Kotlin #A97BFF - 2,533 - 98 - 5 + 1,053 + 49 + 9 - https://avatars.githubusercontent.com/u/43900799?s=40&v=4 - asuka-mio - https://github.com/asuka-mio - - - https://avatars.githubusercontent.com/u/4018618?s=40&v=4 - seven332 - https://github.com/seven332 + https://avatars.githubusercontent.com/u/9020352?s=40&v=4 + zacharee + https://github.com/zacharee - https://avatars.githubusercontent.com/u/118464521?s=40&v=4 - FooIbar - https://github.com/FooIbar + https://avatars.githubusercontent.com/u/75412448?s=40&v=4 + mikropsoft + https://github.com/mikropsoft - https://avatars.githubusercontent.com/u/99822064?s=40&v=4 - revonateB0T - https://github.com/revonateB0T + https://avatars.githubusercontent.com/u/2564929?s=40&v=4 + marciozomb13 + https://github.com/marciozomb13 - keiyoushi/extensions-source - https://github.com/keiyoushi/extensions-source - Source code of extensions for Tachiyomi/Mihon and variants. - https://github.com/keiyoushi/extensions-source + amir1376/ab-download-manager + https://github.com/amir1376/ab-download-manager + A Download Manager that speeds up your downloads + https://github.com/amir1376/ab-download-manager Kotlin #A97BFF - 2,216 - 496 - 2 + 3,250 + 163 + 85 - https://avatars.githubusercontent.com/u/92439990?s=40&v=4 - beer-psi - https://github.com/beer-psi + https://avatars.githubusercontent.com/u/38394888?s=40&v=4 + amir1376 + https://github.com/amir1376 - https://avatars.githubusercontent.com/u/90949336?s=40&v=4 - bapeey - https://github.com/bapeey + https://avatars.githubusercontent.com/u/106905365?s=40&v=4 + dagimg-dot + https://github.com/dagimg-dot - https://avatars.githubusercontent.com/u/48650614?s=40&v=4 - AwkwardPeak7 - https://github.com/AwkwardPeak7 + https://avatars.githubusercontent.com/u/56180050?s=40&v=4 + sitiom + https://github.com/sitiom - https://avatars.githubusercontent.com/u/156493704?s=40&v=4 - choppeh - https://github.com/choppeh + https://avatars.githubusercontent.com/u/32303768?s=40&v=4 + peanut996 + https://github.com/peanut996 - https://avatars.githubusercontent.com/u/13540478?s=40&v=4 - vetleledaal - https://github.com/vetleledaal + https://avatars.githubusercontent.com/u/60595427?s=40&v=4 + AliMehraji + https://github.com/AliMehraji + + + + + KotatsuApp/Kotatsu + https://github.com/KotatsuApp/Kotatsu + Manga reader for Android + https://github.com/KotatsuApp/Kotatsu + Kotlin + #A97BFF + 4,218 + 186 + 7 + + + https://avatars.githubusercontent.com/u/8948226?s=40&v=4 + Koitharu + https://github.com/Koitharu + + + https://avatars.githubusercontent.com/u/61558546?s=40&v=4 + ztimms73 + https://github.com/ztimms73 + + + https://avatars.githubusercontent.com/u/106192957?s=40&v=4 + Atrafon + https://github.com/Atrafon + + + https://avatars.githubusercontent.com/u/88676873?s=40&v=4 + oersen + https://github.com/oersen @@ -498,7 +607,7 @@ https://github.com/ankidroid/Anki-Android Kotlin #A97BFF - 8,740 + 8,744 2,244 8 @@ -530,63 +639,35 @@ - YenalyLiew/Han1meViewer - https://github.com/YenalyLiew/Han1meViewer - An Unofficial Hanime1.me Application for Android. - https://github.com/YenalyLiew/Han1meViewer - Kotlin - #A97BFF - 1,884 - 131 - 11 - - - https://avatars.githubusercontent.com/u/92662107?s=40&v=4 - YenalyLiew - https://github.com/YenalyLiew - - - https://avatars.githubusercontent.com/u/111511925?s=40&v=4 - NeKoOuO - https://github.com/NeKoOuO - - - - - JunkFood02/Seal - https://github.com/JunkFood02/Seal - 🦭 Video/Audio Downloader for Android, based on yt-dlp, designed with Material You - https://github.com/JunkFood02/Seal + anilbeesetti/nextplayer + https://github.com/anilbeesetti/nextplayer + An Android native video player + https://github.com/anilbeesetti/nextplayer Kotlin #A97BFF - 11,843 - 514 - 14 + 1,858 + 84 + 7 - https://avatars.githubusercontent.com/u/69683722?s=40&v=4 - JunkFood02 - https://github.com/JunkFood02 + https://avatars.githubusercontent.com/u/66936649?s=40&v=4 + anilbeesetti + https://github.com/anilbeesetti - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate + https://avatars.githubusercontent.com/u/12777771?s=40&v=4 + VasilisKos + https://github.com/VasilisKos - https://avatars.githubusercontent.com/u/41828058?s=40&v=4 - Marco-9456 - https://github.com/Marco-9456 + https://avatars.githubusercontent.com/u/60492161?s=40&v=4 + ShareASmile + https://github.com/ShareASmile - https://avatars.githubusercontent.com/u/30853081?s=40&v=4 - chiyi4488 - https://github.com/chiyi4488 - - - https://avatars.githubusercontent.com/u/47475676?s=40&v=4 - Linerly - https://github.com/Linerly + https://avatars.githubusercontent.com/u/87907576?s=40&v=4 + ygxbnet + https://github.com/ygxbnet @@ -597,7 +678,7 @@ https://github.com/RikkaApps/Shizuku Kotlin #A97BFF - 11,347 + 11,349 652 18 @@ -628,99 +709,5 @@ - - zacharee/InstallWithOptions - https://github.com/zacharee/InstallWithOptions - Simple-ish app using Shizuku to install APKs on-device with advanced options - https://github.com/zacharee/InstallWithOptions - Kotlin - #A97BFF - 1,053 - 49 - 9 - - - https://avatars.githubusercontent.com/u/9020352?s=40&v=4 - zacharee - https://github.com/zacharee - - - https://avatars.githubusercontent.com/u/75412448?s=40&v=4 - mikropsoft - https://github.com/mikropsoft - - - https://avatars.githubusercontent.com/u/2564929?s=40&v=4 - marciozomb13 - https://github.com/marciozomb13 - - - - - android/codelab-android-compose - https://github.com/android/codelab-android-compose - - https://github.com/android/codelab-android-compose - Kotlin - #A97BFF - 1,459 - 666 - 1 - - - https://avatars.githubusercontent.com/u/1113976?s=40&v=4 - yrezgui - https://github.com/yrezgui - - - https://avatars.githubusercontent.com/u/6952116?s=40&v=4 - JolandaVerhoef - https://github.com/JolandaVerhoef - - - https://avatars.githubusercontent.com/u/7014464?s=40&v=4 - manuelvicnt - https://github.com/manuelvicnt - - - https://avatars.githubusercontent.com/u/2998890?s=40&v=4 - florina-muntenescu - https://github.com/florina-muntenescu - - - - - jellyfin/jellyfin-androidtv - https://github.com/jellyfin/jellyfin-androidtv - Android TV Client for Jellyfin - https://github.com/jellyfin/jellyfin-androidtv - Kotlin - #A97BFF - 2,898 - 491 - 3 - - - https://avatars.githubusercontent.com/u/2305178?s=40&v=4 - nielsvanvelzen - https://github.com/nielsvanvelzen - - - https://avatars.githubusercontent.com/u/3450688?s=40&v=4 - thornbill - https://github.com/thornbill - - - https://avatars.githubusercontent.com/u/2727561?s=40&v=4 - ebr11 - https://github.com/ebr11 - - - https://avatars.githubusercontent.com/u/4700006?s=40&v=4 - WWWesten - https://github.com/WWWesten - - - \ No newline at end of file diff --git a/data/daily/krl.json b/data/daily/krl.json index 40fb739d419a..7a7894b3b04d 100644 --- a/data/daily/krl.json +++ b/data/daily/krl.json @@ -2,6 +2,6 @@ "title": "GitHub Krl Languages Daily Trending", "description": "Daily Trending of Krl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/krl.xml b/data/daily/krl.xml index 87e97684b594..6194c9524119 100644 --- a/data/daily/krl.xml +++ b/data/daily/krl.xml @@ -3,6 +3,6 @@ GitHub Krl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Krl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kusto.json b/data/daily/kusto.json index 26068d49143a..f54127474a48 100644 --- a/data/daily/kusto.json +++ b/data/daily/kusto.json @@ -2,6 +2,6 @@ "title": "GitHub Kusto Languages Daily Trending", "description": "Daily Trending of Kusto Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kusto.xml b/data/daily/kusto.xml index 810a560badba..e4e183a08bba 100644 --- a/data/daily/kusto.xml +++ b/data/daily/kusto.xml @@ -3,6 +3,6 @@ GitHub Kusto Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kusto Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/kvlang.json b/data/daily/kvlang.json index 25d2109c15d5..2aff29e6c3fa 100644 --- a/data/daily/kvlang.json +++ b/data/daily/kvlang.json @@ -2,6 +2,6 @@ "title": "GitHub Kvlang Languages Daily Trending", "description": "Daily Trending of Kvlang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/kvlang.xml b/data/daily/kvlang.xml index 891be485ed6f..278a42f2d96b 100644 --- a/data/daily/kvlang.xml +++ b/data/daily/kvlang.xml @@ -3,6 +3,6 @@ GitHub Kvlang Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Kvlang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/labview.json b/data/daily/labview.json index 25c401c8b866..e3541aabd06d 100644 --- a/data/daily/labview.json +++ b/data/daily/labview.json @@ -2,6 +2,6 @@ "title": "GitHub Labview Languages Daily Trending", "description": "Daily Trending of Labview Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/labview.xml b/data/daily/labview.xml index 24862bb8c1c2..9e9a44e6b30a 100644 --- a/data/daily/labview.xml +++ b/data/daily/labview.xml @@ -3,6 +3,6 @@ GitHub Labview Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Labview Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lark.json b/data/daily/lark.json index 98c506e0c041..cfb28b4a47df 100644 --- a/data/daily/lark.json +++ b/data/daily/lark.json @@ -2,6 +2,6 @@ "title": "GitHub Lark Languages Daily Trending", "description": "Daily Trending of Lark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lark.xml b/data/daily/lark.xml index f47ce4eb8095..a7f43c0b5cbb 100644 --- a/data/daily/lark.xml +++ b/data/daily/lark.xml @@ -3,6 +3,6 @@ GitHub Lark Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lasso.json b/data/daily/lasso.json index 2175d6d37580..e2e6bc9d71a8 100644 --- a/data/daily/lasso.json +++ b/data/daily/lasso.json @@ -2,6 +2,6 @@ "title": "GitHub Lasso Languages Daily Trending", "description": "Daily Trending of Lasso Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lasso.xml b/data/daily/lasso.xml index c97047004ad3..d139d9d961b2 100644 --- a/data/daily/lasso.xml +++ b/data/daily/lasso.xml @@ -3,6 +3,6 @@ GitHub Lasso Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lasso Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/latte.json b/data/daily/latte.json index 121343a3d70d..66158d4d097a 100644 --- a/data/daily/latte.json +++ b/data/daily/latte.json @@ -2,6 +2,6 @@ "title": "GitHub Latte Languages Daily Trending", "description": "Daily Trending of Latte Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/latte.xml b/data/daily/latte.xml index a4a58d1af1a3..f8aa3d3c9e91 100644 --- a/data/daily/latte.xml +++ b/data/daily/latte.xml @@ -3,6 +3,6 @@ GitHub Latte Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Latte Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lean-4.json b/data/daily/lean-4.json index 1bbe77f6b57d..5f58ef511e45 100644 --- a/data/daily/lean-4.json +++ b/data/daily/lean-4.json @@ -2,6 +2,6 @@ "title": "GitHub Lean-4 Languages Daily Trending", "description": "Daily Trending of Lean-4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lean-4.xml b/data/daily/lean-4.xml index a2fb9cc80cf2..5b8ca3d22699 100644 --- a/data/daily/lean-4.xml +++ b/data/daily/lean-4.xml @@ -3,6 +3,6 @@ GitHub Lean-4 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lean-4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lean.json b/data/daily/lean.json index f3c52f94ff3b..95acb0cbad99 100644 --- a/data/daily/lean.json +++ b/data/daily/lean.json @@ -2,7 +2,7 @@ "title": "GitHub Lean Languages Daily Trending", "description": "Daily Trending of Lean Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "leanprover/lean4", @@ -10,7 +10,7 @@ "description": "Lean 4 programming language and theorem prover", "language": "Lean", "languageColor": "#ccc", - "stars": "4,739", + "stars": "4,737", "forks": "427", "addStars": "5", "contributors": [ @@ -114,43 +114,6 @@ "url": "https://github.com/gebner" } ] - }, - { - "title": "leanprover-community/ProofWidgets4", - "url": "https://github.com/leanprover-community/ProofWidgets4", - "description": "Helper toolkit for creating your own Lean 4 UserWidgets", - "language": "Lean", - "languageColor": "#ccc", - "stars": "115", - "forks": "27", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13901751?s=40&v=4", - "name": "Vtec234", - "url": "https://github.com/Vtec234" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/477956?s=40&v=4", - "name": "kim-em", - "url": "https://github.com/kim-em" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5064353?s=40&v=4", - "name": "EdAyers", - "url": "https://github.com/EdAyers" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6596305?s=40&v=4", - "name": "lecopivo", - "url": "https://github.com/lecopivo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20140206?s=40&v=4", - "name": "VladaSedlacek", - "url": "https://github.com/VladaSedlacek" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/lean.xml b/data/daily/lean.xml index 94dec038f82b..d07652a35a12 100644 --- a/data/daily/lean.xml +++ b/data/daily/lean.xml @@ -3,7 +3,7 @@ GitHub Lean Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lean Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT leanprover/lean4 https://github.com/leanprover/lean4 @@ -11,7 +11,7 @@ https://github.com/leanprover/lean4 Lean #ccc - 4,739 + 4,737 427 5 @@ -118,43 +118,5 @@ - - leanprover-community/ProofWidgets4 - https://github.com/leanprover-community/ProofWidgets4 - Helper toolkit for creating your own Lean 4 UserWidgets - https://github.com/leanprover-community/ProofWidgets4 - Lean - #ccc - 115 - 27 - 0 - - - https://avatars.githubusercontent.com/u/13901751?s=40&v=4 - Vtec234 - https://github.com/Vtec234 - - - https://avatars.githubusercontent.com/u/477956?s=40&v=4 - kim-em - https://github.com/kim-em - - - https://avatars.githubusercontent.com/u/5064353?s=40&v=4 - EdAyers - https://github.com/EdAyers - - - https://avatars.githubusercontent.com/u/6596305?s=40&v=4 - lecopivo - https://github.com/lecopivo - - - https://avatars.githubusercontent.com/u/20140206?s=40&v=4 - VladaSedlacek - https://github.com/VladaSedlacek - - - \ No newline at end of file diff --git a/data/daily/less.json b/data/daily/less.json index d0d9324b1706..6ad016ad8be2 100644 --- a/data/daily/less.json +++ b/data/daily/less.json @@ -2,52 +2,15 @@ "title": "GitHub Less Languages Daily Trending", "description": "Daily Trending of Less Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "Remix-Design/RemixIcon", - "url": "https://github.com/Remix-Design/RemixIcon", - "description": "Open source neutral style icon system", - "language": "Less", - "languageColor": "#1d365d", - "stars": "6,942", - "forks": "499", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6701827?s=40&v=4", - "name": "xiaochunjimmy", - "url": "https://github.com/xiaochunjimmy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25056241?s=40&v=4", - "name": "wendygaoyuan", - "url": "https://github.com/wendygaoyuan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26602940?s=40&v=4", - "name": "0xflotus", - "url": "https://github.com/0xflotus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1077405?s=40&v=4", - "name": "binary-koan", - "url": "https://github.com/binary-koan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/81224?s=40&v=4", - "name": "coreyward", - "url": "https://github.com/coreyward" - } - ] - }, { "title": "jerrykuku/luci-theme-argon", "url": "https://github.com/jerrykuku/luci-theme-argon", "description": "Argon is a clean and tidy OpenWrt LuCI theme that allows users to customize their login interface with images or videos. It also supports automatic and manual switching between light and dark modes.", "language": "Less", "languageColor": "#1d365d", - "stars": "4,120", + "stars": "4,121", "forks": "803", "addStars": "4", "contributors": [ @@ -78,6 +41,43 @@ } ] }, + { + "title": "Remix-Design/RemixIcon", + "url": "https://github.com/Remix-Design/RemixIcon", + "description": "Open source neutral style icon system", + "language": "Less", + "languageColor": "#1d365d", + "stars": "6,944", + "forks": "499", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6701827?s=40&v=4", + "name": "xiaochunjimmy", + "url": "https://github.com/xiaochunjimmy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25056241?s=40&v=4", + "name": "wendygaoyuan", + "url": "https://github.com/wendygaoyuan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26602940?s=40&v=4", + "name": "0xflotus", + "url": "https://github.com/0xflotus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1077405?s=40&v=4", + "name": "binary-koan", + "url": "https://github.com/binary-koan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/81224?s=40&v=4", + "name": "coreyward", + "url": "https://github.com/coreyward" + } + ] + }, { "title": "catppuccin/userstyles", "url": "https://github.com/catppuccin/userstyles", @@ -110,43 +110,6 @@ } ] }, - { - "title": "meteor/meteor-theme-hexo", - "url": "https://github.com/meteor/meteor-theme-hexo", - "description": "The framework we use for docs.", - "language": "Less", - "languageColor": "#1d365d", - "stars": "64", - "forks": "26", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/841294?s=40&v=4", - "name": "abernix", - "url": "https://github.com/abernix" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/132554?s=40&v=4", - "name": "tmeasday", - "url": "https://github.com/tmeasday" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/448783?s=40&v=4", - "name": "stubailo", - "url": "https://github.com/stubailo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/437372?s=40&v=4", - "name": "filipenevola", - "url": "https://github.com/filipenevola" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1216917?s=40&v=4", - "name": "trevorblades", - "url": "https://github.com/trevorblades" - } - ] - }, { "title": "Tencent/tdesign-common", "url": "https://github.com/Tencent/tdesign-common", diff --git a/data/daily/less.xml b/data/daily/less.xml index 836122d0454e..f39ea79f095a 100644 --- a/data/daily/less.xml +++ b/data/daily/less.xml @@ -3,45 +3,7 @@ GitHub Less Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Less Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - Remix-Design/RemixIcon - https://github.com/Remix-Design/RemixIcon - Open source neutral style icon system - https://github.com/Remix-Design/RemixIcon - Less - #1d365d - 6,942 - 499 - 1 - - - https://avatars.githubusercontent.com/u/6701827?s=40&v=4 - xiaochunjimmy - https://github.com/xiaochunjimmy - - - https://avatars.githubusercontent.com/u/25056241?s=40&v=4 - wendygaoyuan - https://github.com/wendygaoyuan - - - https://avatars.githubusercontent.com/u/26602940?s=40&v=4 - 0xflotus - https://github.com/0xflotus - - - https://avatars.githubusercontent.com/u/1077405?s=40&v=4 - binary-koan - https://github.com/binary-koan - - - https://avatars.githubusercontent.com/u/81224?s=40&v=4 - coreyward - https://github.com/coreyward - - - + Mon, 25 Nov 2024 13:30:36 GMT jerrykuku/luci-theme-argon https://github.com/jerrykuku/luci-theme-argon @@ -49,7 +11,7 @@ https://github.com/jerrykuku/luci-theme-argon Less #1d365d - 4,120 + 4,121 803 4 @@ -80,6 +42,44 @@ + + Remix-Design/RemixIcon + https://github.com/Remix-Design/RemixIcon + Open source neutral style icon system + https://github.com/Remix-Design/RemixIcon + Less + #1d365d + 6,944 + 499 + 1 + + + https://avatars.githubusercontent.com/u/6701827?s=40&v=4 + xiaochunjimmy + https://github.com/xiaochunjimmy + + + https://avatars.githubusercontent.com/u/25056241?s=40&v=4 + wendygaoyuan + https://github.com/wendygaoyuan + + + https://avatars.githubusercontent.com/u/26602940?s=40&v=4 + 0xflotus + https://github.com/0xflotus + + + https://avatars.githubusercontent.com/u/1077405?s=40&v=4 + binary-koan + https://github.com/binary-koan + + + https://avatars.githubusercontent.com/u/81224?s=40&v=4 + coreyward + https://github.com/coreyward + + + catppuccin/userstyles https://github.com/catppuccin/userstyles @@ -113,44 +113,6 @@ - - meteor/meteor-theme-hexo - https://github.com/meteor/meteor-theme-hexo - The framework we use for docs. - https://github.com/meteor/meteor-theme-hexo - Less - #1d365d - 64 - 26 - 0 - - - https://avatars.githubusercontent.com/u/841294?s=40&v=4 - abernix - https://github.com/abernix - - - https://avatars.githubusercontent.com/u/132554?s=40&v=4 - tmeasday - https://github.com/tmeasday - - - https://avatars.githubusercontent.com/u/448783?s=40&v=4 - stubailo - https://github.com/stubailo - - - https://avatars.githubusercontent.com/u/437372?s=40&v=4 - filipenevola - https://github.com/filipenevola - - - https://avatars.githubusercontent.com/u/1216917?s=40&v=4 - trevorblades - https://github.com/trevorblades - - - Tencent/tdesign-common https://github.com/Tencent/tdesign-common diff --git a/data/daily/lex.json b/data/daily/lex.json index 94cbda6f8c57..f583402dc215 100644 --- a/data/daily/lex.json +++ b/data/daily/lex.json @@ -2,6 +2,6 @@ "title": "GitHub Lex Languages Daily Trending", "description": "Daily Trending of Lex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lex.xml b/data/daily/lex.xml index 808ecf4a6af5..8fa6b42e4d74 100644 --- a/data/daily/lex.xml +++ b/data/daily/lex.xml @@ -3,6 +3,6 @@ GitHub Lex Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lfe.json b/data/daily/lfe.json index 761f3a6383eb..0e803bba275e 100644 --- a/data/daily/lfe.json +++ b/data/daily/lfe.json @@ -2,6 +2,6 @@ "title": "GitHub Lfe Languages Daily Trending", "description": "Daily Trending of Lfe Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lfe.xml b/data/daily/lfe.xml index c549f9ff1cba..b7849a9a6634 100644 --- a/data/daily/lfe.xml +++ b/data/daily/lfe.xml @@ -3,6 +3,6 @@ GitHub Lfe Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lfe Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ligolang.json b/data/daily/ligolang.json index b01249f0111a..251a9f09e2f5 100644 --- a/data/daily/ligolang.json +++ b/data/daily/ligolang.json @@ -2,6 +2,6 @@ "title": "GitHub Ligolang Languages Daily Trending", "description": "Daily Trending of Ligolang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ligolang.xml b/data/daily/ligolang.xml index 826389b1b976..fee3d0c4088c 100644 --- a/data/daily/ligolang.xml +++ b/data/daily/ligolang.xml @@ -3,6 +3,6 @@ GitHub Ligolang Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ligolang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lilypond.json b/data/daily/lilypond.json index a38371ea3cbf..40885e7aca58 100644 --- a/data/daily/lilypond.json +++ b/data/daily/lilypond.json @@ -2,6 +2,6 @@ "title": "GitHub Lilypond Languages Daily Trending", "description": "Daily Trending of Lilypond Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lilypond.xml b/data/daily/lilypond.xml index 8c612cc2031a..089dd3b3dc48 100644 --- a/data/daily/lilypond.xml +++ b/data/daily/lilypond.xml @@ -3,6 +3,6 @@ GitHub Lilypond Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lilypond Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/limbo.json b/data/daily/limbo.json index 942b5e2379f8..45c00cb11787 100644 --- a/data/daily/limbo.json +++ b/data/daily/limbo.json @@ -2,6 +2,6 @@ "title": "GitHub Limbo Languages Daily Trending", "description": "Daily Trending of Limbo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/limbo.xml b/data/daily/limbo.xml index d38c7667d868..1dcc3223383f 100644 --- a/data/daily/limbo.xml +++ b/data/daily/limbo.xml @@ -3,6 +3,6 @@ GitHub Limbo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Limbo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/linker-script.json b/data/daily/linker-script.json index 0a8720131986..c1d8d3431a3b 100644 --- a/data/daily/linker-script.json +++ b/data/daily/linker-script.json @@ -2,6 +2,6 @@ "title": "GitHub Linker-script Languages Daily Trending", "description": "Daily Trending of Linker-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/linker-script.xml b/data/daily/linker-script.xml index 7ec7c0230980..81c66bc91ce1 100644 --- a/data/daily/linker-script.xml +++ b/data/daily/linker-script.xml @@ -3,6 +3,6 @@ GitHub Linker-script Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Linker-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/linux-kernel-module.json b/data/daily/linux-kernel-module.json index 3aedccc280ea..ed74cfd46258 100644 --- a/data/daily/linux-kernel-module.json +++ b/data/daily/linux-kernel-module.json @@ -2,6 +2,6 @@ "title": "GitHub Linux-kernel-module Languages Daily Trending", "description": "Daily Trending of Linux-kernel-module Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/linux-kernel-module.xml b/data/daily/linux-kernel-module.xml index 543589ab8d7b..4426a39d7047 100644 --- a/data/daily/linux-kernel-module.xml +++ b/data/daily/linux-kernel-module.xml @@ -3,6 +3,6 @@ GitHub Linux-kernel-module Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Linux-kernel-module Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/liquid.json b/data/daily/liquid.json index 4aa85313b34f..31a66880929f 100644 --- a/data/daily/liquid.json +++ b/data/daily/liquid.json @@ -2,7 +2,7 @@ "title": "GitHub Liquid Languages Daily Trending", "description": "Daily Trending of Liquid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Shopify/dawn", @@ -11,7 +11,7 @@ "language": "Liquid", "languageColor": "#67b8de", "stars": "2,541", - "forks": "3,445", + "forks": "3,443", "addStars": "0", "contributors": [ { @@ -35,6 +35,43 @@ "url": "https://github.com/tyleralsbury" } ] + }, + { + "title": "Shopify/extensions-templates", + "url": "https://github.com/Shopify/extensions-templates", + "description": "", + "language": "Liquid", + "languageColor": "#67b8de", + "stars": "62", + "forks": "5", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7654369?s=40&v=4", + "name": "elanalynn", + "url": "https://github.com/elanalynn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5873610?s=40&v=4", + "name": "MitchLillie", + "url": "https://github.com/MitchLillie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12719665?s=40&v=4", + "name": "jamesvidler", + "url": "https://github.com/jamesvidler" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1109616?s=40&v=4", + "name": "alfonso-noriega", + "url": "https://github.com/alfonso-noriega" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43996587?s=40&v=4", + "name": "tommybae", + "url": "https://github.com/tommybae" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/liquid.xml b/data/daily/liquid.xml index 76e46c6e03df..e1c5086bee10 100644 --- a/data/daily/liquid.xml +++ b/data/daily/liquid.xml @@ -3,7 +3,7 @@ GitHub Liquid Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Liquid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Shopify/dawn https://github.com/Shopify/dawn @@ -12,7 +12,7 @@ Liquid #67b8de 2,541 - 3,445 + 3,443 0 @@ -37,5 +37,43 @@ + + Shopify/extensions-templates + https://github.com/Shopify/extensions-templates + + https://github.com/Shopify/extensions-templates + Liquid + #67b8de + 62 + 5 + 0 + + + https://avatars.githubusercontent.com/u/7654369?s=40&v=4 + elanalynn + https://github.com/elanalynn + + + https://avatars.githubusercontent.com/u/5873610?s=40&v=4 + MitchLillie + https://github.com/MitchLillie + + + https://avatars.githubusercontent.com/u/12719665?s=40&v=4 + jamesvidler + https://github.com/jamesvidler + + + https://avatars.githubusercontent.com/u/1109616?s=40&v=4 + alfonso-noriega + https://github.com/alfonso-noriega + + + https://avatars.githubusercontent.com/u/43996587?s=40&v=4 + tommybae + https://github.com/tommybae + + + \ No newline at end of file diff --git a/data/daily/literate-agda.json b/data/daily/literate-agda.json index 0bfcace69d0d..1b9b852f5f6e 100644 --- a/data/daily/literate-agda.json +++ b/data/daily/literate-agda.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-agda Languages Daily Trending", "description": "Daily Trending of Literate-agda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/literate-agda.xml b/data/daily/literate-agda.xml index bddc583d7c58..da9d11f449a2 100644 --- a/data/daily/literate-agda.xml +++ b/data/daily/literate-agda.xml @@ -3,6 +3,6 @@ GitHub Literate-agda Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Literate-agda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/literate-coffeescript.json b/data/daily/literate-coffeescript.json index 9f3d2b9a9d25..50259ec8f984 100644 --- a/data/daily/literate-coffeescript.json +++ b/data/daily/literate-coffeescript.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-coffeescript Languages Daily Trending", "description": "Daily Trending of Literate-coffeescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/literate-coffeescript.xml b/data/daily/literate-coffeescript.xml index 57b2549aaab4..31a9a64b044b 100644 --- a/data/daily/literate-coffeescript.xml +++ b/data/daily/literate-coffeescript.xml @@ -3,6 +3,6 @@ GitHub Literate-coffeescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Literate-coffeescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/literate-haskell.json b/data/daily/literate-haskell.json index 7406f34cad62..364f2766373d 100644 --- a/data/daily/literate-haskell.json +++ b/data/daily/literate-haskell.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-haskell Languages Daily Trending", "description": "Daily Trending of Literate-haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/literate-haskell.xml b/data/daily/literate-haskell.xml index 131a56bea409..1e43dac55247 100644 --- a/data/daily/literate-haskell.xml +++ b/data/daily/literate-haskell.xml @@ -3,6 +3,6 @@ GitHub Literate-haskell Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Literate-haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/livescript.json b/data/daily/livescript.json index 26c8c8d7c9b1..9ca8a10c50f4 100644 --- a/data/daily/livescript.json +++ b/data/daily/livescript.json @@ -2,6 +2,6 @@ "title": "GitHub Livescript Languages Daily Trending", "description": "Daily Trending of Livescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/livescript.xml b/data/daily/livescript.xml index d1d3113f04ea..d04c66f99791 100644 --- a/data/daily/livescript.xml +++ b/data/daily/livescript.xml @@ -3,6 +3,6 @@ GitHub Livescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Livescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/llvm.json b/data/daily/llvm.json index 2fed9c4dab29..8e07cad0fb08 100644 --- a/data/daily/llvm.json +++ b/data/daily/llvm.json @@ -2,42 +2,42 @@ "title": "GitHub Llvm Languages Daily Trending", "description": "Daily Trending of Llvm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "KhronosGroup/SPIRV-LLVM-Translator", - "url": "https://github.com/KhronosGroup/SPIRV-LLVM-Translator", - "description": "A tool and a library for bi-directional translation between SPIR-V and LLVM IR", + "title": "intel/llvm", + "url": "https://github.com/intel/llvm", + "description": "Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects.", "language": "LLVM", "languageColor": "#185619", - "stars": "489", - "forks": "221", + "stars": "1,261", + "forks": "740", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1358906?s=40&v=4", - "name": "svenvh", - "url": "https://github.com/svenvh" + "avatar": "https://avatars.githubusercontent.com/u/2175834?s=40&v=4", + "name": "RKSimon", + "url": "https://github.com/RKSimon" }, { - "avatar": "https://avatars.githubusercontent.com/u/18708689?s=40&v=4", - "name": "MrSidims", - "url": "https://github.com/MrSidims" + "avatar": "https://avatars.githubusercontent.com/u/22566570?s=40&v=4", + "name": "topperc", + "url": "https://github.com/topperc" }, { - "avatar": "https://avatars.githubusercontent.com/u/6615555?s=40&v=4", - "name": "AlexeySotkin", - "url": "https://github.com/AlexeySotkin" + "avatar": "https://avatars.githubusercontent.com/u/416322?s=40&v=4", + "name": "MaskRay", + "url": "https://github.com/MaskRay" }, { - "avatar": "https://avatars.githubusercontent.com/u/6145511?s=40&v=4", - "name": "yxsamliu", - "url": "https://github.com/yxsamliu" + "avatar": "https://avatars.githubusercontent.com/u/216080?s=40&v=4", + "name": "nikic", + "url": "https://github.com/nikic" }, { - "avatar": "https://avatars.githubusercontent.com/u/6417047?s=40&v=4", - "name": "AlexeySachkov", - "url": "https://github.com/AlexeySachkov" + "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", + "name": "arsenm", + "url": "https://github.com/arsenm" } ] }, @@ -79,56 +79,56 @@ ] }, { - "title": "llvm/llvm-project", - "url": "https://github.com/llvm/llvm-project", - "description": "The LLVM Project is a collection of modular and reusable compiler and toolchain technologies.", + "title": "KhronosGroup/SPIRV-LLVM-Translator", + "url": "https://github.com/KhronosGroup/SPIRV-LLVM-Translator", + "description": "A tool and a library for bi-directional translation between SPIR-V and LLVM IR", "language": "LLVM", "languageColor": "#185619", - "stars": "29,256", - "forks": "12,086", - "addStars": "9", + "stars": "490", + "forks": "221", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15152540?s=40&v=4", - "name": "lattner", - "url": "https://github.com/lattner" + "avatar": "https://avatars.githubusercontent.com/u/1358906?s=40&v=4", + "name": "svenvh", + "url": "https://github.com/svenvh" }, { - "avatar": "https://avatars.githubusercontent.com/u/22566570?s=40&v=4", - "name": "topperc", - "url": "https://github.com/topperc" + "avatar": "https://avatars.githubusercontent.com/u/18708689?s=40&v=4", + "name": "MrSidims", + "url": "https://github.com/MrSidims" }, { - "avatar": "https://avatars.githubusercontent.com/u/2175834?s=40&v=4", - "name": "RKSimon", - "url": "https://github.com/RKSimon" + "avatar": "https://avatars.githubusercontent.com/u/6615555?s=40&v=4", + "name": "AlexeySotkin", + "url": "https://github.com/AlexeySotkin" }, { - "avatar": "https://avatars.githubusercontent.com/u/42633?s=40&v=4", - "name": "espindola", - "url": "https://github.com/espindola" + "avatar": "https://avatars.githubusercontent.com/u/6145511?s=40&v=4", + "name": "yxsamliu", + "url": "https://github.com/yxsamliu" }, { - "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", - "name": "arsenm", - "url": "https://github.com/arsenm" + "avatar": "https://avatars.githubusercontent.com/u/6417047?s=40&v=4", + "name": "AlexeySachkov", + "url": "https://github.com/AlexeySachkov" } ] }, { - "title": "intel/llvm", - "url": "https://github.com/intel/llvm", - "description": "Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects.", + "title": "llvm/llvm-project", + "url": "https://github.com/llvm/llvm-project", + "description": "The LLVM Project is a collection of modular and reusable compiler and toolchain technologies.", "language": "LLVM", "languageColor": "#185619", - "stars": "1,261", - "forks": "740", - "addStars": "1", + "stars": "29,263", + "forks": "12,092", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2175834?s=40&v=4", - "name": "RKSimon", - "url": "https://github.com/RKSimon" + "avatar": "https://avatars.githubusercontent.com/u/15152540?s=40&v=4", + "name": "lattner", + "url": "https://github.com/lattner" }, { "avatar": "https://avatars.githubusercontent.com/u/22566570?s=40&v=4", @@ -136,14 +136,14 @@ "url": "https://github.com/topperc" }, { - "avatar": "https://avatars.githubusercontent.com/u/416322?s=40&v=4", - "name": "MaskRay", - "url": "https://github.com/MaskRay" + "avatar": "https://avatars.githubusercontent.com/u/2175834?s=40&v=4", + "name": "RKSimon", + "url": "https://github.com/RKSimon" }, { - "avatar": "https://avatars.githubusercontent.com/u/216080?s=40&v=4", - "name": "nikic", - "url": "https://github.com/nikic" + "avatar": "https://avatars.githubusercontent.com/u/42633?s=40&v=4", + "name": "espindola", + "url": "https://github.com/espindola" }, { "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", diff --git a/data/daily/llvm.xml b/data/daily/llvm.xml index 024d9b5c509a..9f6454b66f1d 100644 --- a/data/daily/llvm.xml +++ b/data/daily/llvm.xml @@ -3,42 +3,42 @@ GitHub Llvm Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Llvm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - KhronosGroup/SPIRV-LLVM-Translator - https://github.com/KhronosGroup/SPIRV-LLVM-Translator - A tool and a library for bi-directional translation between SPIR-V and LLVM IR - https://github.com/KhronosGroup/SPIRV-LLVM-Translator + intel/llvm + https://github.com/intel/llvm + Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects. + https://github.com/intel/llvm LLVM #185619 - 489 - 221 + 1,261 + 740 1 - https://avatars.githubusercontent.com/u/1358906?s=40&v=4 - svenvh - https://github.com/svenvh + https://avatars.githubusercontent.com/u/2175834?s=40&v=4 + RKSimon + https://github.com/RKSimon - https://avatars.githubusercontent.com/u/18708689?s=40&v=4 - MrSidims - https://github.com/MrSidims + https://avatars.githubusercontent.com/u/22566570?s=40&v=4 + topperc + https://github.com/topperc - https://avatars.githubusercontent.com/u/6615555?s=40&v=4 - AlexeySotkin - https://github.com/AlexeySotkin + https://avatars.githubusercontent.com/u/416322?s=40&v=4 + MaskRay + https://github.com/MaskRay - https://avatars.githubusercontent.com/u/6145511?s=40&v=4 - yxsamliu - https://github.com/yxsamliu + https://avatars.githubusercontent.com/u/216080?s=40&v=4 + nikic + https://github.com/nikic - https://avatars.githubusercontent.com/u/6417047?s=40&v=4 - AlexeySachkov - https://github.com/AlexeySachkov + https://avatars.githubusercontent.com/u/138339?s=40&v=4 + arsenm + https://github.com/arsenm @@ -81,58 +81,58 @@ - llvm/llvm-project - https://github.com/llvm/llvm-project - The LLVM Project is a collection of modular and reusable compiler and toolchain technologies. - https://github.com/llvm/llvm-project + KhronosGroup/SPIRV-LLVM-Translator + https://github.com/KhronosGroup/SPIRV-LLVM-Translator + A tool and a library for bi-directional translation between SPIR-V and LLVM IR + https://github.com/KhronosGroup/SPIRV-LLVM-Translator LLVM #185619 - 29,256 - 12,086 - 9 + 490 + 221 + 1 - https://avatars.githubusercontent.com/u/15152540?s=40&v=4 - lattner - https://github.com/lattner + https://avatars.githubusercontent.com/u/1358906?s=40&v=4 + svenvh + https://github.com/svenvh - https://avatars.githubusercontent.com/u/22566570?s=40&v=4 - topperc - https://github.com/topperc + https://avatars.githubusercontent.com/u/18708689?s=40&v=4 + MrSidims + https://github.com/MrSidims - https://avatars.githubusercontent.com/u/2175834?s=40&v=4 - RKSimon - https://github.com/RKSimon + https://avatars.githubusercontent.com/u/6615555?s=40&v=4 + AlexeySotkin + https://github.com/AlexeySotkin - https://avatars.githubusercontent.com/u/42633?s=40&v=4 - espindola - https://github.com/espindola + https://avatars.githubusercontent.com/u/6145511?s=40&v=4 + yxsamliu + https://github.com/yxsamliu - https://avatars.githubusercontent.com/u/138339?s=40&v=4 - arsenm - https://github.com/arsenm + https://avatars.githubusercontent.com/u/6417047?s=40&v=4 + AlexeySachkov + https://github.com/AlexeySachkov - intel/llvm - https://github.com/intel/llvm - Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects. - https://github.com/intel/llvm + llvm/llvm-project + https://github.com/llvm/llvm-project + The LLVM Project is a collection of modular and reusable compiler and toolchain technologies. + https://github.com/llvm/llvm-project LLVM #185619 - 1,261 - 740 - 1 + 29,263 + 12,092 + 9 - https://avatars.githubusercontent.com/u/2175834?s=40&v=4 - RKSimon - https://github.com/RKSimon + https://avatars.githubusercontent.com/u/15152540?s=40&v=4 + lattner + https://github.com/lattner https://avatars.githubusercontent.com/u/22566570?s=40&v=4 @@ -140,14 +140,14 @@ https://github.com/topperc - https://avatars.githubusercontent.com/u/416322?s=40&v=4 - MaskRay - https://github.com/MaskRay + https://avatars.githubusercontent.com/u/2175834?s=40&v=4 + RKSimon + https://github.com/RKSimon - https://avatars.githubusercontent.com/u/216080?s=40&v=4 - nikic - https://github.com/nikic + https://avatars.githubusercontent.com/u/42633?s=40&v=4 + espindola + https://github.com/espindola https://avatars.githubusercontent.com/u/138339?s=40&v=4 diff --git a/data/daily/logos.json b/data/daily/logos.json index c9dab61911f8..45bdfd182097 100644 --- a/data/daily/logos.json +++ b/data/daily/logos.json @@ -2,7 +2,7 @@ "title": "GitHub Logos Languages Daily Trending", "description": "Daily Trending of Logos Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "dayanch96/YTLite", @@ -11,7 +11,7 @@ "language": "Logos", "languageColor": "#ccc", "stars": "601", - "forks": "844", + "forks": "846", "addStars": "3", "contributors": [ { @@ -40,6 +40,43 @@ "url": "https://github.com/Deci8BelioS" } ] + }, + { + "title": "arichornlover/YouTubeRebornPlus", + "url": "https://github.com/arichornlover/YouTubeRebornPlus", + "description": "LillieH1000’s YouTube Reborn but with even more features!", + "language": "Logos", + "languageColor": "#ccc", + "stars": "540", + "forks": "502", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/78001398?s=40&v=4", + "name": "arichornlover", + "url": "https://github.com/arichornlover" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52943116?s=40&v=4", + "name": "qnblackcat", + "url": "https://github.com/qnblackcat" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38832025?s=40&v=4", + "name": "dayanch96", + "url": "https://github.com/dayanch96" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47618415?s=40&v=4", + "name": "SKEIDs", + "url": "https://github.com/SKEIDs" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/logos.xml b/data/daily/logos.xml index 43c3a34f00ee..b8f45678de6f 100644 --- a/data/daily/logos.xml +++ b/data/daily/logos.xml @@ -3,7 +3,7 @@ GitHub Logos Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Logos Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT dayanch96/YTLite https://github.com/dayanch96/YTLite @@ -12,7 +12,7 @@ Logos #ccc 601 - 844 + 846 3 @@ -42,5 +42,43 @@ + + arichornlover/YouTubeRebornPlus + https://github.com/arichornlover/YouTubeRebornPlus + LillieH1000’s YouTube Reborn but with even more features! + https://github.com/arichornlover/YouTubeRebornPlus + Logos + #ccc + 540 + 502 + 0 + + + https://avatars.githubusercontent.com/u/78001398?s=40&v=4 + arichornlover + https://github.com/arichornlover + + + https://avatars.githubusercontent.com/u/52943116?s=40&v=4 + qnblackcat + https://github.com/qnblackcat + + + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user + + + https://avatars.githubusercontent.com/u/38832025?s=40&v=4 + dayanch96 + https://github.com/dayanch96 + + + https://avatars.githubusercontent.com/u/47618415?s=40&v=4 + SKEIDs + https://github.com/SKEIDs + + + \ No newline at end of file diff --git a/data/daily/logtalk.json b/data/daily/logtalk.json index 4a419865803e..4944eb47c342 100644 --- a/data/daily/logtalk.json +++ b/data/daily/logtalk.json @@ -2,6 +2,6 @@ "title": "GitHub Logtalk Languages Daily Trending", "description": "Daily Trending of Logtalk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/logtalk.xml b/data/daily/logtalk.xml index f91dacc66b7e..5198ba3db4d9 100644 --- a/data/daily/logtalk.xml +++ b/data/daily/logtalk.xml @@ -3,6 +3,6 @@ GitHub Logtalk Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Logtalk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lolcode.json b/data/daily/lolcode.json index 490f4a201349..61d34e33e6f7 100644 --- a/data/daily/lolcode.json +++ b/data/daily/lolcode.json @@ -2,6 +2,6 @@ "title": "GitHub Lolcode Languages Daily Trending", "description": "Daily Trending of Lolcode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lolcode.xml b/data/daily/lolcode.xml index 4037819f8698..78b567a2ac8b 100644 --- a/data/daily/lolcode.xml +++ b/data/daily/lolcode.xml @@ -3,6 +3,6 @@ GitHub Lolcode Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lolcode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lookml.json b/data/daily/lookml.json index 6c5eab50bb49..e17db7cc7b64 100644 --- a/data/daily/lookml.json +++ b/data/daily/lookml.json @@ -2,6 +2,6 @@ "title": "GitHub Lookml Languages Daily Trending", "description": "Daily Trending of Lookml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lookml.xml b/data/daily/lookml.xml index 8476808bcf77..189d1291a53b 100644 --- a/data/daily/lookml.xml +++ b/data/daily/lookml.xml @@ -3,6 +3,6 @@ GitHub Lookml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lookml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/loomscript.json b/data/daily/loomscript.json index c66360485394..c177125a9c0e 100644 --- a/data/daily/loomscript.json +++ b/data/daily/loomscript.json @@ -2,6 +2,6 @@ "title": "GitHub Loomscript Languages Daily Trending", "description": "Daily Trending of Loomscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/loomscript.xml b/data/daily/loomscript.xml index b7c2c95b58de..2547960ed881 100644 --- a/data/daily/loomscript.xml +++ b/data/daily/loomscript.xml @@ -3,6 +3,6 @@ GitHub Loomscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Loomscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lsl.json b/data/daily/lsl.json index 90cd7acfe5e9..6de2876e1dca 100644 --- a/data/daily/lsl.json +++ b/data/daily/lsl.json @@ -2,6 +2,6 @@ "title": "GitHub Lsl Languages Daily Trending", "description": "Daily Trending of Lsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/lsl.xml b/data/daily/lsl.xml index e82166afc1a2..8a183de1b629 100644 --- a/data/daily/lsl.xml +++ b/data/daily/lsl.xml @@ -3,6 +3,6 @@ GitHub Lsl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ltspice-symbol.json b/data/daily/ltspice-symbol.json index bd52f5008d2f..a96c1fd82764 100644 --- a/data/daily/ltspice-symbol.json +++ b/data/daily/ltspice-symbol.json @@ -2,6 +2,6 @@ "title": "GitHub Ltspice-symbol Languages Daily Trending", "description": "Daily Trending of Ltspice-symbol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ltspice-symbol.xml b/data/daily/ltspice-symbol.xml index f799c1e298f1..136ab26fffbd 100644 --- a/data/daily/ltspice-symbol.xml +++ b/data/daily/ltspice-symbol.xml @@ -3,6 +3,6 @@ GitHub Ltspice-symbol Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ltspice-symbol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/lua.json b/data/daily/lua.json index 0e5b609cfb31..fe61283b8aca 100644 --- a/data/daily/lua.json +++ b/data/daily/lua.json @@ -2,160 +2,165 @@ "title": "GitHub Lua Languages Daily Trending", "description": "Daily Trending of Lua Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "LazyVim/LazyVim", - "url": "https://github.com/LazyVim/LazyVim", - "description": "Neovim config for the lazy", + "title": "xiaorouji/openwrt-passwall", + "url": "https://github.com/xiaorouji/openwrt-passwall", + "description": "", "language": "Lua", "languageColor": "#000080", - "stars": "17,592", - "forks": "1,242", - "addStars": "24", + "stars": "7,258", + "forks": "2,653", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", - "name": "folke", - "url": "https://github.com/folke" + "avatar": "https://avatars.githubusercontent.com/u/60100640?s=40&v=4", + "name": "xiaorouji", + "url": "https://github.com/xiaorouji" }, { - "avatar": "https://avatars.githubusercontent.com/u/12776461?s=40&v=4", - "name": "dpetka2001", - "url": "https://github.com/dpetka2001" + "avatar": "https://avatars.githubusercontent.com/u/86697442?s=40&v=4", + "name": "lwb1978", + "url": "https://github.com/lwb1978" }, { - "avatar": "https://avatars.githubusercontent.com/u/29718261?s=40&v=4", - "name": "amaanq", - "url": "https://github.com/amaanq" + "avatar": "https://avatars.githubusercontent.com/u/10704839?s=40&v=4", + "name": "nftbty", + "url": "https://github.com/nftbty" }, { - "avatar": "https://avatars.githubusercontent.com/u/8222059?s=40&v=4", - "name": "rubiin", - "url": "https://github.com/rubiin" + "avatar": "https://avatars.githubusercontent.com/u/6050360?s=40&v=4", + "name": "smallprogram", + "url": "https://github.com/smallprogram" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31815718?s=40&v=4", + "name": "ShanStone", + "url": "https://github.com/ShanStone" } ] }, { - "title": "nvim-neotest/neotest", - "url": "https://github.com/nvim-neotest/neotest", - "description": "An extensible framework for interacting with tests within NeoVim.", + "title": "koreader/koreader", + "url": "https://github.com/koreader/koreader", + "description": "An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices", "language": "Lua", "languageColor": "#000080", - "stars": "2,428", - "forks": "123", - "addStars": "2", + "stars": "16,936", + "forks": "1,269", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/24252670?s=40&v=4", - "name": "rcarriga", - "url": "https://github.com/rcarriga" + "avatar": "https://avatars.githubusercontent.com/u/670302?s=40&v=4", + "name": "houqp", + "url": "https://github.com/houqp" }, { - "avatar": "https://avatars.githubusercontent.com/u/506791?s=40&v=4", - "name": "stevearc", - "url": "https://github.com/stevearc" + "avatar": "https://avatars.githubusercontent.com/u/751535?s=40&v=4", + "name": "chrox", + "url": "https://github.com/chrox" }, { - "avatar": "https://avatars.githubusercontent.com/u/62736?s=40&v=4", - "name": "OddBloke", - "url": "https://github.com/OddBloke" + "avatar": "https://avatars.githubusercontent.com/u/111974?s=40&v=4", + "name": "NiLuJe", + "url": "https://github.com/NiLuJe" }, { - "avatar": "https://avatars.githubusercontent.com/u/12857160?s=40&v=4", - "name": "mrcjkb", - "url": "https://github.com/mrcjkb" + "avatar": "https://avatars.githubusercontent.com/u/202757?s=40&v=4", + "name": "Frenzie", + "url": "https://github.com/Frenzie" }, { - "avatar": "https://avatars.githubusercontent.com/u/31012661?s=40&v=4", - "name": "rcasia", - "url": "https://github.com/rcasia" + "avatar": "https://avatars.githubusercontent.com/u/24273478?s=40&v=4", + "name": "poire-z", + "url": "https://github.com/poire-z" } ] }, { - "title": "sindrets/diffview.nvim", - "url": "https://github.com/sindrets/diffview.nvim", - "description": "Single tabpage interface for easily cycling through diffs for all modified files for any git rev.", + "title": "williamboman/mason.nvim", + "url": "https://github.com/williamboman/mason.nvim", + "description": "Portable package manager for Neovim that runs everywhere Neovim runs. Easily install and manage LSP servers, DAP servers, linters, and formatters.", "language": "Lua", "languageColor": "#000080", - "stars": "4,065", - "forks": "113", - "addStars": "9", + "stars": "7,966", + "forks": "281", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2786478?s=40&v=4", - "name": "sindrets", - "url": "https://github.com/sindrets" + "avatar": "https://avatars.githubusercontent.com/u/6705160?s=40&v=4", + "name": "williamboman", + "url": "https://github.com/williamboman" }, { - "avatar": "https://avatars.githubusercontent.com/u/747627?s=40&v=4", - "name": "zegervdv", - "url": "https://github.com/zegervdv" + "avatar": "https://avatars.githubusercontent.com/u/107473453?s=40&v=4", + "name": "williambotman", + "url": "https://github.com/williambotman" }, { - "avatar": "https://avatars.githubusercontent.com/u/1597820?s=40&v=4", - "name": "briandipalma", - "url": "https://github.com/briandipalma" + "avatar": "https://avatars.githubusercontent.com/u/4702260?s=40&v=4", + "name": "WhoIsSethDaniel", + "url": "https://github.com/WhoIsSethDaniel" }, { - "avatar": "https://avatars.githubusercontent.com/u/14960414?s=40&v=4", - "name": "charbelnicolas", - "url": "https://github.com/charbelnicolas" + "avatar": "https://avatars.githubusercontent.com/u/36803168?s=40&v=4", + "name": "jay-babu", + "url": "https://github.com/jay-babu" }, { - "avatar": "https://avatars.githubusercontent.com/u/339433?s=40&v=4", - "name": "emmanueltouzery", - "url": "https://github.com/emmanueltouzery" + "avatar": "https://avatars.githubusercontent.com/u/31539177?s=40&v=4", + "name": "thanhvule0310", + "url": "https://github.com/thanhvule0310" } ] }, { - "title": "nvim-neo-tree/neo-tree.nvim", - "url": "https://github.com/nvim-neo-tree/neo-tree.nvim", - "description": "Neovim plugin to manage the file system and other tree like structures.", + "title": "nvim-telescope/telescope.nvim", + "url": "https://github.com/nvim-telescope/telescope.nvim", + "description": "Find, Filter, Preview, Pick. All lua, all the time.", "language": "Lua", "languageColor": "#000080", - "stars": "3,917", - "forks": "225", - "addStars": "4", + "stars": "16,062", + "forks": "840", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5160605?s=40&v=4", - "name": "cseickel", - "url": "https://github.com/cseickel" + "avatar": "https://avatars.githubusercontent.com/u/15233006?s=40&v=4", + "name": "Conni2461", + "url": "https://github.com/Conni2461" }, { - "avatar": "https://avatars.githubusercontent.com/u/41065736?s=40&v=4", - "name": "pysan3", - "url": "https://github.com/pysan3" + "avatar": "https://avatars.githubusercontent.com/u/4466899?s=40&v=4", + "name": "tjdevries", + "url": "https://github.com/tjdevries" }, { - "avatar": "https://avatars.githubusercontent.com/u/8050659?s=40&v=4", - "name": "MunifTanjim", - "url": "https://github.com/MunifTanjim" + "avatar": "https://avatars.githubusercontent.com/u/66286082?s=40&v=4", + "name": "jamestrew", + "url": "https://github.com/jamestrew" }, { - "avatar": "https://avatars.githubusercontent.com/u/30724347?s=40&v=4", - "name": "nhat-vo", - "url": "https://github.com/nhat-vo" + "avatar": "https://avatars.githubusercontent.com/u/15027?s=40&v=4", + "name": "rockerBOO", + "url": "https://github.com/rockerBOO" }, { - "avatar": "https://avatars.githubusercontent.com/u/70210066?s=40&v=4", - "name": "lopi-py", - "url": "https://github.com/lopi-py" + "avatar": "https://avatars.githubusercontent.com/u/39233597?s=40&v=4", + "name": "fdschmidt93", + "url": "https://github.com/fdschmidt93" } ] }, { - "title": "folke/noice.nvim", - "url": "https://github.com/folke/noice.nvim", - "description": "💥 Highly experimental plugin that completely replaces the UI for messages, cmdline and the popupmenu.", + "title": "folke/zen-mode.nvim", + "url": "https://github.com/folke/zen-mode.nvim", + "description": "🧘 Distraction-free coding for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "4,475", - "forks": "105", - "addStars": "4", + "stars": "1,762", + "forks": "56", + "addStars": "3", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", @@ -163,443 +168,475 @@ "url": "https://github.com/folke" }, { - "avatar": "https://avatars.githubusercontent.com/u/62502207?s=40&v=4", - "name": "MariaSolOs", - "url": "https://github.com/MariaSolOs" + "avatar": "https://avatars.githubusercontent.com/u/111366?s=40&v=4", + "name": "marcelbeumer", + "url": "https://github.com/marcelbeumer" }, { - "avatar": "https://avatars.githubusercontent.com/u/130783534?s=40&v=4", - "name": "Sam-programs", - "url": "https://github.com/Sam-programs" + "avatar": "https://avatars.githubusercontent.com/u/490790?s=40&v=4", + "name": "MattSPalmer", + "url": "https://github.com/MattSPalmer" }, { - "avatar": "https://avatars.githubusercontent.com/u/41495?s=40&v=4", - "name": "Shougo", - "url": "https://github.com/Shougo" + "avatar": "https://avatars.githubusercontent.com/u/3034594?s=40&v=4", + "name": "cockytrumpet", + "url": "https://github.com/cockytrumpet" } ] }, { - "title": "ellisonleao/gruvbox.nvim", - "url": "https://github.com/ellisonleao/gruvbox.nvim", - "description": "Lua port of the most famous vim colorscheme", + "title": "Saghen/blink.cmp", + "url": "https://github.com/Saghen/blink.cmp", + "description": "Performant, batteries-included completion plugin for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "1,949", - "forks": "210", - "addStars": "0", + "stars": "1,345", + "forks": "78", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/178641?s=40&v=4", - "name": "ellisonleao", - "url": "https://github.com/ellisonleao" + "avatar": "https://avatars.githubusercontent.com/u/10467983?s=40&v=4", + "name": "Saghen", + "url": "https://github.com/Saghen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18155001?s=40&v=4", + "name": "redxtech", + "url": "https://github.com/redxtech" }, { - "avatar": "https://avatars.githubusercontent.com/u/2284724?s=40&v=4", - "name": "sQVe", - "url": "https://github.com/sQVe" + "avatar": "https://avatars.githubusercontent.com/u/70210066?s=40&v=4", + "name": "lopi-py", + "url": "https://github.com/lopi-py" }, { - "avatar": "https://avatars.githubusercontent.com/u/93489008?s=40&v=4", - "name": "xntebli", - "url": "https://github.com/xntebli" + "avatar": "https://avatars.githubusercontent.com/u/39483124?s=40&v=4", + "name": "scottmckendry", + "url": "https://github.com/scottmckendry" }, { - "avatar": "https://avatars.githubusercontent.com/u/88047141?s=40&v=4", - "name": "xeluxee", - "url": "https://github.com/xeluxee" + "avatar": "https://avatars.githubusercontent.com/u/61115159?s=40&v=4", + "name": "ofseed", + "url": "https://github.com/ofseed" } ] }, { - "title": "catppuccin/nvim", - "url": "https://github.com/catppuccin/nvim", - "description": "🍨 Soothing pastel theme for (Neo)vim", + "title": "Mintimate/oh-my-rime", + "url": "https://github.com/Mintimate/oh-my-rime", + "description": "The Simple Config Template Of Rime By Mintimate. QQ Chat-Group: 703260572", "language": "Lua", "languageColor": "#000080", - "stars": "5,650", - "forks": "256", - "addStars": "3", + "stars": "2,735", + "forks": "185", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/58336662?s=40&v=4", - "name": "pocco81", - "url": "https://github.com/pocco81" + "avatar": "https://avatars.githubusercontent.com/u/43734212?s=40&v=4", + "name": "Mintimate", + "url": "https://github.com/Mintimate" }, { - "avatar": "https://avatars.githubusercontent.com/u/56817415?s=40&v=4", - "name": "nullchilly", - "url": "https://github.com/nullchilly" + "avatar": "https://avatars.githubusercontent.com/u/132128788?s=40&v=4", + "name": "YummyCocoa", + "url": "https://github.com/YummyCocoa" }, { - "avatar": "https://avatars.githubusercontent.com/u/48406064?s=40&v=4", - "name": "mrtnvgr", - "url": "https://github.com/mrtnvgr" + "avatar": "https://avatars.githubusercontent.com/u/76689045?s=40&v=4", + "name": "mirtlecn", + "url": "https://github.com/mirtlecn" }, { - "avatar": "https://avatars.githubusercontent.com/u/54089360?s=40&v=4", - "name": "emxxjnm", - "url": "https://github.com/emxxjnm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73375859?s=40&v=4", - "name": "vollowx", - "url": "https://github.com/vollowx" + "avatar": "https://avatars.githubusercontent.com/u/14658234?s=40&v=4", + "name": "iDvel", + "url": "https://github.com/iDvel" } ] }, { - "title": "stevearc/oil.nvim", - "url": "https://github.com/stevearc/oil.nvim", - "description": "Neovim file explorer: edit your filesystem like a buffer", + "title": "sindrets/diffview.nvim", + "url": "https://github.com/sindrets/diffview.nvim", + "description": "Single tabpage interface for easily cycling through diffs for all modified files for any git rev.", "language": "Lua", "languageColor": "#000080", - "stars": "4,096", - "forks": "121", - "addStars": "3", + "stars": "4,068", + "forks": "113", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/506791?s=40&v=4", - "name": "stevearc", - "url": "https://github.com/stevearc" + "avatar": "https://avatars.githubusercontent.com/u/2786478?s=40&v=4", + "name": "sindrets", + "url": "https://github.com/sindrets" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/747627?s=40&v=4", + "name": "zegervdv", + "url": "https://github.com/zegervdv" }, { - "avatar": "https://avatars.githubusercontent.com/u/62358156?s=40&v=4", - "name": "NikolaM-Dev", - "url": "https://github.com/NikolaM-Dev" + "avatar": "https://avatars.githubusercontent.com/u/1597820?s=40&v=4", + "name": "briandipalma", + "url": "https://github.com/briandipalma" }, { - "avatar": "https://avatars.githubusercontent.com/u/1591837?s=40&v=4", - "name": "mehalter", - "url": "https://github.com/mehalter" + "avatar": "https://avatars.githubusercontent.com/u/14960414?s=40&v=4", + "name": "charbelnicolas", + "url": "https://github.com/charbelnicolas" }, { - "avatar": "https://avatars.githubusercontent.com/u/24248467?s=40&v=4", - "name": "Foo-x", - "url": "https://github.com/Foo-x" + "avatar": "https://avatars.githubusercontent.com/u/339433?s=40&v=4", + "name": "emmanueltouzery", + "url": "https://github.com/emmanueltouzery" } ] }, { - "title": "yetone/avante.nvim", - "url": "https://github.com/yetone/avante.nvim", - "description": "Use your Neovim like using Cursor AI IDE!", + "title": "esx-framework/esx_core", + "url": "https://github.com/esx-framework/esx_core", + "description": "Official Repo For core resources for esx-legacy", "language": "Lua", "languageColor": "#000080", - "stars": "7,317", - "forks": "273", - "addStars": "24", + "stars": "377", + "forks": "745", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1206493?s=40&v=4", - "name": "yetone", - "url": "https://github.com/yetone" + "avatar": "https://avatars.githubusercontent.com/u/22378232?s=40&v=4", + "name": "Mycroft-Studios", + "url": "https://github.com/Mycroft-Studios" }, { - "avatar": "https://avatars.githubusercontent.com/u/29749331?s=40&v=4", - "name": "aarnphm", - "url": "https://github.com/aarnphm" + "avatar": "https://avatars.githubusercontent.com/u/65407488?s=40&v=4", + "name": "thelindat", + "url": "https://github.com/thelindat" }, { - "avatar": "https://avatars.githubusercontent.com/u/25029451?s=40&v=4", - "name": "yuchanns", - "url": "https://github.com/yuchanns" + "avatar": "https://avatars.githubusercontent.com/u/102178921?s=40&v=4", + "name": "Benzo00", + "url": "https://github.com/Benzo00" }, { - "avatar": "https://avatars.githubusercontent.com/u/21299126?s=40&v=4", - "name": "b0o", - "url": "https://github.com/b0o" + "avatar": "https://avatars.githubusercontent.com/u/22717950?s=40&v=4", + "name": "Gellipapa", + "url": "https://github.com/Gellipapa" }, { - "avatar": "https://avatars.githubusercontent.com/u/10977085?s=40&v=4", - "name": "brewinski", - "url": "https://github.com/brewinski" + "avatar": "https://avatars.githubusercontent.com/u/12564301?s=40&v=4", + "name": "ElPumpo", + "url": "https://github.com/ElPumpo" } ] }, { - "title": "NeogitOrg/neogit", - "url": "https://github.com/NeogitOrg/neogit", - "description": "An interactive and powerful Git interface for Neovim, inspired by Magit", + "title": "epwalsh/obsidian.nvim", + "url": "https://github.com/epwalsh/obsidian.nvim", + "description": "Obsidian 🤝 Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "4,088", - "forks": "241", - "addStars": "2", + "stars": "4,260", + "forks": "190", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7228095?s=40&v=4", - "name": "CKolkey", - "url": "https://github.com/CKolkey" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32014449?s=40&v=4", - "name": "TimUntersberger", - "url": "https://github.com/TimUntersberger" + "avatar": "https://avatars.githubusercontent.com/u/8812459?s=40&v=4", + "name": "epwalsh", + "url": "https://github.com/epwalsh" }, { - "avatar": "https://avatars.githubusercontent.com/u/25723553?s=40&v=4", - "name": "ten3roberts", - "url": "https://github.com/ten3roberts" + "avatar": "https://avatars.githubusercontent.com/u/10967987?s=40&v=4", + "name": "gplusplus314", + "url": "https://github.com/gplusplus314" }, { - "avatar": "https://avatars.githubusercontent.com/u/10298987?s=40&v=4", - "name": "RianFuro", - "url": "https://github.com/RianFuro" + "avatar": "https://avatars.githubusercontent.com/u/75107188?s=40&v=4", + "name": "shakesbeare", + "url": "https://github.com/shakesbeare" }, { - "avatar": "https://avatars.githubusercontent.com/u/58627896?s=40&v=4", - "name": "PriceHiller", - "url": "https://github.com/PriceHiller" + "avatar": "https://avatars.githubusercontent.com/u/5250400?s=40&v=4", + "name": "s-cassidy", + "url": "https://github.com/s-cassidy" } ] }, { - "title": "josean-dev/dev-environment-files", - "url": "https://github.com/josean-dev/dev-environment-files", - "description": "", + "title": "opentibiabr/canary", + "url": "https://github.com/opentibiabr/canary", + "description": "Canary Server 13.x for OpenTibia community.", "language": "Lua", "languageColor": "#000080", - "stars": "3,151", - "forks": "815", - "addStars": "3", + "stars": "383", + "forks": "635", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/114825290?s=40&v=4", - "name": "josean-dev", - "url": "https://github.com/josean-dev" + "avatar": "https://avatars.githubusercontent.com/u/8551443?s=40&v=4", + "name": "dudantas", + "url": "https://github.com/dudantas" }, { - "avatar": "https://avatars.githubusercontent.com/u/13246770?s=40&v=4", - "name": "RigottiG", - "url": "https://github.com/RigottiG" + "avatar": "https://avatars.githubusercontent.com/u/223760?s=40&v=4", + "name": "luan", + "url": "https://github.com/luan" }, { - "avatar": "https://avatars.githubusercontent.com/u/18014194?s=40&v=4", - "name": "MarkCodesTheWeb", - "url": "https://github.com/MarkCodesTheWeb" + "avatar": "https://avatars.githubusercontent.com/u/61994374?s=40&v=4", + "name": "beats-dh", + "url": "https://github.com/beats-dh" }, { - "avatar": "https://avatars.githubusercontent.com/u/19376865?s=40&v=4", - "name": "aspehler", - "url": "https://github.com/aspehler" + "avatar": "https://avatars.githubusercontent.com/u/26801045?s=40&v=4", + "name": "omarcopires", + "url": "https://github.com/omarcopires" }, { - "avatar": "https://avatars.githubusercontent.com/u/27237080?s=40&v=4", - "name": "kannicht", - "url": "https://github.com/kannicht" + "avatar": "https://avatars.githubusercontent.com/u/7812282?s=40&v=4", + "name": "elsongabriel", + "url": "https://github.com/elsongabriel" } ] }, { - "title": "akinsho/toggleterm.nvim", - "url": "https://github.com/akinsho/toggleterm.nvim", - "description": "A neovim lua plugin to help easily manage multiple terminal windows", + "title": "neovim/nvim-lspconfig", + "url": "https://github.com/neovim/nvim-lspconfig", + "description": "Quickstart configs for Nvim LSP", "language": "Lua", "languageColor": "#000080", - "stars": "4,424", - "forks": "175", - "addStars": "1", + "stars": "10,776", + "forks": "2,090", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/22454918?s=40&v=4", - "name": "akinsho", - "url": "https://github.com/akinsho" + "avatar": "https://avatars.githubusercontent.com/u/13316262?s=40&v=4", + "name": "mjlbach", + "url": "https://github.com/mjlbach" }, { - "avatar": "https://avatars.githubusercontent.com/u/35707277?s=40&v=4", - "name": "l-kershaw", - "url": "https://github.com/l-kershaw" + "avatar": "https://avatars.githubusercontent.com/u/4556097?s=40&v=4", + "name": "h-michael", + "url": "https://github.com/h-michael" }, { - "avatar": "https://avatars.githubusercontent.com/u/35768171?s=40&v=4", - "name": "zeertzjq", - "url": "https://github.com/zeertzjq" + "avatar": "https://avatars.githubusercontent.com/u/41671631?s=40&v=4", + "name": "glepnir", + "url": "https://github.com/glepnir" }, { - "avatar": "https://avatars.githubusercontent.com/u/19390954?s=40&v=4", - "name": "genesistms", - "url": "https://github.com/genesistms" + "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", + "name": "justinmk", + "url": "https://github.com/justinmk" } ] }, { - "title": "xmake-io/xmake", - "url": "https://github.com/xmake-io/xmake", - "description": "🔥 A cross-platform build utility based on Lua", + "title": "andrewferrier/debugprint.nvim", + "url": "https://github.com/andrewferrier/debugprint.nvim", + "description": "Debugging in NeoVim the print() way!", "language": "Lua", "languageColor": "#000080", - "stars": "10,189", - "forks": "788", - "addStars": "4", + "stars": "357", + "forks": "22", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/151335?s=40&v=4", - "name": "waruqi", - "url": "https://github.com/waruqi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27437334?s=40&v=4", - "name": "Arthapz", - "url": "https://github.com/Arthapz" + "avatar": "https://avatars.githubusercontent.com/u/107015?s=40&v=4", + "name": "andrewferrier", + "url": "https://github.com/andrewferrier" }, { - "avatar": "https://avatars.githubusercontent.com/u/13471233?s=40&v=4", - "name": "OpportunityLiu", - "url": "https://github.com/OpportunityLiu" + "avatar": "https://avatars.githubusercontent.com/u/300791?s=40&v=4", + "name": "mikavilpas", + "url": "https://github.com/mikavilpas" }, { - "avatar": "https://avatars.githubusercontent.com/u/118280419?s=40&v=4", - "name": "ruihe774", - "url": "https://github.com/ruihe774" + "avatar": "https://avatars.githubusercontent.com/u/633183?s=40&v=4", + "name": "knutwalker", + "url": "https://github.com/knutwalker" }, { - "avatar": "https://avatars.githubusercontent.com/u/3002461?s=40&v=4", - "name": "SirLynix", - "url": "https://github.com/SirLynix" + "avatar": "https://avatars.githubusercontent.com/u/4946827?s=40&v=4", + "name": "tzachar", + "url": "https://github.com/tzachar" } ] }, { - "title": "opentibiabr/canary", - "url": "https://github.com/opentibiabr/canary", - "description": "Canary Server 13.x for OpenTibia community.", + "title": "nvim-tree/nvim-tree.lua", + "url": "https://github.com/nvim-tree/nvim-tree.lua", + "description": "A file explorer tree for neovim written in lua", "language": "Lua", "languageColor": "#000080", - "stars": "383", - "forks": "635", - "addStars": "0", + "stars": "7,269", + "forks": "609", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8551443?s=40&v=4", - "name": "dudantas", - "url": "https://github.com/dudantas" + "avatar": "https://avatars.githubusercontent.com/u/23156099?s=40&v=4", + "name": "kyazdani42", + "url": "https://github.com/kyazdani42" }, { - "avatar": "https://avatars.githubusercontent.com/u/223760?s=40&v=4", - "name": "luan", - "url": "https://github.com/luan" + "avatar": "https://avatars.githubusercontent.com/u/1505378?s=40&v=4", + "name": "alex-courtis", + "url": "https://github.com/alex-courtis" }, { - "avatar": "https://avatars.githubusercontent.com/u/61994374?s=40&v=4", - "name": "beats-dh", - "url": "https://github.com/beats-dh" + "avatar": "https://avatars.githubusercontent.com/u/49314270?s=40&v=4", + "name": "Akmadan23", + "url": "https://github.com/Akmadan23" }, { - "avatar": "https://avatars.githubusercontent.com/u/26801045?s=40&v=4", - "name": "omarcopires", - "url": "https://github.com/omarcopires" + "avatar": "https://avatars.githubusercontent.com/u/2786478?s=40&v=4", + "name": "sindrets", + "url": "https://github.com/sindrets" }, { - "avatar": "https://avatars.githubusercontent.com/u/7812282?s=40&v=4", - "name": "elsongabriel", - "url": "https://github.com/elsongabriel" + "avatar": "https://avatars.githubusercontent.com/u/69750637?s=40&v=4", + "name": "gegoune", + "url": "https://github.com/gegoune" } ] }, { - "title": "folke/lazy.nvim", - "url": "https://github.com/folke/lazy.nvim", - "description": "💤 A modern plugin manager for Neovim", + "title": "Exafunction/codeium.nvim", + "url": "https://github.com/Exafunction/codeium.nvim", + "description": "A native neovim extension for Codeium", "language": "Lua", "languageColor": "#000080", - "stars": "15,009", - "forks": "364", - "addStars": "16", + "stars": "831", + "forks": "61", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", - "name": "folke", - "url": "https://github.com/folke" + "avatar": "https://avatars.githubusercontent.com/u/522465?s=40&v=4", + "name": "jcdickinson", + "url": "https://github.com/jcdickinson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1908017?s=40&v=4", + "name": "pqn", + "url": "https://github.com/pqn" }, { - "avatar": "https://avatars.githubusercontent.com/u/81827001?s=40&v=4", - "name": "max397574", - "url": "https://github.com/max397574" + "avatar": "https://avatars.githubusercontent.com/u/919206?s=40&v=4", + "name": "aliaksandr-trush", + "url": "https://github.com/aliaksandr-trush" }, { - "avatar": "https://avatars.githubusercontent.com/u/20475201?s=40&v=4", - "name": "tsakirist", - "url": "https://github.com/tsakirist" + "avatar": "https://avatars.githubusercontent.com/u/1369558?s=40&v=4", + "name": "dimfeld", + "url": "https://github.com/dimfeld" }, { - "avatar": "https://avatars.githubusercontent.com/u/55766287?s=40&v=4", - "name": "ribru17", - "url": "https://github.com/ribru17" + "avatar": "https://avatars.githubusercontent.com/u/55286472?s=40&v=4", + "name": "WillEhrendreich", + "url": "https://github.com/WillEhrendreich" } ] }, { - "title": "nvim-lua/plenary.nvim", - "url": "https://github.com/nvim-lua/plenary.nvim", - "description": "plenary: full; complete; entire; absolute; unqualified. All the lua functions I don't want to write twice.", + "title": "catppuccin/nvim", + "url": "https://github.com/catppuccin/nvim", + "description": "🍨 Soothing pastel theme for (Neo)vim", "language": "Lua", "languageColor": "#000080", - "stars": "2,804", - "forks": "286", - "addStars": "5", + "stars": "5,650", + "forks": "257", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4466899?s=40&v=4", - "name": "tjdevries", - "url": "https://github.com/tjdevries" + "avatar": "https://avatars.githubusercontent.com/u/58336662?s=40&v=4", + "name": "pocco81", + "url": "https://github.com/pocco81" }, { - "avatar": "https://avatars.githubusercontent.com/u/15233006?s=40&v=4", - "name": "Conni2461", - "url": "https://github.com/Conni2461" + "avatar": "https://avatars.githubusercontent.com/u/56817415?s=40&v=4", + "name": "nullchilly", + "url": "https://github.com/nullchilly" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48406064?s=40&v=4", + "name": "mrtnvgr", + "url": "https://github.com/mrtnvgr" }, { - "avatar": "https://avatars.githubusercontent.com/u/35707277?s=40&v=4", - "name": "l-kershaw", - "url": "https://github.com/l-kershaw" + "avatar": "https://avatars.githubusercontent.com/u/54089360?s=40&v=4", + "name": "emxxjnm", + "url": "https://github.com/emxxjnm" }, { - "avatar": "https://avatars.githubusercontent.com/u/61095988?s=40&v=4", - "name": "oberblastmeister", - "url": "https://github.com/oberblastmeister" + "avatar": "https://avatars.githubusercontent.com/u/73375859?s=40&v=4", + "name": "vollowx", + "url": "https://github.com/vollowx" + } + ] + }, + { + "title": "folke/flash.nvim", + "url": "https://github.com/folke/flash.nvim", + "description": "Navigate your code with search labels, enhanced character motions and Treesitter integration", + "language": "Lua", + "languageColor": "#000080", + "stars": "2,591", + "forks": "34", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", + "name": "folke", + "url": "https://github.com/folke" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30277794?s=40&v=4", + "name": "atusy", + "url": "https://github.com/atusy" }, { - "avatar": "https://avatars.githubusercontent.com/u/12857160?s=40&v=4", - "name": "mrcjkb", - "url": "https://github.com/mrcjkb" + "avatar": "https://avatars.githubusercontent.com/u/47070852?s=40&v=4", + "name": "xiyaowong", + "url": "https://github.com/xiyaowong" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/196406?s=40&v=4", + "name": "kevintraver", + "url": "https://github.com/kevintraver" } ] }, { - "title": "linkease/istore", - "url": "https://github.com/linkease/istore", - "description": "一个 Openwrt 标准的软件中心,纯脚本实现,只依赖Openwrt标准组件。支持其它固件开发者集成到自己的固件里面。更方便入门用户搜索安装插件。The iStore is a app store for OpenWRT", + "title": "echasnovski/mini.nvim", + "url": "https://github.com/echasnovski/mini.nvim", + "description": "Library of 40+ independent Lua modules improving overall Neovim (version 0.8 and higher) experience with minimal effort", "language": "Lua", "languageColor": "#000080", - "stars": "1,507", - "forks": "331", - "addStars": "3", + "stars": "5,333", + "forks": "191", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3896703?s=40&v=4", - "name": "jjm2473", - "url": "https://github.com/jjm2473" + "avatar": "https://avatars.githubusercontent.com/u/24854248?s=40&v=4", + "name": "echasnovski", + "url": "https://github.com/echasnovski" }, { - "avatar": "https://avatars.githubusercontent.com/u/4100344?s=40&v=4", - "name": "jannson", - "url": "https://github.com/jannson" + "avatar": "https://avatars.githubusercontent.com/u/91024200?s=40&v=4", + "name": "wroyca", + "url": "https://github.com/wroyca" }, { - "avatar": "https://avatars.githubusercontent.com/u/25239238?s=40&v=4", - "name": "Carseason", - "url": "https://github.com/Carseason" + "avatar": "https://avatars.githubusercontent.com/u/33953936?s=40&v=4", + "name": "dundargoc", + "url": "https://github.com/dundargoc" }, { - "avatar": "https://avatars.githubusercontent.com/u/526140?s=40&v=4", - "name": "mjanson", - "url": "https://github.com/mjanson" + "avatar": "https://avatars.githubusercontent.com/u/8965202?s=40&v=4", + "name": "gpanders", + "url": "https://github.com/gpanders" }, { - "avatar": "https://avatars.githubusercontent.com/u/7206387?s=40&v=4", - "name": "ziguayungui", - "url": "https://github.com/ziguayungui" + "avatar": "https://avatars.githubusercontent.com/u/56289203?s=40&v=4", + "name": "annenpolka", + "url": "https://github.com/annenpolka" } ] } diff --git a/data/daily/lua.xml b/data/daily/lua.xml index 390ec23dcd39..923f3e97c72e 100644 --- a/data/daily/lua.xml +++ b/data/daily/lua.xml @@ -3,164 +3,169 @@ GitHub Lua Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Lua Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - LazyVim/LazyVim - https://github.com/LazyVim/LazyVim - Neovim config for the lazy - https://github.com/LazyVim/LazyVim + xiaorouji/openwrt-passwall + https://github.com/xiaorouji/openwrt-passwall + + https://github.com/xiaorouji/openwrt-passwall Lua #000080 - 17,592 - 1,242 - 24 + 7,258 + 2,653 + 6 - https://avatars.githubusercontent.com/u/292349?s=40&v=4 - folke - https://github.com/folke + https://avatars.githubusercontent.com/u/60100640?s=40&v=4 + xiaorouji + https://github.com/xiaorouji - https://avatars.githubusercontent.com/u/12776461?s=40&v=4 - dpetka2001 - https://github.com/dpetka2001 + https://avatars.githubusercontent.com/u/86697442?s=40&v=4 + lwb1978 + https://github.com/lwb1978 - https://avatars.githubusercontent.com/u/29718261?s=40&v=4 - amaanq - https://github.com/amaanq + https://avatars.githubusercontent.com/u/10704839?s=40&v=4 + nftbty + https://github.com/nftbty - https://avatars.githubusercontent.com/u/8222059?s=40&v=4 - rubiin - https://github.com/rubiin + https://avatars.githubusercontent.com/u/6050360?s=40&v=4 + smallprogram + https://github.com/smallprogram + + + https://avatars.githubusercontent.com/u/31815718?s=40&v=4 + ShanStone + https://github.com/ShanStone - nvim-neotest/neotest - https://github.com/nvim-neotest/neotest - An extensible framework for interacting with tests within NeoVim. - https://github.com/nvim-neotest/neotest + koreader/koreader + https://github.com/koreader/koreader + An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices + https://github.com/koreader/koreader Lua #000080 - 2,428 - 123 - 2 + 16,936 + 1,269 + 10 - https://avatars.githubusercontent.com/u/24252670?s=40&v=4 - rcarriga - https://github.com/rcarriga + https://avatars.githubusercontent.com/u/670302?s=40&v=4 + houqp + https://github.com/houqp - https://avatars.githubusercontent.com/u/506791?s=40&v=4 - stevearc - https://github.com/stevearc + https://avatars.githubusercontent.com/u/751535?s=40&v=4 + chrox + https://github.com/chrox - https://avatars.githubusercontent.com/u/62736?s=40&v=4 - OddBloke - https://github.com/OddBloke + https://avatars.githubusercontent.com/u/111974?s=40&v=4 + NiLuJe + https://github.com/NiLuJe - https://avatars.githubusercontent.com/u/12857160?s=40&v=4 - mrcjkb - https://github.com/mrcjkb + https://avatars.githubusercontent.com/u/202757?s=40&v=4 + Frenzie + https://github.com/Frenzie - https://avatars.githubusercontent.com/u/31012661?s=40&v=4 - rcasia - https://github.com/rcasia + https://avatars.githubusercontent.com/u/24273478?s=40&v=4 + poire-z + https://github.com/poire-z - sindrets/diffview.nvim - https://github.com/sindrets/diffview.nvim - Single tabpage interface for easily cycling through diffs for all modified files for any git rev. - https://github.com/sindrets/diffview.nvim + williamboman/mason.nvim + https://github.com/williamboman/mason.nvim + Portable package manager for Neovim that runs everywhere Neovim runs. Easily install and manage LSP servers, DAP servers, linters, and formatters. + https://github.com/williamboman/mason.nvim Lua #000080 - 4,065 - 113 - 9 + 7,966 + 281 + 8 - https://avatars.githubusercontent.com/u/2786478?s=40&v=4 - sindrets - https://github.com/sindrets + https://avatars.githubusercontent.com/u/6705160?s=40&v=4 + williamboman + https://github.com/williamboman - https://avatars.githubusercontent.com/u/747627?s=40&v=4 - zegervdv - https://github.com/zegervdv + https://avatars.githubusercontent.com/u/107473453?s=40&v=4 + williambotman + https://github.com/williambotman - https://avatars.githubusercontent.com/u/1597820?s=40&v=4 - briandipalma - https://github.com/briandipalma + https://avatars.githubusercontent.com/u/4702260?s=40&v=4 + WhoIsSethDaniel + https://github.com/WhoIsSethDaniel - https://avatars.githubusercontent.com/u/14960414?s=40&v=4 - charbelnicolas - https://github.com/charbelnicolas + https://avatars.githubusercontent.com/u/36803168?s=40&v=4 + jay-babu + https://github.com/jay-babu - https://avatars.githubusercontent.com/u/339433?s=40&v=4 - emmanueltouzery - https://github.com/emmanueltouzery + https://avatars.githubusercontent.com/u/31539177?s=40&v=4 + thanhvule0310 + https://github.com/thanhvule0310 - nvim-neo-tree/neo-tree.nvim - https://github.com/nvim-neo-tree/neo-tree.nvim - Neovim plugin to manage the file system and other tree like structures. - https://github.com/nvim-neo-tree/neo-tree.nvim + nvim-telescope/telescope.nvim + https://github.com/nvim-telescope/telescope.nvim + Find, Filter, Preview, Pick. All lua, all the time. + https://github.com/nvim-telescope/telescope.nvim Lua #000080 - 3,917 - 225 - 4 + 16,062 + 840 + 9 - https://avatars.githubusercontent.com/u/5160605?s=40&v=4 - cseickel - https://github.com/cseickel + https://avatars.githubusercontent.com/u/15233006?s=40&v=4 + Conni2461 + https://github.com/Conni2461 - https://avatars.githubusercontent.com/u/41065736?s=40&v=4 - pysan3 - https://github.com/pysan3 + https://avatars.githubusercontent.com/u/4466899?s=40&v=4 + tjdevries + https://github.com/tjdevries - https://avatars.githubusercontent.com/u/8050659?s=40&v=4 - MunifTanjim - https://github.com/MunifTanjim + https://avatars.githubusercontent.com/u/66286082?s=40&v=4 + jamestrew + https://github.com/jamestrew - https://avatars.githubusercontent.com/u/30724347?s=40&v=4 - nhat-vo - https://github.com/nhat-vo + https://avatars.githubusercontent.com/u/15027?s=40&v=4 + rockerBOO + https://github.com/rockerBOO - https://avatars.githubusercontent.com/u/70210066?s=40&v=4 - lopi-py - https://github.com/lopi-py + https://avatars.githubusercontent.com/u/39233597?s=40&v=4 + fdschmidt93 + https://github.com/fdschmidt93 - folke/noice.nvim - https://github.com/folke/noice.nvim - 💥 Highly experimental plugin that completely replaces the UI for messages, cmdline and the popupmenu. - https://github.com/folke/noice.nvim + folke/zen-mode.nvim + https://github.com/folke/zen-mode.nvim + 🧘 Distraction-free coding for Neovim + https://github.com/folke/zen-mode.nvim Lua #000080 - 4,475 - 105 - 4 + 1,762 + 56 + 3 https://avatars.githubusercontent.com/u/292349?s=40&v=4 @@ -168,455 +173,488 @@ https://github.com/folke - https://avatars.githubusercontent.com/u/62502207?s=40&v=4 - MariaSolOs - https://github.com/MariaSolOs + https://avatars.githubusercontent.com/u/111366?s=40&v=4 + marcelbeumer + https://github.com/marcelbeumer - https://avatars.githubusercontent.com/u/130783534?s=40&v=4 - Sam-programs - https://github.com/Sam-programs + https://avatars.githubusercontent.com/u/490790?s=40&v=4 + MattSPalmer + https://github.com/MattSPalmer - https://avatars.githubusercontent.com/u/41495?s=40&v=4 - Shougo - https://github.com/Shougo + https://avatars.githubusercontent.com/u/3034594?s=40&v=4 + cockytrumpet + https://github.com/cockytrumpet - ellisonleao/gruvbox.nvim - https://github.com/ellisonleao/gruvbox.nvim - Lua port of the most famous vim colorscheme - https://github.com/ellisonleao/gruvbox.nvim + Saghen/blink.cmp + https://github.com/Saghen/blink.cmp + Performant, batteries-included completion plugin for Neovim + https://github.com/Saghen/blink.cmp Lua #000080 - 1,949 - 210 - 0 + 1,345 + 78 + 12 - https://avatars.githubusercontent.com/u/178641?s=40&v=4 - ellisonleao - https://github.com/ellisonleao + https://avatars.githubusercontent.com/u/10467983?s=40&v=4 + Saghen + https://github.com/Saghen - https://avatars.githubusercontent.com/u/2284724?s=40&v=4 - sQVe - https://github.com/sQVe + https://avatars.githubusercontent.com/u/18155001?s=40&v=4 + redxtech + https://github.com/redxtech - https://avatars.githubusercontent.com/u/93489008?s=40&v=4 - xntebli - https://github.com/xntebli + https://avatars.githubusercontent.com/u/70210066?s=40&v=4 + lopi-py + https://github.com/lopi-py - https://avatars.githubusercontent.com/u/88047141?s=40&v=4 - xeluxee - https://github.com/xeluxee + https://avatars.githubusercontent.com/u/39483124?s=40&v=4 + scottmckendry + https://github.com/scottmckendry + + + https://avatars.githubusercontent.com/u/61115159?s=40&v=4 + ofseed + https://github.com/ofseed - catppuccin/nvim - https://github.com/catppuccin/nvim - 🍨 Soothing pastel theme for (Neo)vim - https://github.com/catppuccin/nvim + Mintimate/oh-my-rime + https://github.com/Mintimate/oh-my-rime + The Simple Config Template Of Rime By Mintimate. QQ Chat-Group: 703260572 + https://github.com/Mintimate/oh-my-rime Lua #000080 - 5,650 - 256 - 3 + 2,735 + 185 + 4 - https://avatars.githubusercontent.com/u/58336662?s=40&v=4 - pocco81 - https://github.com/pocco81 + https://avatars.githubusercontent.com/u/43734212?s=40&v=4 + Mintimate + https://github.com/Mintimate - https://avatars.githubusercontent.com/u/56817415?s=40&v=4 - nullchilly - https://github.com/nullchilly - - - https://avatars.githubusercontent.com/u/48406064?s=40&v=4 - mrtnvgr - https://github.com/mrtnvgr + https://avatars.githubusercontent.com/u/132128788?s=40&v=4 + YummyCocoa + https://github.com/YummyCocoa - https://avatars.githubusercontent.com/u/54089360?s=40&v=4 - emxxjnm - https://github.com/emxxjnm + https://avatars.githubusercontent.com/u/76689045?s=40&v=4 + mirtlecn + https://github.com/mirtlecn - https://avatars.githubusercontent.com/u/73375859?s=40&v=4 - vollowx - https://github.com/vollowx + https://avatars.githubusercontent.com/u/14658234?s=40&v=4 + iDvel + https://github.com/iDvel - stevearc/oil.nvim - https://github.com/stevearc/oil.nvim - Neovim file explorer: edit your filesystem like a buffer - https://github.com/stevearc/oil.nvim + sindrets/diffview.nvim + https://github.com/sindrets/diffview.nvim + Single tabpage interface for easily cycling through diffs for all modified files for any git rev. + https://github.com/sindrets/diffview.nvim Lua #000080 - 4,096 - 121 - 3 + 4,068 + 113 + 9 - https://avatars.githubusercontent.com/u/506791?s=40&v=4 - stevearc - https://github.com/stevearc + https://avatars.githubusercontent.com/u/2786478?s=40&v=4 + sindrets + https://github.com/sindrets - https://avatars.githubusercontent.com/u/62358156?s=40&v=4 - NikolaM-Dev - https://github.com/NikolaM-Dev + https://avatars.githubusercontent.com/u/747627?s=40&v=4 + zegervdv + https://github.com/zegervdv - https://avatars.githubusercontent.com/u/1591837?s=40&v=4 - mehalter - https://github.com/mehalter + https://avatars.githubusercontent.com/u/1597820?s=40&v=4 + briandipalma + https://github.com/briandipalma + + + https://avatars.githubusercontent.com/u/14960414?s=40&v=4 + charbelnicolas + https://github.com/charbelnicolas - https://avatars.githubusercontent.com/u/24248467?s=40&v=4 - Foo-x - https://github.com/Foo-x + https://avatars.githubusercontent.com/u/339433?s=40&v=4 + emmanueltouzery + https://github.com/emmanueltouzery - yetone/avante.nvim - https://github.com/yetone/avante.nvim - Use your Neovim like using Cursor AI IDE! - https://github.com/yetone/avante.nvim + esx-framework/esx_core + https://github.com/esx-framework/esx_core + Official Repo For core resources for esx-legacy + https://github.com/esx-framework/esx_core Lua #000080 - 7,317 - 273 - 24 + 377 + 745 + 2 - https://avatars.githubusercontent.com/u/1206493?s=40&v=4 - yetone - https://github.com/yetone + https://avatars.githubusercontent.com/u/22378232?s=40&v=4 + Mycroft-Studios + https://github.com/Mycroft-Studios - https://avatars.githubusercontent.com/u/29749331?s=40&v=4 - aarnphm - https://github.com/aarnphm + https://avatars.githubusercontent.com/u/65407488?s=40&v=4 + thelindat + https://github.com/thelindat - https://avatars.githubusercontent.com/u/25029451?s=40&v=4 - yuchanns - https://github.com/yuchanns + https://avatars.githubusercontent.com/u/102178921?s=40&v=4 + Benzo00 + https://github.com/Benzo00 - https://avatars.githubusercontent.com/u/21299126?s=40&v=4 - b0o - https://github.com/b0o + https://avatars.githubusercontent.com/u/22717950?s=40&v=4 + Gellipapa + https://github.com/Gellipapa - https://avatars.githubusercontent.com/u/10977085?s=40&v=4 - brewinski - https://github.com/brewinski + https://avatars.githubusercontent.com/u/12564301?s=40&v=4 + ElPumpo + https://github.com/ElPumpo - NeogitOrg/neogit - https://github.com/NeogitOrg/neogit - An interactive and powerful Git interface for Neovim, inspired by Magit - https://github.com/NeogitOrg/neogit + epwalsh/obsidian.nvim + https://github.com/epwalsh/obsidian.nvim + Obsidian 🤝 Neovim + https://github.com/epwalsh/obsidian.nvim Lua #000080 - 4,088 - 241 - 2 + 4,260 + 190 + 6 - https://avatars.githubusercontent.com/u/7228095?s=40&v=4 - CKolkey - https://github.com/CKolkey + https://avatars.githubusercontent.com/u/8812459?s=40&v=4 + epwalsh + https://github.com/epwalsh - https://avatars.githubusercontent.com/u/32014449?s=40&v=4 - TimUntersberger - https://github.com/TimUntersberger + https://avatars.githubusercontent.com/u/10967987?s=40&v=4 + gplusplus314 + https://github.com/gplusplus314 - https://avatars.githubusercontent.com/u/25723553?s=40&v=4 - ten3roberts - https://github.com/ten3roberts + https://avatars.githubusercontent.com/u/75107188?s=40&v=4 + shakesbeare + https://github.com/shakesbeare - https://avatars.githubusercontent.com/u/10298987?s=40&v=4 - RianFuro - https://github.com/RianFuro - - - https://avatars.githubusercontent.com/u/58627896?s=40&v=4 - PriceHiller - https://github.com/PriceHiller + https://avatars.githubusercontent.com/u/5250400?s=40&v=4 + s-cassidy + https://github.com/s-cassidy - josean-dev/dev-environment-files - https://github.com/josean-dev/dev-environment-files - - https://github.com/josean-dev/dev-environment-files + opentibiabr/canary + https://github.com/opentibiabr/canary + Canary Server 13.x for OpenTibia community. + https://github.com/opentibiabr/canary Lua #000080 - 3,151 - 815 - 3 + 383 + 635 + 0 - https://avatars.githubusercontent.com/u/114825290?s=40&v=4 - josean-dev - https://github.com/josean-dev + https://avatars.githubusercontent.com/u/8551443?s=40&v=4 + dudantas + https://github.com/dudantas - https://avatars.githubusercontent.com/u/13246770?s=40&v=4 - RigottiG - https://github.com/RigottiG + https://avatars.githubusercontent.com/u/223760?s=40&v=4 + luan + https://github.com/luan - https://avatars.githubusercontent.com/u/18014194?s=40&v=4 - MarkCodesTheWeb - https://github.com/MarkCodesTheWeb + https://avatars.githubusercontent.com/u/61994374?s=40&v=4 + beats-dh + https://github.com/beats-dh - https://avatars.githubusercontent.com/u/19376865?s=40&v=4 - aspehler - https://github.com/aspehler + https://avatars.githubusercontent.com/u/26801045?s=40&v=4 + omarcopires + https://github.com/omarcopires - https://avatars.githubusercontent.com/u/27237080?s=40&v=4 - kannicht - https://github.com/kannicht + https://avatars.githubusercontent.com/u/7812282?s=40&v=4 + elsongabriel + https://github.com/elsongabriel - akinsho/toggleterm.nvim - https://github.com/akinsho/toggleterm.nvim - A neovim lua plugin to help easily manage multiple terminal windows - https://github.com/akinsho/toggleterm.nvim + neovim/nvim-lspconfig + https://github.com/neovim/nvim-lspconfig + Quickstart configs for Nvim LSP + https://github.com/neovim/nvim-lspconfig Lua #000080 - 4,424 - 175 - 1 + 10,776 + 2,090 + 5 - https://avatars.githubusercontent.com/u/22454918?s=40&v=4 - akinsho - https://github.com/akinsho + https://avatars.githubusercontent.com/u/13316262?s=40&v=4 + mjlbach + https://github.com/mjlbach - https://avatars.githubusercontent.com/u/35707277?s=40&v=4 - l-kershaw - https://github.com/l-kershaw + https://avatars.githubusercontent.com/u/4556097?s=40&v=4 + h-michael + https://github.com/h-michael - https://avatars.githubusercontent.com/u/35768171?s=40&v=4 - zeertzjq - https://github.com/zeertzjq + https://avatars.githubusercontent.com/u/41671631?s=40&v=4 + glepnir + https://github.com/glepnir - https://avatars.githubusercontent.com/u/19390954?s=40&v=4 - genesistms - https://github.com/genesistms + https://avatars.githubusercontent.com/u/1359421?s=40&v=4 + justinmk + https://github.com/justinmk - xmake-io/xmake - https://github.com/xmake-io/xmake - 🔥 A cross-platform build utility based on Lua - https://github.com/xmake-io/xmake + andrewferrier/debugprint.nvim + https://github.com/andrewferrier/debugprint.nvim + Debugging in NeoVim the print() way! + https://github.com/andrewferrier/debugprint.nvim Lua #000080 - 10,189 - 788 - 4 + 357 + 22 + 3 - https://avatars.githubusercontent.com/u/151335?s=40&v=4 - waruqi - https://github.com/waruqi - - - https://avatars.githubusercontent.com/u/27437334?s=40&v=4 - Arthapz - https://github.com/Arthapz + https://avatars.githubusercontent.com/u/107015?s=40&v=4 + andrewferrier + https://github.com/andrewferrier - https://avatars.githubusercontent.com/u/13471233?s=40&v=4 - OpportunityLiu - https://github.com/OpportunityLiu + https://avatars.githubusercontent.com/u/300791?s=40&v=4 + mikavilpas + https://github.com/mikavilpas - https://avatars.githubusercontent.com/u/118280419?s=40&v=4 - ruihe774 - https://github.com/ruihe774 + https://avatars.githubusercontent.com/u/633183?s=40&v=4 + knutwalker + https://github.com/knutwalker - https://avatars.githubusercontent.com/u/3002461?s=40&v=4 - SirLynix - https://github.com/SirLynix + https://avatars.githubusercontent.com/u/4946827?s=40&v=4 + tzachar + https://github.com/tzachar - opentibiabr/canary - https://github.com/opentibiabr/canary - Canary Server 13.x for OpenTibia community. - https://github.com/opentibiabr/canary + nvim-tree/nvim-tree.lua + https://github.com/nvim-tree/nvim-tree.lua + A file explorer tree for neovim written in lua + https://github.com/nvim-tree/nvim-tree.lua Lua #000080 - 383 - 635 - 0 + 7,269 + 609 + 2 - https://avatars.githubusercontent.com/u/8551443?s=40&v=4 - dudantas - https://github.com/dudantas + https://avatars.githubusercontent.com/u/23156099?s=40&v=4 + kyazdani42 + https://github.com/kyazdani42 - https://avatars.githubusercontent.com/u/223760?s=40&v=4 - luan - https://github.com/luan + https://avatars.githubusercontent.com/u/1505378?s=40&v=4 + alex-courtis + https://github.com/alex-courtis - https://avatars.githubusercontent.com/u/61994374?s=40&v=4 - beats-dh - https://github.com/beats-dh + https://avatars.githubusercontent.com/u/49314270?s=40&v=4 + Akmadan23 + https://github.com/Akmadan23 - https://avatars.githubusercontent.com/u/26801045?s=40&v=4 - omarcopires - https://github.com/omarcopires + https://avatars.githubusercontent.com/u/2786478?s=40&v=4 + sindrets + https://github.com/sindrets - https://avatars.githubusercontent.com/u/7812282?s=40&v=4 - elsongabriel - https://github.com/elsongabriel + https://avatars.githubusercontent.com/u/69750637?s=40&v=4 + gegoune + https://github.com/gegoune - folke/lazy.nvim - https://github.com/folke/lazy.nvim - 💤 A modern plugin manager for Neovim - https://github.com/folke/lazy.nvim + Exafunction/codeium.nvim + https://github.com/Exafunction/codeium.nvim + A native neovim extension for Codeium + https://github.com/Exafunction/codeium.nvim Lua #000080 - 15,009 - 364 - 16 + 831 + 61 + 2 - https://avatars.githubusercontent.com/u/292349?s=40&v=4 - folke - https://github.com/folke + https://avatars.githubusercontent.com/u/522465?s=40&v=4 + jcdickinson + https://github.com/jcdickinson + + + https://avatars.githubusercontent.com/u/1908017?s=40&v=4 + pqn + https://github.com/pqn - https://avatars.githubusercontent.com/u/81827001?s=40&v=4 - max397574 - https://github.com/max397574 + https://avatars.githubusercontent.com/u/919206?s=40&v=4 + aliaksandr-trush + https://github.com/aliaksandr-trush - https://avatars.githubusercontent.com/u/20475201?s=40&v=4 - tsakirist - https://github.com/tsakirist + https://avatars.githubusercontent.com/u/1369558?s=40&v=4 + dimfeld + https://github.com/dimfeld - https://avatars.githubusercontent.com/u/55766287?s=40&v=4 - ribru17 - https://github.com/ribru17 + https://avatars.githubusercontent.com/u/55286472?s=40&v=4 + WillEhrendreich + https://github.com/WillEhrendreich - nvim-lua/plenary.nvim - https://github.com/nvim-lua/plenary.nvim - plenary: full; complete; entire; absolute; unqualified. All the lua functions I don't want to write twice. - https://github.com/nvim-lua/plenary.nvim + catppuccin/nvim + https://github.com/catppuccin/nvim + 🍨 Soothing pastel theme for (Neo)vim + https://github.com/catppuccin/nvim Lua #000080 - 2,804 - 286 - 5 + 5,650 + 257 + 3 - https://avatars.githubusercontent.com/u/4466899?s=40&v=4 - tjdevries - https://github.com/tjdevries + https://avatars.githubusercontent.com/u/58336662?s=40&v=4 + pocco81 + https://github.com/pocco81 - https://avatars.githubusercontent.com/u/15233006?s=40&v=4 - Conni2461 - https://github.com/Conni2461 + https://avatars.githubusercontent.com/u/56817415?s=40&v=4 + nullchilly + https://github.com/nullchilly - https://avatars.githubusercontent.com/u/35707277?s=40&v=4 - l-kershaw - https://github.com/l-kershaw + https://avatars.githubusercontent.com/u/48406064?s=40&v=4 + mrtnvgr + https://github.com/mrtnvgr - https://avatars.githubusercontent.com/u/61095988?s=40&v=4 - oberblastmeister - https://github.com/oberblastmeister + https://avatars.githubusercontent.com/u/54089360?s=40&v=4 + emxxjnm + https://github.com/emxxjnm - https://avatars.githubusercontent.com/u/12857160?s=40&v=4 - mrcjkb - https://github.com/mrcjkb + https://avatars.githubusercontent.com/u/73375859?s=40&v=4 + vollowx + https://github.com/vollowx - linkease/istore - https://github.com/linkease/istore - 一个 Openwrt 标准的软件中心,纯脚本实现,只依赖Openwrt标准组件。支持其它固件开发者集成到自己的固件里面。更方便入门用户搜索安装插件。The iStore is a app store for OpenWRT - https://github.com/linkease/istore + folke/flash.nvim + https://github.com/folke/flash.nvim + Navigate your code with search labels, enhanced character motions and Treesitter integration + https://github.com/folke/flash.nvim Lua #000080 - 1,507 - 331 - 3 + 2,591 + 34 + 4 + + + https://avatars.githubusercontent.com/u/292349?s=40&v=4 + folke + https://github.com/folke + + + https://avatars.githubusercontent.com/u/30277794?s=40&v=4 + atusy + https://github.com/atusy + + + https://avatars.githubusercontent.com/u/47070852?s=40&v=4 + xiyaowong + https://github.com/xiyaowong + + + https://avatars.githubusercontent.com/u/196406?s=40&v=4 + kevintraver + https://github.com/kevintraver + + + + + echasnovski/mini.nvim + https://github.com/echasnovski/mini.nvim + Library of 40+ independent Lua modules improving overall Neovim (version 0.8 and higher) experience with minimal effort + https://github.com/echasnovski/mini.nvim + Lua + #000080 + 5,333 + 191 + 7 - https://avatars.githubusercontent.com/u/3896703?s=40&v=4 - jjm2473 - https://github.com/jjm2473 + https://avatars.githubusercontent.com/u/24854248?s=40&v=4 + echasnovski + https://github.com/echasnovski - https://avatars.githubusercontent.com/u/4100344?s=40&v=4 - jannson - https://github.com/jannson + https://avatars.githubusercontent.com/u/91024200?s=40&v=4 + wroyca + https://github.com/wroyca - https://avatars.githubusercontent.com/u/25239238?s=40&v=4 - Carseason - https://github.com/Carseason + https://avatars.githubusercontent.com/u/33953936?s=40&v=4 + dundargoc + https://github.com/dundargoc - https://avatars.githubusercontent.com/u/526140?s=40&v=4 - mjanson - https://github.com/mjanson + https://avatars.githubusercontent.com/u/8965202?s=40&v=4 + gpanders + https://github.com/gpanders - https://avatars.githubusercontent.com/u/7206387?s=40&v=4 - ziguayungui - https://github.com/ziguayungui + https://avatars.githubusercontent.com/u/56289203?s=40&v=4 + annenpolka + https://github.com/annenpolka diff --git a/data/daily/luau.json b/data/daily/luau.json index e16771a5c693..91fd23cd33b0 100644 --- a/data/daily/luau.json +++ b/data/daily/luau.json @@ -2,6 +2,6 @@ "title": "GitHub Luau Languages Daily Trending", "description": "Daily Trending of Luau Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/luau.xml b/data/daily/luau.xml index ca87d389c3b5..e03ddf5c98bf 100644 --- a/data/daily/luau.xml +++ b/data/daily/luau.xml @@ -3,6 +3,6 @@ GitHub Luau Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Luau Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/m.json b/data/daily/m.json index a05d13cae309..390824ee3c10 100644 --- a/data/daily/m.json +++ b/data/daily/m.json @@ -2,6 +2,6 @@ "title": "GitHub M Languages Daily Trending", "description": "Daily Trending of M Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/m.xml b/data/daily/m.xml index 9df9ff0973e2..987c814a43b5 100644 --- a/data/daily/m.xml +++ b/data/daily/m.xml @@ -3,6 +3,6 @@ GitHub M Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of M Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/m4.json b/data/daily/m4.json index ac01abbb942a..ae9a4bb90f1b 100644 --- a/data/daily/m4.json +++ b/data/daily/m4.json @@ -2,6 +2,6 @@ "title": "GitHub M4 Languages Daily Trending", "description": "Daily Trending of M4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/m4.xml b/data/daily/m4.xml index ab000a76c6bf..015b75c749cd 100644 --- a/data/daily/m4.xml +++ b/data/daily/m4.xml @@ -3,6 +3,6 @@ GitHub M4 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of M4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/m4sugar.json b/data/daily/m4sugar.json index fae398683e12..6b591b031558 100644 --- a/data/daily/m4sugar.json +++ b/data/daily/m4sugar.json @@ -2,6 +2,6 @@ "title": "GitHub M4sugar Languages Daily Trending", "description": "Daily Trending of M4sugar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/m4sugar.xml b/data/daily/m4sugar.xml index 632fa32ce538..66ccb186e2ec 100644 --- a/data/daily/m4sugar.xml +++ b/data/daily/m4sugar.xml @@ -3,6 +3,6 @@ GitHub M4sugar Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of M4sugar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/macaulay2.json b/data/daily/macaulay2.json index f1f81a65bf3f..d317de14e832 100644 --- a/data/daily/macaulay2.json +++ b/data/daily/macaulay2.json @@ -2,6 +2,6 @@ "title": "GitHub Macaulay2 Languages Daily Trending", "description": "Daily Trending of Macaulay2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/macaulay2.xml b/data/daily/macaulay2.xml index a5c6d77bf29b..5d59fe6f7338 100644 --- a/data/daily/macaulay2.xml +++ b/data/daily/macaulay2.xml @@ -3,6 +3,6 @@ GitHub Macaulay2 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Macaulay2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/makefile.json b/data/daily/makefile.json index 548e7d62a626..e9ba95f7259c 100644 --- a/data/daily/makefile.json +++ b/data/daily/makefile.json @@ -2,227 +2,153 @@ "title": "GitHub Makefile Languages Daily Trending", "description": "Daily Trending of Makefile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "foostan/crkbd", - "url": "https://github.com/foostan/crkbd", - "description": "Corne keyboard, a split keyboard with 3x6 column staggered keys and 3 thumb keys.", + "title": "DataExpert-io/data-engineer-handbook", + "url": "https://github.com/DataExpert-io/data-engineer-handbook", + "description": "This is a repo with links to everything you'd ever want to learn about data engineering", "language": "Makefile", "languageColor": "#427819", - "stars": "5,657", - "forks": "983", - "addStars": "2", + "stars": "21,097", + "forks": "3,225", + "addStars": "346", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/736191?s=40&v=4", - "name": "foostan", - "url": "https://github.com/foostan" + "avatar": "https://avatars.githubusercontent.com/u/4583288?s=40&v=4", + "name": "EcZachly", + "url": "https://github.com/EcZachly" }, { - "avatar": "https://avatars.githubusercontent.com/u/42907?s=40&v=4", - "name": "hoijui", - "url": "https://github.com/hoijui" + "avatar": "https://avatars.githubusercontent.com/u/14322677?s=40&v=4", + "name": "liyin2015", + "url": "https://github.com/liyin2015" }, { - "avatar": "https://avatars.githubusercontent.com/u/19584?s=40&v=4", - "name": "jamesottaway", - "url": "https://github.com/jamesottaway" + "avatar": "https://avatars.githubusercontent.com/u/20457308?s=40&v=4", + "name": "Adesoji1", + "url": "https://github.com/Adesoji1" }, { - "avatar": "https://avatars.githubusercontent.com/u/5037505?s=40&v=4", - "name": "Naycon", - "url": "https://github.com/Naycon" + "avatar": "https://avatars.githubusercontent.com/u/57084343?s=40&v=4", + "name": "evil-in", + "url": "https://github.com/evil-in" }, { - "avatar": "https://avatars.githubusercontent.com/u/59737601?s=40&v=4", - "name": "waffle87", - "url": "https://github.com/waffle87" + "avatar": "https://avatars.githubusercontent.com/u/689199?s=40&v=4", + "name": "sspaeti", + "url": "https://github.com/sspaeti" } ] }, { - "title": "freebsd/freebsd-ports", - "url": "https://github.com/freebsd/freebsd-ports", - "description": "FreeBSD ports tree (read-only mirror)", + "title": "chatwork/dockerfiles", + "url": "https://github.com/chatwork/dockerfiles", + "description": "", "language": "Makefile", "languageColor": "#427819", - "stars": "998", - "forks": "759", + "stars": "31", + "forks": "11", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/688777?s=40&v=4", - "name": "sunpoet", - "url": "https://github.com/sunpoet" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/271906?s=40&v=4", - "name": "yurivict", - "url": "https://github.com/yurivict" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6657176?s=40&v=4", - "name": "miwi-fbsd", - "url": "https://github.com/miwi-fbsd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/474217?s=40&v=4", - "name": "AMDmi3", - "url": "https://github.com/AMDmi3" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11153579?s=40&v=4", - "name": "jbeich", - "url": "https://github.com/jbeich" - } - ] - }, - { - "title": "openwrt/packages", - "url": "https://github.com/openwrt/packages", - "description": "Community maintained packages for OpenWrt. Documentation for submitting pull requests is in CONTRIBUTING.md", - "language": "Makefile", - "languageColor": "#427819", - "stars": "4,036", - "forks": "3,498", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2918873?s=40&v=4", - "name": "neheb", - "url": "https://github.com/neheb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7926856?s=40&v=4", - "name": "hnyman", - "url": "https://github.com/hnyman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9948313?s=40&v=4", - "name": "dangowrt", - "url": "https://github.com/dangowrt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/252819?s=40&v=4", - "name": "jefferyto", - "url": "https://github.com/jefferyto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3441407?s=40&v=4", - "name": "thess", - "url": "https://github.com/thess" - } - ] - }, - { - "title": "golang-standards/project-layout", - "url": "https://github.com/golang-standards/project-layout", - "description": "Standard Go Project Layout", - "language": "Makefile", - "languageColor": "#427819", - "stars": "49,653", - "forks": "5,164", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1099414?s=40&v=4", - "name": "kcq", - "url": "https://github.com/kcq" + "avatar": "https://avatars.githubusercontent.com/u/41108065?s=40&v=4", + "name": "cw-circleci", + "url": "https://github.com/cw-circleci" }, { - "avatar": "https://avatars.githubusercontent.com/u/150719?s=40&v=4", - "name": "arialdomartini", - "url": "https://github.com/arialdomartini" + "avatar": "https://avatars.githubusercontent.com/u/29860510?s=40&v=4", + "name": "cw-sakamoto", + "url": "https://github.com/cw-sakamoto" }, { - "avatar": "https://avatars.githubusercontent.com/u/97484707?s=40&v=4", - "name": "rostis232", - "url": "https://github.com/rostis232" + "avatar": "https://avatars.githubusercontent.com/u/7764002?s=40&v=4", + "name": "cw-ozaki", + "url": "https://github.com/cw-ozaki" }, { - "avatar": "https://avatars.githubusercontent.com/u/17458831?s=40&v=4", - "name": "xis", - "url": "https://github.com/xis" + "avatar": "https://avatars.githubusercontent.com/u/1281825?s=40&v=4", + "name": "k-kinzal", + "url": "https://github.com/k-kinzal" }, { - "avatar": "https://avatars.githubusercontent.com/u/134580892?s=40&v=4", - "name": "n4x2", - "url": "https://github.com/n4x2" + "avatar": "https://avatars.githubusercontent.com/u/1284309?s=40&v=4", + "name": "taishin", + "url": "https://github.com/taishin" } ] }, { - "title": "yuk7/ArchWSL", - "url": "https://github.com/yuk7/ArchWSL", - "description": "ArchLinux based WSL Distribution. Supports multiple install.", + "title": "upbound/build", + "url": "https://github.com/upbound/build", + "description": "Upbound build and CI scripts", "language": "Makefile", "languageColor": "#427819", - "stars": "6,907", - "forks": "201", + "stars": "67", + "forks": "68", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/29954265?s=40&v=4", - "name": "yuk7", - "url": "https://github.com/yuk7" + "avatar": "https://avatars.githubusercontent.com/u/1463491?s=40&v=4", + "name": "bassam", + "url": "https://github.com/bassam" }, { - "avatar": "https://avatars.githubusercontent.com/u/62464927?s=40&v=4", - "name": "Remik1r3n", - "url": "https://github.com/Remik1r3n" + "avatar": "https://avatars.githubusercontent.com/u/9900707?s=40&v=4", + "name": "turkenh", + "url": "https://github.com/turkenh" }, { - "avatar": "https://avatars.githubusercontent.com/u/69170710?s=40&v=4", - "name": "nunniii", - "url": "https://github.com/nunniii" + "avatar": "https://avatars.githubusercontent.com/u/1049349?s=40&v=4", + "name": "negz", + "url": "https://github.com/negz" }, { - "avatar": "https://avatars.githubusercontent.com/u/44153315?s=40&v=4", - "name": "koumaza", - "url": "https://github.com/koumaza" + "avatar": "https://avatars.githubusercontent.com/u/31777345?s=40&v=4", + "name": "hasheddan", + "url": "https://github.com/hasheddan" }, { - "avatar": "https://avatars.githubusercontent.com/u/7889445?s=40&v=4", - "name": "DMNerd", - "url": "https://github.com/DMNerd" + "avatar": "https://avatars.githubusercontent.com/u/7584126?s=40&v=4", + "name": "muvaf", + "url": "https://github.com/muvaf" } ] }, { - "title": "SynoCommunity/spksrc", - "url": "https://github.com/SynoCommunity/spksrc", - "description": "Cross compilation framework to create native packages for the Synology's NAS", + "title": "spdx/license-list-XML", + "url": "https://github.com/spdx/license-list-XML", + "description": "This is the repository for the master files that comprise the SPDX License List", "language": "Makefile", "languageColor": "#427819", - "stars": "3,041", - "forks": "1,237", - "addStars": "0", + "stars": "356", + "forks": "288", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1538260?s=40&v=4", - "name": "Dr-Bean", - "url": "https://github.com/Dr-Bean" + "avatar": "https://avatars.githubusercontent.com/u/5048659?s=40&v=4", + "name": "jlovejoy", + "url": "https://github.com/jlovejoy" }, { - "avatar": "https://avatars.githubusercontent.com/u/12009964?s=40&v=4", - "name": "hgy59", - "url": "https://github.com/hgy59" + "avatar": "https://avatars.githubusercontent.com/u/678569?s=40&v=4", + "name": "swinslow", + "url": "https://github.com/swinslow" }, { - "avatar": "https://avatars.githubusercontent.com/u/17141866?s=40&v=4", - "name": "th0ma7", - "url": "https://github.com/th0ma7" + "avatar": "https://avatars.githubusercontent.com/u/7350638?s=40&v=4", + "name": "bradleeedmondson", + "url": "https://github.com/bradleeedmondson" }, { - "avatar": "https://avatars.githubusercontent.com/u/1071643?s=40&v=4", - "name": "moneytoo", - "url": "https://github.com/moneytoo" + "avatar": "https://avatars.githubusercontent.com/u/378172?s=40&v=4", + "name": "goneall", + "url": "https://github.com/goneall" }, { - "avatar": "https://avatars.githubusercontent.com/u/2196184?s=40&v=4", - "name": "ymartin59", - "url": "https://github.com/ymartin59" + "avatar": "https://avatars.githubusercontent.com/u/6695726?s=40&v=4", + "name": "sam-ellis", + "url": "https://github.com/sam-ellis" } ] }, @@ -232,7 +158,7 @@ "description": "Just enough OS for KODI", "language": "Makefile", "languageColor": "#427819", - "stars": "2,291", + "stars": "2,292", "forks": "1,124", "addStars": "2", "contributors": [ @@ -264,66 +190,78 @@ ] }, { - "title": "jobbole/awesome-python-cn", - "url": "https://github.com/jobbole/awesome-python-cn", - "description": "Python资源大全中文版,包括:Web框架、网络爬虫、模板引擎、数据库、数据可视化、图片处理等,由「开源前哨」和「Python开发者」微信公号团队维护更新。", + "title": "PartialVolume/shredos.x86_64", + "url": "https://github.com/PartialVolume/shredos.x86_64", + "description": "Shredos Disk Eraser 64 bit for all Intel 64 bit processors as well as processors from AMD and other vendors which make compatible 64 bit chips. ShredOS - Secure disk erasure/wipe", "language": "Makefile", "languageColor": "#427819", - "stars": "28,838", - "forks": "7,937", - "addStars": "3", + "stars": "1,517", + "forks": "64", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1163916?s=40&v=4", - "name": "tangyouhua", - "url": "https://github.com/tangyouhua" + "avatar": "https://avatars.githubusercontent.com/u/22084881?s=40&v=4", + "name": "PartialVolume", + "url": "https://github.com/PartialVolume" }, { - "avatar": "https://avatars.githubusercontent.com/u/8531908?s=40&v=4", - "name": "huanglimin", - "url": "https://github.com/huanglimin" + "avatar": "https://avatars.githubusercontent.com/u/116610?s=40&v=4", + "name": "petski", + "url": "https://github.com/petski" }, { - "avatar": "https://avatars.githubusercontent.com/u/19553554?s=40&v=4", - "name": "chenjiandongx", - "url": "https://github.com/chenjiandongx" + "avatar": "https://avatars.githubusercontent.com/u/10724809?s=40&v=4", + "name": "wikijm", + "url": "https://github.com/wikijm" }, { - "avatar": "https://avatars.githubusercontent.com/u/3370445?s=40&v=4", - "name": "hanxiaomax", - "url": "https://github.com/hanxiaomax" + "avatar": "https://avatars.githubusercontent.com/u/29705363?s=40&v=4", + "name": "ExaneServerTeam", + "url": "https://github.com/ExaneServerTeam" }, { - "avatar": "https://avatars.githubusercontent.com/u/863586?s=40&v=4", - "name": "knktc", - "url": "https://github.com/knktc" + "avatar": "https://avatars.githubusercontent.com/u/579379?s=40&v=4", + "name": "fthobe", + "url": "https://github.com/fthobe" } ] }, { - "title": "openwrt/telephony", - "url": "https://github.com/openwrt/telephony", - "description": "The telephony packages feed", + "title": "zhimin-dev/iptv-checker", + "url": "https://github.com/zhimin-dev/iptv-checker", + "description": "IPTV checker tool for Docker && Desktop && CMD, check your playlist is available", "language": "Makefile", "languageColor": "#427819", - "stars": "104", - "forks": "256", - "addStars": "0", + "stars": "1,128", + "forks": "102", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10446172?s=40&v=4", - "name": "micmac1", - "url": "https://github.com/micmac1" + "avatar": "https://avatars.githubusercontent.com/u/5730193?s=40&v=4", + "name": "zmisgod", + "url": "https://github.com/zmisgod" }, { - "avatar": "https://avatars.githubusercontent.com/u/6891947?s=40&v=4", - "name": "jslachta", - "url": "https://github.com/jslachta" - }, + "avatar": "https://avatars.githubusercontent.com/u/60907429?s=40&v=4", + "name": "deepsourcebot", + "url": "https://github.com/deepsourcebot" + } + ] + }, + { + "title": "coolsnowwolf/packages", + "url": "https://github.com/coolsnowwolf/packages", + "description": "Community maintained packages for OpenWrt.", + "language": "Makefile", + "languageColor": "#427819", + "stars": "189", + "forks": "553", + "addStars": "0", + "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9948313?s=40&v=4", - "name": "dangowrt", - "url": "https://github.com/dangowrt" + "avatar": "https://avatars.githubusercontent.com/u/31687149?s=40&v=4", + "name": "coolsnowwolf", + "url": "https://github.com/coolsnowwolf" }, { "avatar": "https://avatars.githubusercontent.com/u/2918873?s=40&v=4", @@ -331,46 +269,56 @@ "url": "https://github.com/neheb" }, { - "avatar": "https://avatars.githubusercontent.com/u/605548?s=40&v=4", - "name": "dhewg", - "url": "https://github.com/dhewg" + "avatar": "https://avatars.githubusercontent.com/u/70857188?s=40&v=4", + "name": "Beginner-Go", + "url": "https://github.com/Beginner-Go" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22235437?s=40&v=4", + "name": "1715173329", + "url": "https://github.com/1715173329" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2766732?s=40&v=4", + "name": "commodo", + "url": "https://github.com/commodo" } ] }, { - "title": "motioneye-project/motioneyeos", - "url": "https://github.com/motioneye-project/motioneyeos", - "description": "A Video Surveillance OS For Single-board Computers", + "title": "SynoCommunity/spksrc", + "url": "https://github.com/SynoCommunity/spksrc", + "description": "Cross compilation framework to create native packages for the Synology's NAS", "language": "Makefile", "languageColor": "#427819", - "stars": "7,887", - "forks": "903", - "addStars": "1", + "stars": "3,041", + "forks": "1,237", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6599?s=40&v=4", - "name": "jacmet", - "url": "https://github.com/jacmet" + "avatar": "https://avatars.githubusercontent.com/u/1538260?s=40&v=4", + "name": "Dr-Bean", + "url": "https://github.com/Dr-Bean" }, { - "avatar": "https://avatars.githubusercontent.com/u/378297?s=40&v=4", - "name": "gustavoz", - "url": "https://github.com/gustavoz" + "avatar": "https://avatars.githubusercontent.com/u/12009964?s=40&v=4", + "name": "hgy59", + "url": "https://github.com/hgy59" }, { - "avatar": "https://avatars.githubusercontent.com/u/1089203?s=40&v=4", - "name": "tpetazzoni", - "url": "https://github.com/tpetazzoni" + "avatar": "https://avatars.githubusercontent.com/u/17141866?s=40&v=4", + "name": "th0ma7", + "url": "https://github.com/th0ma7" }, { - "avatar": "https://avatars.githubusercontent.com/u/6279926?s=40&v=4", - "name": "bkuhls", - "url": "https://github.com/bkuhls" + "avatar": "https://avatars.githubusercontent.com/u/1071643?s=40&v=4", + "name": "moneytoo", + "url": "https://github.com/moneytoo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1485263?s=40&v=4", - "name": "ffontaine", - "url": "https://github.com/ffontaine" + "avatar": "https://avatars.githubusercontent.com/u/2196184?s=40&v=4", + "name": "ymartin59", + "url": "https://github.com/ymartin59" } ] } diff --git a/data/daily/makefile.xml b/data/daily/makefile.xml index d0250fe0aedc..0e947ff692b2 100644 --- a/data/daily/makefile.xml +++ b/data/daily/makefile.xml @@ -3,232 +3,156 @@ GitHub Makefile Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Makefile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - foostan/crkbd - https://github.com/foostan/crkbd - Corne keyboard, a split keyboard with 3x6 column staggered keys and 3 thumb keys. - https://github.com/foostan/crkbd + DataExpert-io/data-engineer-handbook + https://github.com/DataExpert-io/data-engineer-handbook + This is a repo with links to everything you'd ever want to learn about data engineering + https://github.com/DataExpert-io/data-engineer-handbook Makefile #427819 - 5,657 - 983 - 2 + 21,097 + 3,225 + 346 - https://avatars.githubusercontent.com/u/736191?s=40&v=4 - foostan - https://github.com/foostan + https://avatars.githubusercontent.com/u/4583288?s=40&v=4 + EcZachly + https://github.com/EcZachly - https://avatars.githubusercontent.com/u/42907?s=40&v=4 - hoijui - https://github.com/hoijui + https://avatars.githubusercontent.com/u/14322677?s=40&v=4 + liyin2015 + https://github.com/liyin2015 - https://avatars.githubusercontent.com/u/19584?s=40&v=4 - jamesottaway - https://github.com/jamesottaway + https://avatars.githubusercontent.com/u/20457308?s=40&v=4 + Adesoji1 + https://github.com/Adesoji1 - https://avatars.githubusercontent.com/u/5037505?s=40&v=4 - Naycon - https://github.com/Naycon + https://avatars.githubusercontent.com/u/57084343?s=40&v=4 + evil-in + https://github.com/evil-in - https://avatars.githubusercontent.com/u/59737601?s=40&v=4 - waffle87 - https://github.com/waffle87 + https://avatars.githubusercontent.com/u/689199?s=40&v=4 + sspaeti + https://github.com/sspaeti - freebsd/freebsd-ports - https://github.com/freebsd/freebsd-ports - FreeBSD ports tree (read-only mirror) - https://github.com/freebsd/freebsd-ports + chatwork/dockerfiles + https://github.com/chatwork/dockerfiles + + https://github.com/chatwork/dockerfiles Makefile #427819 - 998 - 759 + 31 + 11 0 - https://avatars.githubusercontent.com/u/688777?s=40&v=4 - sunpoet - https://github.com/sunpoet - - - https://avatars.githubusercontent.com/u/271906?s=40&v=4 - yurivict - https://github.com/yurivict - - - https://avatars.githubusercontent.com/u/6657176?s=40&v=4 - miwi-fbsd - https://github.com/miwi-fbsd - - - https://avatars.githubusercontent.com/u/474217?s=40&v=4 - AMDmi3 - https://github.com/AMDmi3 - - - https://avatars.githubusercontent.com/u/11153579?s=40&v=4 - jbeich - https://github.com/jbeich - - - - - openwrt/packages - https://github.com/openwrt/packages - Community maintained packages for OpenWrt. Documentation for submitting pull requests is in CONTRIBUTING.md - https://github.com/openwrt/packages - Makefile - #427819 - 4,036 - 3,498 - 2 - - - https://avatars.githubusercontent.com/u/2918873?s=40&v=4 - neheb - https://github.com/neheb - - - https://avatars.githubusercontent.com/u/7926856?s=40&v=4 - hnyman - https://github.com/hnyman - - - https://avatars.githubusercontent.com/u/9948313?s=40&v=4 - dangowrt - https://github.com/dangowrt - - - https://avatars.githubusercontent.com/u/252819?s=40&v=4 - jefferyto - https://github.com/jefferyto - - - https://avatars.githubusercontent.com/u/3441407?s=40&v=4 - thess - https://github.com/thess - - - - - golang-standards/project-layout - https://github.com/golang-standards/project-layout - Standard Go Project Layout - https://github.com/golang-standards/project-layout - Makefile - #427819 - 49,653 - 5,164 - 13 - - - https://avatars.githubusercontent.com/u/1099414?s=40&v=4 - kcq - https://github.com/kcq + https://avatars.githubusercontent.com/u/41108065?s=40&v=4 + cw-circleci + https://github.com/cw-circleci - https://avatars.githubusercontent.com/u/150719?s=40&v=4 - arialdomartini - https://github.com/arialdomartini + https://avatars.githubusercontent.com/u/29860510?s=40&v=4 + cw-sakamoto + https://github.com/cw-sakamoto - https://avatars.githubusercontent.com/u/97484707?s=40&v=4 - rostis232 - https://github.com/rostis232 + https://avatars.githubusercontent.com/u/7764002?s=40&v=4 + cw-ozaki + https://github.com/cw-ozaki - https://avatars.githubusercontent.com/u/17458831?s=40&v=4 - xis - https://github.com/xis + https://avatars.githubusercontent.com/u/1281825?s=40&v=4 + k-kinzal + https://github.com/k-kinzal - https://avatars.githubusercontent.com/u/134580892?s=40&v=4 - n4x2 - https://github.com/n4x2 + https://avatars.githubusercontent.com/u/1284309?s=40&v=4 + taishin + https://github.com/taishin - yuk7/ArchWSL - https://github.com/yuk7/ArchWSL - ArchLinux based WSL Distribution. Supports multiple install. - https://github.com/yuk7/ArchWSL + upbound/build + https://github.com/upbound/build + Upbound build and CI scripts + https://github.com/upbound/build Makefile #427819 - 6,907 - 201 + 67 + 68 0 - https://avatars.githubusercontent.com/u/29954265?s=40&v=4 - yuk7 - https://github.com/yuk7 + https://avatars.githubusercontent.com/u/1463491?s=40&v=4 + bassam + https://github.com/bassam - https://avatars.githubusercontent.com/u/62464927?s=40&v=4 - Remik1r3n - https://github.com/Remik1r3n + https://avatars.githubusercontent.com/u/9900707?s=40&v=4 + turkenh + https://github.com/turkenh - https://avatars.githubusercontent.com/u/69170710?s=40&v=4 - nunniii - https://github.com/nunniii + https://avatars.githubusercontent.com/u/1049349?s=40&v=4 + negz + https://github.com/negz - https://avatars.githubusercontent.com/u/44153315?s=40&v=4 - koumaza - https://github.com/koumaza + https://avatars.githubusercontent.com/u/31777345?s=40&v=4 + hasheddan + https://github.com/hasheddan - https://avatars.githubusercontent.com/u/7889445?s=40&v=4 - DMNerd - https://github.com/DMNerd + https://avatars.githubusercontent.com/u/7584126?s=40&v=4 + muvaf + https://github.com/muvaf - SynoCommunity/spksrc - https://github.com/SynoCommunity/spksrc - Cross compilation framework to create native packages for the Synology's NAS - https://github.com/SynoCommunity/spksrc + spdx/license-list-XML + https://github.com/spdx/license-list-XML + This is the repository for the master files that comprise the SPDX License List + https://github.com/spdx/license-list-XML Makefile #427819 - 3,041 - 1,237 - 0 + 356 + 288 + 1 - https://avatars.githubusercontent.com/u/1538260?s=40&v=4 - Dr-Bean - https://github.com/Dr-Bean + https://avatars.githubusercontent.com/u/5048659?s=40&v=4 + jlovejoy + https://github.com/jlovejoy - https://avatars.githubusercontent.com/u/12009964?s=40&v=4 - hgy59 - https://github.com/hgy59 + https://avatars.githubusercontent.com/u/678569?s=40&v=4 + swinslow + https://github.com/swinslow - https://avatars.githubusercontent.com/u/17141866?s=40&v=4 - th0ma7 - https://github.com/th0ma7 + https://avatars.githubusercontent.com/u/7350638?s=40&v=4 + bradleeedmondson + https://github.com/bradleeedmondson - https://avatars.githubusercontent.com/u/1071643?s=40&v=4 - moneytoo - https://github.com/moneytoo + https://avatars.githubusercontent.com/u/378172?s=40&v=4 + goneall + https://github.com/goneall - https://avatars.githubusercontent.com/u/2196184?s=40&v=4 - ymartin59 - https://github.com/ymartin59 + https://avatars.githubusercontent.com/u/6695726?s=40&v=4 + sam-ellis + https://github.com/sam-ellis @@ -239,7 +163,7 @@ https://github.com/LibreELEC/LibreELEC.tv Makefile #427819 - 2,291 + 2,292 1,124 2 @@ -271,68 +195,81 @@ - jobbole/awesome-python-cn - https://github.com/jobbole/awesome-python-cn - Python资源大全中文版,包括:Web框架、网络爬虫、模板引擎、数据库、数据可视化、图片处理等,由「开源前哨」和「Python开发者」微信公号团队维护更新。 - https://github.com/jobbole/awesome-python-cn + PartialVolume/shredos.x86_64 + https://github.com/PartialVolume/shredos.x86_64 + Shredos Disk Eraser 64 bit for all Intel 64 bit processors as well as processors from AMD and other vendors which make compatible 64 bit chips. ShredOS - Secure disk erasure/wipe + https://github.com/PartialVolume/shredos.x86_64 Makefile #427819 - 28,838 - 7,937 - 3 + 1,517 + 64 + 5 - https://avatars.githubusercontent.com/u/1163916?s=40&v=4 - tangyouhua - https://github.com/tangyouhua + https://avatars.githubusercontent.com/u/22084881?s=40&v=4 + PartialVolume + https://github.com/PartialVolume - https://avatars.githubusercontent.com/u/8531908?s=40&v=4 - huanglimin - https://github.com/huanglimin + https://avatars.githubusercontent.com/u/116610?s=40&v=4 + petski + https://github.com/petski - https://avatars.githubusercontent.com/u/19553554?s=40&v=4 - chenjiandongx - https://github.com/chenjiandongx + https://avatars.githubusercontent.com/u/10724809?s=40&v=4 + wikijm + https://github.com/wikijm - https://avatars.githubusercontent.com/u/3370445?s=40&v=4 - hanxiaomax - https://github.com/hanxiaomax + https://avatars.githubusercontent.com/u/29705363?s=40&v=4 + ExaneServerTeam + https://github.com/ExaneServerTeam - https://avatars.githubusercontent.com/u/863586?s=40&v=4 - knktc - https://github.com/knktc + https://avatars.githubusercontent.com/u/579379?s=40&v=4 + fthobe + https://github.com/fthobe - openwrt/telephony - https://github.com/openwrt/telephony - The telephony packages feed - https://github.com/openwrt/telephony + zhimin-dev/iptv-checker + https://github.com/zhimin-dev/iptv-checker + IPTV checker tool for Docker && Desktop && CMD, check your playlist is available + https://github.com/zhimin-dev/iptv-checker Makefile #427819 - 104 - 256 - 0 + 1,128 + 102 + 4 - https://avatars.githubusercontent.com/u/10446172?s=40&v=4 - micmac1 - https://github.com/micmac1 + https://avatars.githubusercontent.com/u/5730193?s=40&v=4 + zmisgod + https://github.com/zmisgod - https://avatars.githubusercontent.com/u/6891947?s=40&v=4 - jslachta - https://github.com/jslachta + https://avatars.githubusercontent.com/u/60907429?s=40&v=4 + deepsourcebot + https://github.com/deepsourcebot + + + + coolsnowwolf/packages + https://github.com/coolsnowwolf/packages + Community maintained packages for OpenWrt. + https://github.com/coolsnowwolf/packages + Makefile + #427819 + 189 + 553 + 0 + - https://avatars.githubusercontent.com/u/9948313?s=40&v=4 - dangowrt - https://github.com/dangowrt + https://avatars.githubusercontent.com/u/31687149?s=40&v=4 + coolsnowwolf + https://github.com/coolsnowwolf https://avatars.githubusercontent.com/u/2918873?s=40&v=4 @@ -340,47 +277,57 @@ https://github.com/neheb - https://avatars.githubusercontent.com/u/605548?s=40&v=4 - dhewg - https://github.com/dhewg + https://avatars.githubusercontent.com/u/70857188?s=40&v=4 + Beginner-Go + https://github.com/Beginner-Go + + + https://avatars.githubusercontent.com/u/22235437?s=40&v=4 + 1715173329 + https://github.com/1715173329 + + + https://avatars.githubusercontent.com/u/2766732?s=40&v=4 + commodo + https://github.com/commodo - motioneye-project/motioneyeos - https://github.com/motioneye-project/motioneyeos - A Video Surveillance OS For Single-board Computers - https://github.com/motioneye-project/motioneyeos + SynoCommunity/spksrc + https://github.com/SynoCommunity/spksrc + Cross compilation framework to create native packages for the Synology's NAS + https://github.com/SynoCommunity/spksrc Makefile #427819 - 7,887 - 903 - 1 + 3,041 + 1,237 + 0 - https://avatars.githubusercontent.com/u/6599?s=40&v=4 - jacmet - https://github.com/jacmet + https://avatars.githubusercontent.com/u/1538260?s=40&v=4 + Dr-Bean + https://github.com/Dr-Bean - https://avatars.githubusercontent.com/u/378297?s=40&v=4 - gustavoz - https://github.com/gustavoz + https://avatars.githubusercontent.com/u/12009964?s=40&v=4 + hgy59 + https://github.com/hgy59 - https://avatars.githubusercontent.com/u/1089203?s=40&v=4 - tpetazzoni - https://github.com/tpetazzoni + https://avatars.githubusercontent.com/u/17141866?s=40&v=4 + th0ma7 + https://github.com/th0ma7 - https://avatars.githubusercontent.com/u/6279926?s=40&v=4 - bkuhls - https://github.com/bkuhls + https://avatars.githubusercontent.com/u/1071643?s=40&v=4 + moneytoo + https://github.com/moneytoo - https://avatars.githubusercontent.com/u/1485263?s=40&v=4 - ffontaine - https://github.com/ffontaine + https://avatars.githubusercontent.com/u/2196184?s=40&v=4 + ymartin59 + https://github.com/ymartin59 diff --git a/data/daily/mako.json b/data/daily/mako.json index e15e0a056aad..7d7396849b16 100644 --- a/data/daily/mako.json +++ b/data/daily/mako.json @@ -2,6 +2,6 @@ "title": "GitHub Mako Languages Daily Trending", "description": "Daily Trending of Mako Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mako.xml b/data/daily/mako.xml index 9e878e55be0a..8319b541c0e8 100644 --- a/data/daily/mako.xml +++ b/data/daily/mako.xml @@ -3,6 +3,6 @@ GitHub Mako Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mako Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/marko.json b/data/daily/marko.json index 71e1f23af99c..481e685e9d63 100644 --- a/data/daily/marko.json +++ b/data/daily/marko.json @@ -2,6 +2,6 @@ "title": "GitHub Marko Languages Daily Trending", "description": "Daily Trending of Marko Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/marko.xml b/data/daily/marko.xml index 3701d5642afc..96f1c245ffb6 100644 --- a/data/daily/marko.xml +++ b/data/daily/marko.xml @@ -3,6 +3,6 @@ GitHub Marko Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Marko Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mask.json b/data/daily/mask.json index 8269e9fe8b3c..bc1e63327b2f 100644 --- a/data/daily/mask.json +++ b/data/daily/mask.json @@ -2,6 +2,6 @@ "title": "GitHub Mask Languages Daily Trending", "description": "Daily Trending of Mask Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mask.xml b/data/daily/mask.xml index 8ca030dcb484..d3af3ee4a47a 100644 --- a/data/daily/mask.xml +++ b/data/daily/mask.xml @@ -3,6 +3,6 @@ GitHub Mask Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mask Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mathematica.json b/data/daily/mathematica.json index 8f24f10f69b2..d412a9695d19 100644 --- a/data/daily/mathematica.json +++ b/data/daily/mathematica.json @@ -2,7 +2,7 @@ "title": "GitHub Mathematica Languages Daily Trending", "description": "Daily Trending of Mathematica Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Unity-Technologies/com.unity.multiplayer.samples.bitesize", diff --git a/data/daily/mathematica.xml b/data/daily/mathematica.xml index fc1a78aa4b36..af2265f79f88 100644 --- a/data/daily/mathematica.xml +++ b/data/daily/mathematica.xml @@ -3,7 +3,7 @@ GitHub Mathematica Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mathematica Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Unity-Technologies/com.unity.multiplayer.samples.bitesize https://github.com/Unity-Technologies/com.unity.multiplayer.samples.bitesize diff --git a/data/daily/matlab.json b/data/daily/matlab.json index abfad79f7f3b..ac3187f6ec28 100644 --- a/data/daily/matlab.json +++ b/data/daily/matlab.json @@ -2,6 +2,6 @@ "title": "GitHub Matlab Languages Daily Trending", "description": "Daily Trending of Matlab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/matlab.xml b/data/daily/matlab.xml index 43a80ebff9b5..a62cb0e6edd9 100644 --- a/data/daily/matlab.xml +++ b/data/daily/matlab.xml @@ -3,6 +3,6 @@ GitHub Matlab Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Matlab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/maven-pom.json b/data/daily/maven-pom.json index ee542fd08ef5..82b867792010 100644 --- a/data/daily/maven-pom.json +++ b/data/daily/maven-pom.json @@ -2,6 +2,6 @@ "title": "GitHub Maven-pom Languages Daily Trending", "description": "Daily Trending of Maven-pom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/maven-pom.xml b/data/daily/maven-pom.xml index 16d0644cbdee..f788850062c9 100644 --- a/data/daily/maven-pom.xml +++ b/data/daily/maven-pom.xml @@ -3,6 +3,6 @@ GitHub Maven-pom Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Maven-pom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/max.json b/data/daily/max.json index 795dd2836485..990cab54611e 100644 --- a/data/daily/max.json +++ b/data/daily/max.json @@ -2,6 +2,6 @@ "title": "GitHub Max Languages Daily Trending", "description": "Daily Trending of Max Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/max.xml b/data/daily/max.xml index a097b0afb874..20da0689ca75 100644 --- a/data/daily/max.xml +++ b/data/daily/max.xml @@ -3,6 +3,6 @@ GitHub Max Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Max Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/maxscript.json b/data/daily/maxscript.json index c3e6950f0044..f68ce8a814cf 100644 --- a/data/daily/maxscript.json +++ b/data/daily/maxscript.json @@ -2,6 +2,6 @@ "title": "GitHub Maxscript Languages Daily Trending", "description": "Daily Trending of Maxscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/maxscript.xml b/data/daily/maxscript.xml index 0384bcc076d0..c1047425c68c 100644 --- a/data/daily/maxscript.xml +++ b/data/daily/maxscript.xml @@ -3,6 +3,6 @@ GitHub Maxscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Maxscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mcfunction.json b/data/daily/mcfunction.json index 3357c27eb25a..ab10d78510bc 100644 --- a/data/daily/mcfunction.json +++ b/data/daily/mcfunction.json @@ -2,7 +2,7 @@ "title": "GitHub Mcfunction Languages Daily Trending", "description": "Daily Trending of Mcfunction Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "QuantumXenon/origins-plus-plus", diff --git a/data/daily/mcfunction.xml b/data/daily/mcfunction.xml index ac09963b3f16..46bbd6e57e8b 100644 --- a/data/daily/mcfunction.xml +++ b/data/daily/mcfunction.xml @@ -3,7 +3,7 @@ GitHub Mcfunction Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mcfunction Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT QuantumXenon/origins-plus-plus https://github.com/QuantumXenon/origins-plus-plus diff --git a/data/daily/mdx.json b/data/daily/mdx.json index 7d2372176157..0bf3aa0fbf94 100644 --- a/data/daily/mdx.json +++ b/data/daily/mdx.json @@ -2,7 +2,7 @@ "title": "GitHub Mdx Languages Daily Trending", "description": "Daily Trending of Mdx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "openai/openai-cookbook", @@ -10,7 +10,7 @@ "description": "Examples and guides for using the OpenAI API", "language": "MDX", "languageColor": "#fcb32c", - "stars": "60,272", + "stars": "60,284", "forks": "9,574", "addStars": "139", "contributors": [ @@ -48,7 +48,7 @@ "language": "MDX", "languageColor": "#fcb32c", "stars": "3,108", - "forks": "4,863", + "forks": "4,864", "addStars": "2", "contributors": [ { @@ -79,39 +79,83 @@ ] }, { - "title": "tailwindlabs/tailwindcss.com", - "url": "https://github.com/tailwindlabs/tailwindcss.com", - "description": "The Tailwind CSS documentation website.", + "title": "theodorusclarence/theodorusclarence.com", + "url": "https://github.com/theodorusclarence/theodorusclarence.com", + "description": "Old repository of my personal website. The revamped and new design is closed-source ✌️", "language": "MDX", "languageColor": "#fcb32c", - "stars": "3,306", - "forks": "1,768", + "stars": "708", + "forks": "92", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/55318172?s=40&v=4", + "name": "theodorusclarence", + "url": "https://github.com/theodorusclarence" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34224178?s=40&v=4", + "name": "rsipakov", + "url": "https://github.com/rsipakov" + } + ] + }, + { + "title": "shuding/nextra-docs-template", + "url": "https://github.com/shuding/nextra-docs-template", + "description": "Nextra docs template", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "1,045", + "forks": "606", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4323180?s=40&v=4", - "name": "adamwathan", - "url": "https://github.com/adamwathan" + "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", + "name": "shuding", + "url": "https://github.com/shuding" }, { - "avatar": "https://avatars.githubusercontent.com/u/2615508?s=40&v=4", - "name": "bradlc", - "url": "https://github.com/bradlc" + "avatar": "https://avatars.githubusercontent.com/u/41545?s=40&v=4", + "name": "EndangeredMassa", + "url": "https://github.com/EndangeredMassa" }, { - "avatar": "https://avatars.githubusercontent.com/u/882133?s=40&v=4", - "name": "reinink", - "url": "https://github.com/reinink" + "avatar": "https://avatars.githubusercontent.com/u/85847352?s=40&v=4", + "name": "C-EO", + "url": "https://github.com/C-EO" + } + ] + }, + { + "title": "jellyfin/jellyfin.org", + "url": "https://github.com/jellyfin/jellyfin.org", + "description": "The Jellyfin website, blog, and user documentation", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "85", + "forks": "324", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2305178?s=40&v=4", + "name": "nielsvanvelzen", + "url": "https://github.com/nielsvanvelzen" }, { - "avatar": "https://avatars.githubusercontent.com/u/485747?s=40&v=4", - "name": "simonswiss", - "url": "https://github.com/simonswiss" + "avatar": "https://avatars.githubusercontent.com/u/3741446?s=40&v=4", + "name": "Shadowghost", + "url": "https://github.com/Shadowghost" }, { - "avatar": "https://avatars.githubusercontent.com/u/25065083?s=40&v=4", - "name": "jasonlbeggs", - "url": "https://github.com/jasonlbeggs" + "avatar": "https://avatars.githubusercontent.com/u/3450688?s=40&v=4", + "name": "thornbill", + "url": "https://github.com/thornbill" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25688628?s=40&v=4", + "name": "felix920506", + "url": "https://github.com/felix920506" } ] }, @@ -121,7 +165,7 @@ "description": "Cross-Platform React Native UI Toolkit", "language": "MDX", "languageColor": "#fcb32c", - "stars": "25,091", + "stars": "25,092", "forks": "4,640", "addStars": "3", "contributors": [ @@ -153,416 +197,468 @@ ] }, { - "title": "npm/documentation", - "url": "https://github.com/npm/documentation", - "description": "Documentation for the npm registry, website, and command-line interface.", + "title": "shuding/cobe", + "url": "https://github.com/shuding/cobe", + "description": "5kB WebGL globe lib.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "413", - "forks": "2,854", - "addStars": "2", + "stars": "3,273", + "forks": "178", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/542108?s=40&v=4", - "name": "lukekarrys", - "url": "https://github.com/lukekarrys" + "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", + "name": "shuding", + "url": "https://github.com/shuding" }, { - "avatar": "https://avatars.githubusercontent.com/u/105249312?s=40&v=4", - "name": "npm-cli-bot", - "url": "https://github.com/npm-cli-bot" + "avatar": "https://avatars.githubusercontent.com/u/37938090?s=40&v=4", + "name": "danieljpgo", + "url": "https://github.com/danieljpgo" }, { - "avatar": "https://avatars.githubusercontent.com/u/498775?s=40&v=4", - "name": "MylesBorins", - "url": "https://github.com/MylesBorins" + "avatar": "https://avatars.githubusercontent.com/u/31657298?s=40&v=4", + "name": "anaclumos", + "url": "https://github.com/anaclumos" }, { - "avatar": "https://avatars.githubusercontent.com/u/1130014?s=40&v=4", - "name": "ethomson", - "url": "https://github.com/ethomson" + "avatar": "https://avatars.githubusercontent.com/u/1175749?s=40&v=4", + "name": "farteryhr", + "url": "https://github.com/farteryhr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4550621?s=40&v=4", + "name": "Twixes", + "url": "https://github.com/Twixes" } ] }, { - "title": "cloudposse/atmos", - "url": "https://github.com/cloudposse/atmos", - "description": "👽 Terraform Orchestration Tool for DevOps. Keep environment configuration DRY with hierarchical imports of configurations, inheritance, and WAY more. Native support for Terraform and Helmfile.", + "title": "freeCodeCamp/contribute", + "url": "https://github.com/freeCodeCamp/contribute", + "description": "> docs site for all things contributions. begin your contribution journey here.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "806", - "forks": "97", - "addStars": "0", + "stars": "57", + "forks": "81", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7356997?s=40&v=4", - "name": "aknysh", - "url": "https://github.com/aknysh" + "avatar": "https://avatars.githubusercontent.com/u/13561988?s=40&v=4", + "name": "camperbot", + "url": "https://github.com/camperbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/52489?s=40&v=4", - "name": "osterman", - "url": "https://github.com/osterman" + "avatar": "https://avatars.githubusercontent.com/u/1884376?s=40&v=4", + "name": "raisedadead", + "url": "https://github.com/raisedadead" }, { - "avatar": "https://avatars.githubusercontent.com/u/7775707?s=40&v=4", - "name": "nitrocode", - "url": "https://github.com/nitrocode" + "avatar": "https://avatars.githubusercontent.com/u/15801806?s=40&v=4", + "name": "ojeytonwilliams", + "url": "https://github.com/ojeytonwilliams" }, { - "avatar": "https://avatars.githubusercontent.com/u/930247?s=40&v=4", - "name": "mcalhoun", - "url": "https://github.com/mcalhoun" + "avatar": "https://avatars.githubusercontent.com/u/51722130?s=40&v=4", + "name": "ShaunSHamilton", + "url": "https://github.com/ShaunSHamilton" } ] }, { - "title": "shuding/nextra-docs-template", - "url": "https://github.com/shuding/nextra-docs-template", - "description": "Nextra docs template", + "title": "surrealdb/docs.surrealdb.com", + "url": "https://github.com/surrealdb/docs.surrealdb.com", + "description": "The documentation for SurrealDB, powered by Astro", "language": "MDX", "languageColor": "#fcb32c", - "stars": "1,044", - "forks": "607", - "addStars": "1", + "stars": "79", + "forks": "141", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", - "name": "shuding", - "url": "https://github.com/shuding" + "avatar": "https://avatars.githubusercontent.com/u/35943047?s=40&v=4", + "name": "Ekwuno", + "url": "https://github.com/Ekwuno" }, { - "avatar": "https://avatars.githubusercontent.com/u/41545?s=40&v=4", - "name": "EndangeredMassa", - "url": "https://github.com/EndangeredMassa" + "avatar": "https://avatars.githubusercontent.com/u/56599343?s=40&v=4", + "name": "Dhghomon", + "url": "https://github.com/Dhghomon" }, { - "avatar": "https://avatars.githubusercontent.com/u/85847352?s=40&v=4", - "name": "C-EO", - "url": "https://github.com/C-EO" + "avatar": "https://avatars.githubusercontent.com/u/17960250?s=40&v=4", + "name": "kearfy", + "url": "https://github.com/kearfy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2143788?s=40&v=4", + "name": "dimitrianoudi", + "url": "https://github.com/dimitrianoudi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1588290?s=40&v=4", + "name": "tobiemh", + "url": "https://github.com/tobiemh" } ] }, { - "title": "dair-ai/Prompt-Engineering-Guide", - "url": "https://github.com/dair-ai/Prompt-Engineering-Guide", - "description": "🐙 Guides, papers, lecture, notebooks and resources for prompt engineering", + "title": "bluesky-social/atproto-website", + "url": "https://github.com/bluesky-social/atproto-website", + "description": "", "language": "MDX", "languageColor": "#fcb32c", - "stars": "50,459", - "forks": "4,893", - "addStars": "13", + "stars": "256", + "forks": "153", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7049564?s=40&v=4", - "name": "omarsar", - "url": "https://github.com/omarsar" + "avatar": "https://avatars.githubusercontent.com/u/130077?s=40&v=4", + "name": "bnewbold", + "url": "https://github.com/bnewbold" }, { - "avatar": "https://avatars.githubusercontent.com/u/50868?s=40&v=4", - "name": "behrends", - "url": "https://github.com/behrends" + "avatar": "https://avatars.githubusercontent.com/u/22510954?s=40&v=4", + "name": "emilyliu7321", + "url": "https://github.com/emilyliu7321" }, { - "avatar": "https://avatars.githubusercontent.com/u/53365307?s=40&v=4", - "name": "ThunderCatXp", - "url": "https://github.com/ThunderCatXp" + "avatar": "https://avatars.githubusercontent.com/u/1270099?s=40&v=4", + "name": "pfrazee", + "url": "https://github.com/pfrazee" }, { - "avatar": "https://avatars.githubusercontent.com/u/1067217?s=40&v=4", - "name": "giornaledisistema", - "url": "https://github.com/giornaledisistema" + "avatar": "https://avatars.githubusercontent.com/u/2221746?s=40&v=4", + "name": "thinkverse", + "url": "https://github.com/thinkverse" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/28465?s=40&v=4", + "name": "mackuba", + "url": "https://github.com/mackuba" } ] }, { - "title": "withastro/docs", - "url": "https://github.com/withastro/docs", - "description": "Astro documentation", + "title": "tailwindlabs/tailwindcss.com", + "url": "https://github.com/tailwindlabs/tailwindcss.com", + "description": "The Tailwind CSS documentation website.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "1,340", - "forks": "1,507", - "addStars": "0", + "stars": "3,308", + "forks": "1,768", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/61414485?s=40&v=4", - "name": "yanthomasdev", - "url": "https://github.com/yanthomasdev" + "avatar": "https://avatars.githubusercontent.com/u/4323180?s=40&v=4", + "name": "adamwathan", + "url": "https://github.com/adamwathan" }, { - "avatar": "https://avatars.githubusercontent.com/u/5098874?s=40&v=4", - "name": "sarah11918", - "url": "https://github.com/sarah11918" + "avatar": "https://avatars.githubusercontent.com/u/2615508?s=40&v=4", + "name": "bradlc", + "url": "https://github.com/bradlc" }, { - "avatar": "https://avatars.githubusercontent.com/u/357379?s=40&v=4", - "name": "delucis", - "url": "https://github.com/delucis" + "avatar": "https://avatars.githubusercontent.com/u/882133?s=40&v=4", + "name": "reinink", + "url": "https://github.com/reinink" }, { - "avatar": "https://avatars.githubusercontent.com/u/85648028?s=40&v=4", - "name": "dreyfus92", - "url": "https://github.com/dreyfus92" + "avatar": "https://avatars.githubusercontent.com/u/485747?s=40&v=4", + "name": "simonswiss", + "url": "https://github.com/simonswiss" }, { - "avatar": "https://avatars.githubusercontent.com/u/39112954?s=40&v=4", - "name": "jsparkdev", - "url": "https://github.com/jsparkdev" + "avatar": "https://avatars.githubusercontent.com/u/25065083?s=40&v=4", + "name": "jasonlbeggs", + "url": "https://github.com/jasonlbeggs" } ] }, { - "title": "ngrok/ngrok-docs", - "url": "https://github.com/ngrok/ngrok-docs", - "description": "ngrok's official documentation", + "title": "ethereum-optimism/docs", + "url": "https://github.com/ethereum-optimism/docs", + "description": "Optimism Developer Docs", "language": "MDX", "languageColor": "#fcb32c", - "stars": "57", - "forks": "2,304", + "stars": "103", + "forks": "191", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4805997?s=40&v=4", - "name": "russorat", - "url": "https://github.com/russorat" + "avatar": "https://avatars.githubusercontent.com/u/29023967?s=40&v=4", + "name": "cpengilly", + "url": "https://github.com/cpengilly" }, { - "avatar": "https://avatars.githubusercontent.com/u/23283473?s=40&v=4", - "name": "faonbr", - "url": "https://github.com/faonbr" + "avatar": "https://avatars.githubusercontent.com/u/85043086?s=40&v=4", + "name": "sbvegan", + "url": "https://github.com/sbvegan" }, { - "avatar": "https://avatars.githubusercontent.com/u/5110038?s=40&v=4", - "name": "salilsub", - "url": "https://github.com/salilsub" + "avatar": "https://avatars.githubusercontent.com/u/14915525?s=40&v=4", + "name": "krofax", + "url": "https://github.com/krofax" }, { - "avatar": "https://avatars.githubusercontent.com/u/198276?s=40&v=4", - "name": "nijikokun", - "url": "https://github.com/nijikokun" + "avatar": "https://avatars.githubusercontent.com/u/14298799?s=40&v=4", + "name": "smartcontracts", + "url": "https://github.com/smartcontracts" + } + ] + }, + { + "title": "MetaMask/metamask-docs", + "url": "https://github.com/MetaMask/metamask-docs", + "description": "Developer documentation for MetaMask", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "670", + "forks": "975", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12214231?s=40&v=4", + "name": "alexandratran", + "url": "https://github.com/alexandratran" }, { - "avatar": "https://avatars.githubusercontent.com/u/34115417?s=40&v=4", - "name": "cody-dot-js", - "url": "https://github.com/cody-dot-js" + "avatar": "https://avatars.githubusercontent.com/u/19909?s=40&v=4", + "name": "Montoya", + "url": "https://github.com/Montoya" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/153745173?s=40&v=4", + "name": "joaniefromtheblock", + "url": "https://github.com/joaniefromtheblock" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3943143?s=40&v=4", + "name": "ziad-saab", + "url": "https://github.com/ziad-saab" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11778717?s=40&v=4", + "name": "BboyAkers", + "url": "https://github.com/BboyAkers" } ] }, { - "title": "Kinfe123/farm-ui", - "url": "https://github.com/Kinfe123/farm-ui", - "description": "Treating UI Libraries as first class citizen and making sure they are headless :)", + "title": "huggingface/course", + "url": "https://github.com/huggingface/course", + "description": "The Hugging Face course on Transformers", "language": "MDX", "languageColor": "#fcb32c", - "stars": "310", - "forks": "19", - "addStars": "1", + "stars": "2,269", + "forks": "752", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/65047246?s=40&v=4", - "name": "Kinfe123", - "url": "https://github.com/Kinfe123" + "avatar": "https://avatars.githubusercontent.com/u/5528894?s=40&v=4", + "name": "kambizG", + "url": "https://github.com/kambizG" }, { - "avatar": "https://avatars.githubusercontent.com/u/76902617?s=40&v=4", - "name": "P-1000", - "url": "https://github.com/P-1000" + "avatar": "https://avatars.githubusercontent.com/u/26859204?s=40&v=4", + "name": "lewtun", + "url": "https://github.com/lewtun" }, { - "avatar": "https://avatars.githubusercontent.com/u/113286019?s=40&v=4", - "name": "Akash1000x", - "url": "https://github.com/Akash1000x" + "avatar": "https://avatars.githubusercontent.com/u/17560478?s=40&v=4", + "name": "artyomboyko", + "url": "https://github.com/artyomboyko" }, { - "avatar": "https://avatars.githubusercontent.com/u/44190023?s=40&v=4", - "name": "baydisng13", - "url": "https://github.com/baydisng13" + "avatar": "https://avatars.githubusercontent.com/u/1065417?s=40&v=4", + "name": "MKhalusova", + "url": "https://github.com/MKhalusova" }, { - "avatar": "https://avatars.githubusercontent.com/u/39573679?s=40&v=4", - "name": "hqasmei", - "url": "https://github.com/hqasmei" + "avatar": "https://avatars.githubusercontent.com/u/1778297?s=40&v=4", + "name": "abidlabs", + "url": "https://github.com/abidlabs" } ] }, { - "title": "bencherdev/bencher", - "url": "https://github.com/bencherdev/bencher", - "description": "🐰 Bencher - Continuous Benchmarking", + "title": "withastro/docs", + "url": "https://github.com/withastro/docs", + "description": "Astro documentation", "language": "MDX", "languageColor": "#fcb32c", - "stars": "568", - "forks": "26", + "stars": "1,340", + "forks": "1,507", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7400284?s=40&v=4", - "name": "epompeii", - "url": "https://github.com/epompeii" + "avatar": "https://avatars.githubusercontent.com/u/61414485?s=40&v=4", + "name": "yanthomasdev", + "url": "https://github.com/yanthomasdev" }, { - "avatar": "https://avatars.githubusercontent.com/u/15987992?s=40&v=4", - "name": "OmarTawfik", - "url": "https://github.com/OmarTawfik" + "avatar": "https://avatars.githubusercontent.com/u/5098874?s=40&v=4", + "name": "sarah11918", + "url": "https://github.com/sarah11918" }, { - "avatar": "https://avatars.githubusercontent.com/u/16504129?s=40&v=4", - "name": "sagudev", - "url": "https://github.com/sagudev" + "avatar": "https://avatars.githubusercontent.com/u/357379?s=40&v=4", + "name": "delucis", + "url": "https://github.com/delucis" }, { - "avatar": "https://avatars.githubusercontent.com/u/19330937?s=40&v=4", - "name": "JonasWanke", - "url": "https://github.com/JonasWanke" + "avatar": "https://avatars.githubusercontent.com/u/85648028?s=40&v=4", + "name": "dreyfus92", + "url": "https://github.com/dreyfus92" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39112954?s=40&v=4", + "name": "jsparkdev", + "url": "https://github.com/jsparkdev" } ] }, { - "title": "shuding/cobe", - "url": "https://github.com/shuding/cobe", - "description": "5kB WebGL globe lib.", + "title": "drizzle-team/drizzle-orm-docs", + "url": "https://github.com/drizzle-team/drizzle-orm-docs", + "description": "Drizzle ORM documentation website", "language": "MDX", "languageColor": "#fcb32c", - "stars": "3,271", - "forks": "178", - "addStars": "5", + "stars": "142", + "forks": "231", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", - "name": "shuding", - "url": "https://github.com/shuding" + "avatar": "https://avatars.githubusercontent.com/u/65113072?s=40&v=4", + "name": "vlad-stohnii", + "url": "https://github.com/vlad-stohnii" }, { - "avatar": "https://avatars.githubusercontent.com/u/37938090?s=40&v=4", - "name": "danieljpgo", - "url": "https://github.com/danieljpgo" + "avatar": "https://avatars.githubusercontent.com/u/42213424?s=40&v=4", + "name": "vitalii-staryk", + "url": "https://github.com/vitalii-staryk" }, { - "avatar": "https://avatars.githubusercontent.com/u/31657298?s=40&v=4", - "name": "anaclumos", - "url": "https://github.com/anaclumos" + "avatar": "https://avatars.githubusercontent.com/u/87205530?s=40&v=4", + "name": "realmikesolo", + "url": "https://github.com/realmikesolo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1175749?s=40&v=4", - "name": "farteryhr", - "url": "https://github.com/farteryhr" + "avatar": "https://avatars.githubusercontent.com/u/4045375?s=40&v=4", + "name": "AlexBlokh", + "url": "https://github.com/AlexBlokh" }, { - "avatar": "https://avatars.githubusercontent.com/u/4550621?s=40&v=4", - "name": "Twixes", - "url": "https://github.com/Twixes" + "avatar": "https://avatars.githubusercontent.com/u/29543764?s=40&v=4", + "name": "AndriiSherman", + "url": "https://github.com/AndriiSherman" } ] }, { - "title": "hackclub/putting-the-you-in-cpu", - "url": "https://github.com/hackclub/putting-the-you-in-cpu", - "description": "A technical explainer by @kognise of how your computer runs programs, from start to finish.", + "title": "npm/documentation", + "url": "https://github.com/npm/documentation", + "description": "Documentation for the npm registry, website, and command-line interface.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "5,004", - "forks": "157", - "addStars": "1", + "stars": "413", + "forks": "2,855", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42556441?s=40&v=4", - "name": "kognise", - "url": "https://github.com/kognise" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32460?s=40&v=4", - "name": "wkhere", - "url": "https://github.com/wkhere" + "avatar": "https://avatars.githubusercontent.com/u/542108?s=40&v=4", + "name": "lukekarrys", + "url": "https://github.com/lukekarrys" }, { - "avatar": "https://avatars.githubusercontent.com/u/42175?s=40&v=4", - "name": "ulfsauer0815", - "url": "https://github.com/ulfsauer0815" + "avatar": "https://avatars.githubusercontent.com/u/105249312?s=40&v=4", + "name": "npm-cli-bot", + "url": "https://github.com/npm-cli-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/992248?s=40&v=4", - "name": "zachlatta", - "url": "https://github.com/zachlatta" + "avatar": "https://avatars.githubusercontent.com/u/498775?s=40&v=4", + "name": "MylesBorins", + "url": "https://github.com/MylesBorins" }, { - "avatar": "https://avatars.githubusercontent.com/u/1074786?s=40&v=4", - "name": "davidwalschots", - "url": "https://github.com/davidwalschots" + "avatar": "https://avatars.githubusercontent.com/u/1130014?s=40&v=4", + "name": "ethomson", + "url": "https://github.com/ethomson" } ] }, { - "title": "aws-amplify/docs", - "url": "https://github.com/aws-amplify/docs", - "description": "AWS Amplify Framework Documentation", + "title": "stellar/stellar-docs", + "url": "https://github.com/stellar/stellar-docs", + "description": "Documentation for Stellar", "language": "MDX", "languageColor": "#fcb32c", - "stars": "487", - "forks": "1,060", + "stars": "66", + "forks": "131", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4989523?s=40&v=4", - "name": "renebrandel", - "url": "https://github.com/renebrandel" + "avatar": "https://avatars.githubusercontent.com/u/92327786?s=40&v=4", + "name": "briwylde08", + "url": "https://github.com/briwylde08" }, { - "avatar": "https://avatars.githubusercontent.com/u/4893548?s=40&v=4", - "name": "harrysolovay", - "url": "https://github.com/harrysolovay" + "avatar": "https://avatars.githubusercontent.com/u/4383610?s=40&v=4", + "name": "kalepail", + "url": "https://github.com/kalepail" }, { - "avatar": "https://avatars.githubusercontent.com/u/69429342?s=40&v=4", - "name": "Brene", - "url": "https://github.com/Brene" + "avatar": "https://avatars.githubusercontent.com/u/2024293?s=40&v=4", + "name": "ElliotFriend", + "url": "https://github.com/ElliotFriend" }, { - "avatar": "https://avatars.githubusercontent.com/u/3868826?s=40&v=4", - "name": "jordanranz", - "url": "https://github.com/jordanranz" + "avatar": "https://avatars.githubusercontent.com/u/62526399?s=40&v=4", + "name": "Ifropc", + "url": "https://github.com/Ifropc" }, { - "avatar": "https://avatars.githubusercontent.com/u/54393192?s=40&v=4", - "name": "timngyn", - "url": "https://github.com/timngyn" + "avatar": "https://avatars.githubusercontent.com/u/734336?s=40&v=4", + "name": "janewang", + "url": "https://github.com/janewang" } ] }, { - "title": "huggingface/course", - "url": "https://github.com/huggingface/course", - "description": "The Hugging Face course on Transformers", + "title": "ngrok/ngrok-docs", + "url": "https://github.com/ngrok/ngrok-docs", + "description": "ngrok's official documentation", "language": "MDX", "languageColor": "#fcb32c", - "stars": "2,268", - "forks": "752", - "addStars": "3", + "stars": "57", + "forks": "2,303", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5528894?s=40&v=4", - "name": "kambizG", - "url": "https://github.com/kambizG" + "avatar": "https://avatars.githubusercontent.com/u/4805997?s=40&v=4", + "name": "russorat", + "url": "https://github.com/russorat" }, { - "avatar": "https://avatars.githubusercontent.com/u/26859204?s=40&v=4", - "name": "lewtun", - "url": "https://github.com/lewtun" + "avatar": "https://avatars.githubusercontent.com/u/23283473?s=40&v=4", + "name": "faonbr", + "url": "https://github.com/faonbr" }, { - "avatar": "https://avatars.githubusercontent.com/u/17560478?s=40&v=4", - "name": "artyomboyko", - "url": "https://github.com/artyomboyko" + "avatar": "https://avatars.githubusercontent.com/u/5110038?s=40&v=4", + "name": "salilsub", + "url": "https://github.com/salilsub" }, { - "avatar": "https://avatars.githubusercontent.com/u/1065417?s=40&v=4", - "name": "MKhalusova", - "url": "https://github.com/MKhalusova" + "avatar": "https://avatars.githubusercontent.com/u/198276?s=40&v=4", + "name": "nijikokun", + "url": "https://github.com/nijikokun" }, { - "avatar": "https://avatars.githubusercontent.com/u/1778297?s=40&v=4", - "name": "abidlabs", - "url": "https://github.com/abidlabs" + "avatar": "https://avatars.githubusercontent.com/u/34115417?s=40&v=4", + "name": "cody-dot-js", + "url": "https://github.com/cody-dot-js" } ] } diff --git a/data/daily/mdx.xml b/data/daily/mdx.xml index 0b13cfe87e78..8843a1ee826b 100644 --- a/data/daily/mdx.xml +++ b/data/daily/mdx.xml @@ -3,7 +3,7 @@ GitHub Mdx Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mdx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT openai/openai-cookbook https://github.com/openai/openai-cookbook @@ -11,7 +11,7 @@ https://github.com/openai/openai-cookbook MDX #fcb32c - 60,272 + 60,284 9,574 139 @@ -50,7 +50,7 @@ MDX #fcb32c 3,108 - 4,863 + 4,864 2 @@ -81,40 +81,86 @@ - tailwindlabs/tailwindcss.com - https://github.com/tailwindlabs/tailwindcss.com - The Tailwind CSS documentation website. - https://github.com/tailwindlabs/tailwindcss.com + theodorusclarence/theodorusclarence.com + https://github.com/theodorusclarence/theodorusclarence.com + Old repository of my personal website. The revamped and new design is closed-source ✌️ + https://github.com/theodorusclarence/theodorusclarence.com MDX #fcb32c - 3,306 - 1,768 + 708 + 92 + 8 + + + https://avatars.githubusercontent.com/u/55318172?s=40&v=4 + theodorusclarence + https://github.com/theodorusclarence + + + https://avatars.githubusercontent.com/u/34224178?s=40&v=4 + rsipakov + https://github.com/rsipakov + + + + + shuding/nextra-docs-template + https://github.com/shuding/nextra-docs-template + Nextra docs template + https://github.com/shuding/nextra-docs-template + MDX + #fcb32c + 1,045 + 606 1 - https://avatars.githubusercontent.com/u/4323180?s=40&v=4 - adamwathan - https://github.com/adamwathan + https://avatars.githubusercontent.com/u/3676859?s=40&v=4 + shuding + https://github.com/shuding - https://avatars.githubusercontent.com/u/2615508?s=40&v=4 - bradlc - https://github.com/bradlc + https://avatars.githubusercontent.com/u/41545?s=40&v=4 + EndangeredMassa + https://github.com/EndangeredMassa - https://avatars.githubusercontent.com/u/882133?s=40&v=4 - reinink - https://github.com/reinink + https://avatars.githubusercontent.com/u/85847352?s=40&v=4 + C-EO + https://github.com/C-EO + + + + + jellyfin/jellyfin.org + https://github.com/jellyfin/jellyfin.org + The Jellyfin website, blog, and user documentation + https://github.com/jellyfin/jellyfin.org + MDX + #fcb32c + 85 + 324 + 0 + + + https://avatars.githubusercontent.com/u/2305178?s=40&v=4 + nielsvanvelzen + https://github.com/nielsvanvelzen - https://avatars.githubusercontent.com/u/485747?s=40&v=4 - simonswiss - https://github.com/simonswiss + https://avatars.githubusercontent.com/u/3741446?s=40&v=4 + Shadowghost + https://github.com/Shadowghost - https://avatars.githubusercontent.com/u/25065083?s=40&v=4 - jasonlbeggs - https://github.com/jasonlbeggs + https://avatars.githubusercontent.com/u/3450688?s=40&v=4 + thornbill + https://github.com/thornbill + + + https://avatars.githubusercontent.com/u/25688628?s=40&v=4 + felix920506 + https://github.com/felix920506 @@ -125,7 +171,7 @@ https://github.com/react-native-elements/react-native-elements MDX #fcb32c - 25,091 + 25,092 4,640 3 @@ -157,428 +203,481 @@ - npm/documentation - https://github.com/npm/documentation - Documentation for the npm registry, website, and command-line interface. - https://github.com/npm/documentation + shuding/cobe + https://github.com/shuding/cobe + 5kB WebGL globe lib. + https://github.com/shuding/cobe MDX #fcb32c - 413 - 2,854 - 2 + 3,273 + 178 + 5 - https://avatars.githubusercontent.com/u/542108?s=40&v=4 - lukekarrys - https://github.com/lukekarrys + https://avatars.githubusercontent.com/u/3676859?s=40&v=4 + shuding + https://github.com/shuding - https://avatars.githubusercontent.com/u/105249312?s=40&v=4 - npm-cli-bot - https://github.com/npm-cli-bot + https://avatars.githubusercontent.com/u/37938090?s=40&v=4 + danieljpgo + https://github.com/danieljpgo - https://avatars.githubusercontent.com/u/498775?s=40&v=4 - MylesBorins - https://github.com/MylesBorins + https://avatars.githubusercontent.com/u/31657298?s=40&v=4 + anaclumos + https://github.com/anaclumos - https://avatars.githubusercontent.com/u/1130014?s=40&v=4 - ethomson - https://github.com/ethomson + https://avatars.githubusercontent.com/u/1175749?s=40&v=4 + farteryhr + https://github.com/farteryhr + + + https://avatars.githubusercontent.com/u/4550621?s=40&v=4 + Twixes + https://github.com/Twixes - cloudposse/atmos - https://github.com/cloudposse/atmos - 👽 Terraform Orchestration Tool for DevOps. Keep environment configuration DRY with hierarchical imports of configurations, inheritance, and WAY more. Native support for Terraform and Helmfile. - https://github.com/cloudposse/atmos + freeCodeCamp/contribute + https://github.com/freeCodeCamp/contribute + > docs site for all things contributions. begin your contribution journey here. + https://github.com/freeCodeCamp/contribute MDX #fcb32c - 806 - 97 - 0 + 57 + 81 + 1 - https://avatars.githubusercontent.com/u/7356997?s=40&v=4 - aknysh - https://github.com/aknysh + https://avatars.githubusercontent.com/u/13561988?s=40&v=4 + camperbot + https://github.com/camperbot - https://avatars.githubusercontent.com/u/52489?s=40&v=4 - osterman - https://github.com/osterman + https://avatars.githubusercontent.com/u/1884376?s=40&v=4 + raisedadead + https://github.com/raisedadead - https://avatars.githubusercontent.com/u/7775707?s=40&v=4 - nitrocode - https://github.com/nitrocode + https://avatars.githubusercontent.com/u/15801806?s=40&v=4 + ojeytonwilliams + https://github.com/ojeytonwilliams - https://avatars.githubusercontent.com/u/930247?s=40&v=4 - mcalhoun - https://github.com/mcalhoun + https://avatars.githubusercontent.com/u/51722130?s=40&v=4 + ShaunSHamilton + https://github.com/ShaunSHamilton - shuding/nextra-docs-template - https://github.com/shuding/nextra-docs-template - Nextra docs template - https://github.com/shuding/nextra-docs-template + surrealdb/docs.surrealdb.com + https://github.com/surrealdb/docs.surrealdb.com + The documentation for SurrealDB, powered by Astro + https://github.com/surrealdb/docs.surrealdb.com MDX #fcb32c - 1,044 - 607 - 1 + 79 + 141 + 0 - https://avatars.githubusercontent.com/u/3676859?s=40&v=4 - shuding - https://github.com/shuding + https://avatars.githubusercontent.com/u/35943047?s=40&v=4 + Ekwuno + https://github.com/Ekwuno - https://avatars.githubusercontent.com/u/41545?s=40&v=4 - EndangeredMassa - https://github.com/EndangeredMassa + https://avatars.githubusercontent.com/u/56599343?s=40&v=4 + Dhghomon + https://github.com/Dhghomon - https://avatars.githubusercontent.com/u/85847352?s=40&v=4 - C-EO - https://github.com/C-EO + https://avatars.githubusercontent.com/u/17960250?s=40&v=4 + kearfy + https://github.com/kearfy + + + https://avatars.githubusercontent.com/u/2143788?s=40&v=4 + dimitrianoudi + https://github.com/dimitrianoudi + + + https://avatars.githubusercontent.com/u/1588290?s=40&v=4 + tobiemh + https://github.com/tobiemh - dair-ai/Prompt-Engineering-Guide - https://github.com/dair-ai/Prompt-Engineering-Guide - 🐙 Guides, papers, lecture, notebooks and resources for prompt engineering - https://github.com/dair-ai/Prompt-Engineering-Guide + bluesky-social/atproto-website + https://github.com/bluesky-social/atproto-website + + https://github.com/bluesky-social/atproto-website MDX #fcb32c - 50,459 - 4,893 - 13 + 256 + 153 + 2 - https://avatars.githubusercontent.com/u/7049564?s=40&v=4 - omarsar - https://github.com/omarsar + https://avatars.githubusercontent.com/u/130077?s=40&v=4 + bnewbold + https://github.com/bnewbold - https://avatars.githubusercontent.com/u/50868?s=40&v=4 - behrends - https://github.com/behrends + https://avatars.githubusercontent.com/u/22510954?s=40&v=4 + emilyliu7321 + https://github.com/emilyliu7321 - https://avatars.githubusercontent.com/u/53365307?s=40&v=4 - ThunderCatXp - https://github.com/ThunderCatXp + https://avatars.githubusercontent.com/u/1270099?s=40&v=4 + pfrazee + https://github.com/pfrazee - https://avatars.githubusercontent.com/u/1067217?s=40&v=4 - giornaledisistema - https://github.com/giornaledisistema + https://avatars.githubusercontent.com/u/2221746?s=40&v=4 + thinkverse + https://github.com/thinkverse + + + https://avatars.githubusercontent.com/u/28465?s=40&v=4 + mackuba + https://github.com/mackuba - withastro/docs - https://github.com/withastro/docs - Astro documentation - https://github.com/withastro/docs + tailwindlabs/tailwindcss.com + https://github.com/tailwindlabs/tailwindcss.com + The Tailwind CSS documentation website. + https://github.com/tailwindlabs/tailwindcss.com MDX #fcb32c - 1,340 - 1,507 - 0 + 3,308 + 1,768 + 1 - https://avatars.githubusercontent.com/u/61414485?s=40&v=4 - yanthomasdev - https://github.com/yanthomasdev + https://avatars.githubusercontent.com/u/4323180?s=40&v=4 + adamwathan + https://github.com/adamwathan - https://avatars.githubusercontent.com/u/5098874?s=40&v=4 - sarah11918 - https://github.com/sarah11918 + https://avatars.githubusercontent.com/u/2615508?s=40&v=4 + bradlc + https://github.com/bradlc - https://avatars.githubusercontent.com/u/357379?s=40&v=4 - delucis - https://github.com/delucis + https://avatars.githubusercontent.com/u/882133?s=40&v=4 + reinink + https://github.com/reinink - https://avatars.githubusercontent.com/u/85648028?s=40&v=4 - dreyfus92 - https://github.com/dreyfus92 + https://avatars.githubusercontent.com/u/485747?s=40&v=4 + simonswiss + https://github.com/simonswiss - https://avatars.githubusercontent.com/u/39112954?s=40&v=4 - jsparkdev - https://github.com/jsparkdev + https://avatars.githubusercontent.com/u/25065083?s=40&v=4 + jasonlbeggs + https://github.com/jasonlbeggs - ngrok/ngrok-docs - https://github.com/ngrok/ngrok-docs - ngrok's official documentation - https://github.com/ngrok/ngrok-docs + ethereum-optimism/docs + https://github.com/ethereum-optimism/docs + Optimism Developer Docs + https://github.com/ethereum-optimism/docs MDX #fcb32c - 57 - 2,304 + 103 + 191 0 - https://avatars.githubusercontent.com/u/4805997?s=40&v=4 - russorat - https://github.com/russorat + https://avatars.githubusercontent.com/u/29023967?s=40&v=4 + cpengilly + https://github.com/cpengilly - https://avatars.githubusercontent.com/u/23283473?s=40&v=4 - faonbr - https://github.com/faonbr + https://avatars.githubusercontent.com/u/85043086?s=40&v=4 + sbvegan + https://github.com/sbvegan - https://avatars.githubusercontent.com/u/5110038?s=40&v=4 - salilsub - https://github.com/salilsub + https://avatars.githubusercontent.com/u/14915525?s=40&v=4 + krofax + https://github.com/krofax - https://avatars.githubusercontent.com/u/198276?s=40&v=4 - nijikokun - https://github.com/nijikokun + https://avatars.githubusercontent.com/u/14298799?s=40&v=4 + smartcontracts + https://github.com/smartcontracts + + + + MetaMask/metamask-docs + https://github.com/MetaMask/metamask-docs + Developer documentation for MetaMask + https://github.com/MetaMask/metamask-docs + MDX + #fcb32c + 670 + 975 + 0 + - https://avatars.githubusercontent.com/u/34115417?s=40&v=4 - cody-dot-js - https://github.com/cody-dot-js + https://avatars.githubusercontent.com/u/12214231?s=40&v=4 + alexandratran + https://github.com/alexandratran + + + https://avatars.githubusercontent.com/u/19909?s=40&v=4 + Montoya + https://github.com/Montoya + + + https://avatars.githubusercontent.com/u/153745173?s=40&v=4 + joaniefromtheblock + https://github.com/joaniefromtheblock + + + https://avatars.githubusercontent.com/u/3943143?s=40&v=4 + ziad-saab + https://github.com/ziad-saab + + + https://avatars.githubusercontent.com/u/11778717?s=40&v=4 + BboyAkers + https://github.com/BboyAkers - Kinfe123/farm-ui - https://github.com/Kinfe123/farm-ui - Treating UI Libraries as first class citizen and making sure they are headless :) - https://github.com/Kinfe123/farm-ui + huggingface/course + https://github.com/huggingface/course + The Hugging Face course on Transformers + https://github.com/huggingface/course MDX #fcb32c - 310 - 19 - 1 + 2,269 + 752 + 3 - https://avatars.githubusercontent.com/u/65047246?s=40&v=4 - Kinfe123 - https://github.com/Kinfe123 + https://avatars.githubusercontent.com/u/5528894?s=40&v=4 + kambizG + https://github.com/kambizG - https://avatars.githubusercontent.com/u/76902617?s=40&v=4 - P-1000 - https://github.com/P-1000 + https://avatars.githubusercontent.com/u/26859204?s=40&v=4 + lewtun + https://github.com/lewtun - https://avatars.githubusercontent.com/u/113286019?s=40&v=4 - Akash1000x - https://github.com/Akash1000x + https://avatars.githubusercontent.com/u/17560478?s=40&v=4 + artyomboyko + https://github.com/artyomboyko - https://avatars.githubusercontent.com/u/44190023?s=40&v=4 - baydisng13 - https://github.com/baydisng13 + https://avatars.githubusercontent.com/u/1065417?s=40&v=4 + MKhalusova + https://github.com/MKhalusova - https://avatars.githubusercontent.com/u/39573679?s=40&v=4 - hqasmei - https://github.com/hqasmei + https://avatars.githubusercontent.com/u/1778297?s=40&v=4 + abidlabs + https://github.com/abidlabs - bencherdev/bencher - https://github.com/bencherdev/bencher - 🐰 Bencher - Continuous Benchmarking - https://github.com/bencherdev/bencher + withastro/docs + https://github.com/withastro/docs + Astro documentation + https://github.com/withastro/docs MDX #fcb32c - 568 - 26 + 1,340 + 1,507 0 - https://avatars.githubusercontent.com/u/7400284?s=40&v=4 - epompeii - https://github.com/epompeii + https://avatars.githubusercontent.com/u/61414485?s=40&v=4 + yanthomasdev + https://github.com/yanthomasdev + + + https://avatars.githubusercontent.com/u/5098874?s=40&v=4 + sarah11918 + https://github.com/sarah11918 - https://avatars.githubusercontent.com/u/15987992?s=40&v=4 - OmarTawfik - https://github.com/OmarTawfik + https://avatars.githubusercontent.com/u/357379?s=40&v=4 + delucis + https://github.com/delucis - https://avatars.githubusercontent.com/u/16504129?s=40&v=4 - sagudev - https://github.com/sagudev + https://avatars.githubusercontent.com/u/85648028?s=40&v=4 + dreyfus92 + https://github.com/dreyfus92 - https://avatars.githubusercontent.com/u/19330937?s=40&v=4 - JonasWanke - https://github.com/JonasWanke + https://avatars.githubusercontent.com/u/39112954?s=40&v=4 + jsparkdev + https://github.com/jsparkdev - shuding/cobe - https://github.com/shuding/cobe - 5kB WebGL globe lib. - https://github.com/shuding/cobe + drizzle-team/drizzle-orm-docs + https://github.com/drizzle-team/drizzle-orm-docs + Drizzle ORM documentation website + https://github.com/drizzle-team/drizzle-orm-docs MDX #fcb32c - 3,271 - 178 - 5 + 142 + 231 + 0 - https://avatars.githubusercontent.com/u/3676859?s=40&v=4 - shuding - https://github.com/shuding + https://avatars.githubusercontent.com/u/65113072?s=40&v=4 + vlad-stohnii + https://github.com/vlad-stohnii - https://avatars.githubusercontent.com/u/37938090?s=40&v=4 - danieljpgo - https://github.com/danieljpgo + https://avatars.githubusercontent.com/u/42213424?s=40&v=4 + vitalii-staryk + https://github.com/vitalii-staryk - https://avatars.githubusercontent.com/u/31657298?s=40&v=4 - anaclumos - https://github.com/anaclumos + https://avatars.githubusercontent.com/u/87205530?s=40&v=4 + realmikesolo + https://github.com/realmikesolo - https://avatars.githubusercontent.com/u/1175749?s=40&v=4 - farteryhr - https://github.com/farteryhr + https://avatars.githubusercontent.com/u/4045375?s=40&v=4 + AlexBlokh + https://github.com/AlexBlokh - https://avatars.githubusercontent.com/u/4550621?s=40&v=4 - Twixes - https://github.com/Twixes + https://avatars.githubusercontent.com/u/29543764?s=40&v=4 + AndriiSherman + https://github.com/AndriiSherman - hackclub/putting-the-you-in-cpu - https://github.com/hackclub/putting-the-you-in-cpu - A technical explainer by @kognise of how your computer runs programs, from start to finish. - https://github.com/hackclub/putting-the-you-in-cpu + npm/documentation + https://github.com/npm/documentation + Documentation for the npm registry, website, and command-line interface. + https://github.com/npm/documentation MDX #fcb32c - 5,004 - 157 - 1 + 413 + 2,855 + 2 - https://avatars.githubusercontent.com/u/42556441?s=40&v=4 - kognise - https://github.com/kognise - - - https://avatars.githubusercontent.com/u/32460?s=40&v=4 - wkhere - https://github.com/wkhere + https://avatars.githubusercontent.com/u/542108?s=40&v=4 + lukekarrys + https://github.com/lukekarrys - https://avatars.githubusercontent.com/u/42175?s=40&v=4 - ulfsauer0815 - https://github.com/ulfsauer0815 + https://avatars.githubusercontent.com/u/105249312?s=40&v=4 + npm-cli-bot + https://github.com/npm-cli-bot - https://avatars.githubusercontent.com/u/992248?s=40&v=4 - zachlatta - https://github.com/zachlatta + https://avatars.githubusercontent.com/u/498775?s=40&v=4 + MylesBorins + https://github.com/MylesBorins - https://avatars.githubusercontent.com/u/1074786?s=40&v=4 - davidwalschots - https://github.com/davidwalschots + https://avatars.githubusercontent.com/u/1130014?s=40&v=4 + ethomson + https://github.com/ethomson - aws-amplify/docs - https://github.com/aws-amplify/docs - AWS Amplify Framework Documentation - https://github.com/aws-amplify/docs + stellar/stellar-docs + https://github.com/stellar/stellar-docs + Documentation for Stellar + https://github.com/stellar/stellar-docs MDX #fcb32c - 487 - 1,060 + 66 + 131 0 - https://avatars.githubusercontent.com/u/4989523?s=40&v=4 - renebrandel - https://github.com/renebrandel + https://avatars.githubusercontent.com/u/92327786?s=40&v=4 + briwylde08 + https://github.com/briwylde08 - https://avatars.githubusercontent.com/u/4893548?s=40&v=4 - harrysolovay - https://github.com/harrysolovay + https://avatars.githubusercontent.com/u/4383610?s=40&v=4 + kalepail + https://github.com/kalepail - https://avatars.githubusercontent.com/u/69429342?s=40&v=4 - Brene - https://github.com/Brene + https://avatars.githubusercontent.com/u/2024293?s=40&v=4 + ElliotFriend + https://github.com/ElliotFriend - https://avatars.githubusercontent.com/u/3868826?s=40&v=4 - jordanranz - https://github.com/jordanranz + https://avatars.githubusercontent.com/u/62526399?s=40&v=4 + Ifropc + https://github.com/Ifropc - https://avatars.githubusercontent.com/u/54393192?s=40&v=4 - timngyn - https://github.com/timngyn + https://avatars.githubusercontent.com/u/734336?s=40&v=4 + janewang + https://github.com/janewang - huggingface/course - https://github.com/huggingface/course - The Hugging Face course on Transformers - https://github.com/huggingface/course + ngrok/ngrok-docs + https://github.com/ngrok/ngrok-docs + ngrok's official documentation + https://github.com/ngrok/ngrok-docs MDX #fcb32c - 2,268 - 752 - 3 + 57 + 2,303 + 0 - https://avatars.githubusercontent.com/u/5528894?s=40&v=4 - kambizG - https://github.com/kambizG + https://avatars.githubusercontent.com/u/4805997?s=40&v=4 + russorat + https://github.com/russorat - https://avatars.githubusercontent.com/u/26859204?s=40&v=4 - lewtun - https://github.com/lewtun + https://avatars.githubusercontent.com/u/23283473?s=40&v=4 + faonbr + https://github.com/faonbr - https://avatars.githubusercontent.com/u/17560478?s=40&v=4 - artyomboyko - https://github.com/artyomboyko + https://avatars.githubusercontent.com/u/5110038?s=40&v=4 + salilsub + https://github.com/salilsub - https://avatars.githubusercontent.com/u/1065417?s=40&v=4 - MKhalusova - https://github.com/MKhalusova + https://avatars.githubusercontent.com/u/198276?s=40&v=4 + nijikokun + https://github.com/nijikokun - https://avatars.githubusercontent.com/u/1778297?s=40&v=4 - abidlabs - https://github.com/abidlabs + https://avatars.githubusercontent.com/u/34115417?s=40&v=4 + cody-dot-js + https://github.com/cody-dot-js diff --git a/data/daily/mercury.json b/data/daily/mercury.json index 281b899e447a..1d127b2806cf 100644 --- a/data/daily/mercury.json +++ b/data/daily/mercury.json @@ -2,6 +2,6 @@ "title": "GitHub Mercury Languages Daily Trending", "description": "Daily Trending of Mercury Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mercury.xml b/data/daily/mercury.xml index 6727aa4bc6ea..93ed34d7b155 100644 --- a/data/daily/mercury.xml +++ b/data/daily/mercury.xml @@ -3,6 +3,6 @@ GitHub Mercury Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mercury Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mermaid.json b/data/daily/mermaid.json index b8dc162b49bd..f7791a55d5a5 100644 --- a/data/daily/mermaid.json +++ b/data/daily/mermaid.json @@ -2,7 +2,7 @@ "title": "GitHub Mermaid Languages Daily Trending", "description": "Daily Trending of Mermaid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "v2fly/v2ray-examples", @@ -10,7 +10,7 @@ "description": "v2ray-core 的模板们", "language": "Mermaid", "languageColor": "#ff3670", - "stars": "2,365", + "stars": "2,366", "forks": "740", "addStars": "2", "contributors": [ diff --git a/data/daily/mermaid.xml b/data/daily/mermaid.xml index 62e98bd667ff..b13a77d94eef 100644 --- a/data/daily/mermaid.xml +++ b/data/daily/mermaid.xml @@ -3,7 +3,7 @@ GitHub Mermaid Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mermaid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT v2fly/v2ray-examples https://github.com/v2fly/v2ray-examples @@ -11,7 +11,7 @@ https://github.com/v2fly/v2ray-examples Mermaid #ff3670 - 2,365 + 2,366 740 2 diff --git a/data/daily/meson.json b/data/daily/meson.json index 61fa7a60d479..44c4e18aff70 100644 --- a/data/daily/meson.json +++ b/data/daily/meson.json @@ -2,6 +2,6 @@ "title": "GitHub Meson Languages Daily Trending", "description": "Daily Trending of Meson Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/meson.xml b/data/daily/meson.xml index 0fe22a9b4dd8..f5f8ee1b7bb9 100644 --- a/data/daily/meson.xml +++ b/data/daily/meson.xml @@ -3,6 +3,6 @@ GitHub Meson Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Meson Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/metal.json b/data/daily/metal.json index d9075393e3d9..3d7dfb6aa779 100644 --- a/data/daily/metal.json +++ b/data/daily/metal.json @@ -2,6 +2,6 @@ "title": "GitHub Metal Languages Daily Trending", "description": "Daily Trending of Metal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/metal.xml b/data/daily/metal.xml index 43f809bc7d65..c8ee3a26288f 100644 --- a/data/daily/metal.xml +++ b/data/daily/metal.xml @@ -3,6 +3,6 @@ GitHub Metal Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Metal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/microsoft-developer-studio-project.json b/data/daily/microsoft-developer-studio-project.json index fffb278d81d0..1b87eaba12c4 100644 --- a/data/daily/microsoft-developer-studio-project.json +++ b/data/daily/microsoft-developer-studio-project.json @@ -2,6 +2,6 @@ "title": "GitHub Microsoft-developer-studio-project Languages Daily Trending", "description": "Daily Trending of Microsoft-developer-studio-project Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/microsoft-developer-studio-project.xml b/data/daily/microsoft-developer-studio-project.xml index 41e473d4e16d..b36daea3e00e 100644 --- a/data/daily/microsoft-developer-studio-project.xml +++ b/data/daily/microsoft-developer-studio-project.xml @@ -3,6 +3,6 @@ GitHub Microsoft-developer-studio-project Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Microsoft-developer-studio-project Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/microsoft-visual-studio-solution.json b/data/daily/microsoft-visual-studio-solution.json index d84ad049dcd9..c95d2a1671dc 100644 --- a/data/daily/microsoft-visual-studio-solution.json +++ b/data/daily/microsoft-visual-studio-solution.json @@ -2,6 +2,6 @@ "title": "GitHub Microsoft-visual-studio-solution Languages Daily Trending", "description": "Daily Trending of Microsoft-visual-studio-solution Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/microsoft-visual-studio-solution.xml b/data/daily/microsoft-visual-studio-solution.xml index 24336a9ffea2..98ff64596879 100644 --- a/data/daily/microsoft-visual-studio-solution.xml +++ b/data/daily/microsoft-visual-studio-solution.xml @@ -3,6 +3,6 @@ GitHub Microsoft-visual-studio-solution Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Microsoft-visual-studio-solution Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/minid.json b/data/daily/minid.json index 6b59179c9162..9402a658e310 100644 --- a/data/daily/minid.json +++ b/data/daily/minid.json @@ -2,6 +2,6 @@ "title": "GitHub Minid Languages Daily Trending", "description": "Daily Trending of Minid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/minid.xml b/data/daily/minid.xml index febba6888d2b..9be8a3efe949 100644 --- a/data/daily/minid.xml +++ b/data/daily/minid.xml @@ -3,6 +3,6 @@ GitHub Minid Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Minid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/miniyaml.json b/data/daily/miniyaml.json index 076a41552749..6d45045d7929 100644 --- a/data/daily/miniyaml.json +++ b/data/daily/miniyaml.json @@ -2,6 +2,6 @@ "title": "GitHub Miniyaml Languages Daily Trending", "description": "Daily Trending of Miniyaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/miniyaml.xml b/data/daily/miniyaml.xml index b40519ec411f..4c9afd35ed76 100644 --- a/data/daily/miniyaml.xml +++ b/data/daily/miniyaml.xml @@ -3,6 +3,6 @@ GitHub Miniyaml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Miniyaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mint.json b/data/daily/mint.json index 7146aef64c77..f0c947140704 100644 --- a/data/daily/mint.json +++ b/data/daily/mint.json @@ -2,6 +2,6 @@ "title": "GitHub Mint Languages Daily Trending", "description": "Daily Trending of Mint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mint.xml b/data/daily/mint.xml index 279969ead50c..61c861de4178 100644 --- a/data/daily/mint.xml +++ b/data/daily/mint.xml @@ -3,6 +3,6 @@ GitHub Mint Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mirah.json b/data/daily/mirah.json index a80c9da9e366..650027e00eb4 100644 --- a/data/daily/mirah.json +++ b/data/daily/mirah.json @@ -2,6 +2,6 @@ "title": "GitHub Mirah Languages Daily Trending", "description": "Daily Trending of Mirah Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mirah.xml b/data/daily/mirah.xml index a171b402fc60..96524e8490d9 100644 --- a/data/daily/mirah.xml +++ b/data/daily/mirah.xml @@ -3,6 +3,6 @@ GitHub Mirah Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mirah Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mirc-script.json b/data/daily/mirc-script.json index b4ec722ea60c..765ee732f367 100644 --- a/data/daily/mirc-script.json +++ b/data/daily/mirc-script.json @@ -2,6 +2,6 @@ "title": "GitHub Mirc-script Languages Daily Trending", "description": "Daily Trending of Mirc-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mirc-script.xml b/data/daily/mirc-script.xml index fa7d17d80be2..06739541c479 100644 --- a/data/daily/mirc-script.xml +++ b/data/daily/mirc-script.xml @@ -3,6 +3,6 @@ GitHub Mirc-script Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mirc-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mlir.json b/data/daily/mlir.json index c149aaa4fd2d..aa32d821e686 100644 --- a/data/daily/mlir.json +++ b/data/daily/mlir.json @@ -2,7 +2,7 @@ "title": "GitHub Mlir Languages Daily Trending", "description": "Daily Trending of Mlir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/triton-shared", diff --git a/data/daily/mlir.xml b/data/daily/mlir.xml index 215808f3daa5..60bf47dbf5a3 100644 --- a/data/daily/mlir.xml +++ b/data/daily/mlir.xml @@ -3,7 +3,7 @@ GitHub Mlir Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mlir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/triton-shared https://github.com/microsoft/triton-shared diff --git a/data/daily/modelica.json b/data/daily/modelica.json index 5a4acf996c6f..90cf3dd8f43b 100644 --- a/data/daily/modelica.json +++ b/data/daily/modelica.json @@ -2,6 +2,6 @@ "title": "GitHub Modelica Languages Daily Trending", "description": "Daily Trending of Modelica Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/modelica.xml b/data/daily/modelica.xml index 6ce7f09d5e45..74978820bb5c 100644 --- a/data/daily/modelica.xml +++ b/data/daily/modelica.xml @@ -3,6 +3,6 @@ GitHub Modelica Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Modelica Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/modula-2.json b/data/daily/modula-2.json index af9749a2b0d8..7a5d218c6523 100644 --- a/data/daily/modula-2.json +++ b/data/daily/modula-2.json @@ -2,6 +2,6 @@ "title": "GitHub Modula-2 Languages Daily Trending", "description": "Daily Trending of Modula-2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/modula-2.xml b/data/daily/modula-2.xml index f92d55ec3ab9..380f5f97cbd4 100644 --- a/data/daily/modula-2.xml +++ b/data/daily/modula-2.xml @@ -3,6 +3,6 @@ GitHub Modula-2 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Modula-2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/modula-3.json b/data/daily/modula-3.json index dcd006f42642..b2fa557ebb24 100644 --- a/data/daily/modula-3.json +++ b/data/daily/modula-3.json @@ -2,6 +2,6 @@ "title": "GitHub Modula-3 Languages Daily Trending", "description": "Daily Trending of Modula-3 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/modula-3.xml b/data/daily/modula-3.xml index e01f45b3466f..1e04c5fcd214 100644 --- a/data/daily/modula-3.xml +++ b/data/daily/modula-3.xml @@ -3,6 +3,6 @@ GitHub Modula-3 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Modula-3 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/module-management-system.json b/data/daily/module-management-system.json index 51afe9c85c08..3b03f6e9e416 100644 --- a/data/daily/module-management-system.json +++ b/data/daily/module-management-system.json @@ -2,6 +2,6 @@ "title": "GitHub Module-management-system Languages Daily Trending", "description": "Daily Trending of Module-management-system Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/module-management-system.xml b/data/daily/module-management-system.xml index ece1a604d863..5b97e7cf0b6e 100644 --- a/data/daily/module-management-system.xml +++ b/data/daily/module-management-system.xml @@ -3,6 +3,6 @@ GitHub Module-management-system Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Module-management-system Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mojo.json b/data/daily/mojo.json index f23016d67379..258e8a27c4b3 100644 --- a/data/daily/mojo.json +++ b/data/daily/mojo.json @@ -2,7 +2,7 @@ "title": "GitHub Mojo Languages Daily Trending", "description": "Daily Trending of Mojo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "modularml/mojo", diff --git a/data/daily/mojo.xml b/data/daily/mojo.xml index 7a056b86e05f..0134774bd431 100644 --- a/data/daily/mojo.xml +++ b/data/daily/mojo.xml @@ -3,7 +3,7 @@ GitHub Mojo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mojo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT modularml/mojo https://github.com/modularml/mojo diff --git a/data/daily/monkey-c.json b/data/daily/monkey-c.json index 23994b2ba589..a6bfc9c25df3 100644 --- a/data/daily/monkey-c.json +++ b/data/daily/monkey-c.json @@ -2,6 +2,6 @@ "title": "GitHub Monkey-c Languages Daily Trending", "description": "Daily Trending of Monkey-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/monkey-c.xml b/data/daily/monkey-c.xml index 87c22fdf35ca..d848b8d2cc89 100644 --- a/data/daily/monkey-c.xml +++ b/data/daily/monkey-c.xml @@ -3,6 +3,6 @@ GitHub Monkey-c Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Monkey-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/monkey.json b/data/daily/monkey.json index 90488172f090..bbbc8e5728e6 100644 --- a/data/daily/monkey.json +++ b/data/daily/monkey.json @@ -2,6 +2,6 @@ "title": "GitHub Monkey Languages Daily Trending", "description": "Daily Trending of Monkey Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/monkey.xml b/data/daily/monkey.xml index 0f3e6859a240..b42c9166143f 100644 --- a/data/daily/monkey.xml +++ b/data/daily/monkey.xml @@ -3,6 +3,6 @@ GitHub Monkey Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Monkey Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/moocode.json b/data/daily/moocode.json index 0fe9cc20bad4..ede6d18f8109 100644 --- a/data/daily/moocode.json +++ b/data/daily/moocode.json @@ -2,6 +2,6 @@ "title": "GitHub Moocode Languages Daily Trending", "description": "Daily Trending of Moocode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/moocode.xml b/data/daily/moocode.xml index b53e33289f62..de7a83782a09 100644 --- a/data/daily/moocode.xml +++ b/data/daily/moocode.xml @@ -3,6 +3,6 @@ GitHub Moocode Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Moocode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/moonscript.json b/data/daily/moonscript.json index c066fa0fece2..f4410d9de64a 100644 --- a/data/daily/moonscript.json +++ b/data/daily/moonscript.json @@ -2,6 +2,6 @@ "title": "GitHub Moonscript Languages Daily Trending", "description": "Daily Trending of Moonscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/moonscript.xml b/data/daily/moonscript.xml index 2dfeced3cddb..2c77ccc4aed3 100644 --- a/data/daily/moonscript.xml +++ b/data/daily/moonscript.xml @@ -3,6 +3,6 @@ GitHub Moonscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Moonscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/motoko.json b/data/daily/motoko.json index 5b4104340e4a..f7dab6d3e662 100644 --- a/data/daily/motoko.json +++ b/data/daily/motoko.json @@ -2,6 +2,6 @@ "title": "GitHub Motoko Languages Daily Trending", "description": "Daily Trending of Motoko Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/motoko.xml b/data/daily/motoko.xml index 2c056b92df7a..26d5df4c9c18 100644 --- a/data/daily/motoko.xml +++ b/data/daily/motoko.xml @@ -3,6 +3,6 @@ GitHub Motoko Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Motoko Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/motorola-68k-assembly.json b/data/daily/motorola-68k-assembly.json index ae1926b42e37..bb9a646d1daa 100644 --- a/data/daily/motorola-68k-assembly.json +++ b/data/daily/motorola-68k-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Motorola-68k-assembly Languages Daily Trending", "description": "Daily Trending of Motorola-68k-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/motorola-68k-assembly.xml b/data/daily/motorola-68k-assembly.xml index d1e894608dee..730cc3b5aa07 100644 --- a/data/daily/motorola-68k-assembly.xml +++ b/data/daily/motorola-68k-assembly.xml @@ -3,6 +3,6 @@ GitHub Motorola-68k-assembly Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Motorola-68k-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/move.json b/data/daily/move.json index 78124253bb13..bed7d632b363 100644 --- a/data/daily/move.json +++ b/data/daily/move.json @@ -2,6 +2,44 @@ "title": "GitHub Move Languages Daily Trending", "description": "Daily Trending of Move Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "MystenLabs/walrus-docs", + "url": "https://github.com/MystenLabs/walrus-docs", + "description": "Documentation and examples for the Walrus decentralized storage system", + "language": "Move", + "languageColor": "#4a137a", + "stars": "66", + "forks": "33", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5960634?s=40&v=4", + "name": "mlegner", + "url": "https://github.com/mlegner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/124184614?s=40&v=4", + "name": "giac-mysten", + "url": "https://github.com/giac-mysten" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4999882?s=40&v=4", + "name": "gdanezis", + "url": "https://github.com/gdanezis" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5716112?s=40&v=4", + "name": "karlwuest", + "url": "https://github.com/karlwuest" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1690716?s=40&v=4", + "name": "jpcsmith", + "url": "https://github.com/jpcsmith" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/move.xml b/data/daily/move.xml index e14e3a958287..ceb4390a7fd5 100644 --- a/data/daily/move.xml +++ b/data/daily/move.xml @@ -3,6 +3,44 @@ GitHub Move Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Move Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + MystenLabs/walrus-docs + https://github.com/MystenLabs/walrus-docs + Documentation and examples for the Walrus decentralized storage system + https://github.com/MystenLabs/walrus-docs + Move + #4a137a + 66 + 33 + 2 + + + https://avatars.githubusercontent.com/u/5960634?s=40&v=4 + mlegner + https://github.com/mlegner + + + https://avatars.githubusercontent.com/u/124184614?s=40&v=4 + giac-mysten + https://github.com/giac-mysten + + + https://avatars.githubusercontent.com/u/4999882?s=40&v=4 + gdanezis + https://github.com/gdanezis + + + https://avatars.githubusercontent.com/u/5716112?s=40&v=4 + karlwuest + https://github.com/karlwuest + + + https://avatars.githubusercontent.com/u/1690716?s=40&v=4 + jpcsmith + https://github.com/jpcsmith + + + \ No newline at end of file diff --git a/data/daily/mql4.json b/data/daily/mql4.json index 8abec93e1759..7f82f85d648b 100644 --- a/data/daily/mql4.json +++ b/data/daily/mql4.json @@ -2,6 +2,6 @@ "title": "GitHub Mql4 Languages Daily Trending", "description": "Daily Trending of Mql4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mql4.xml b/data/daily/mql4.xml index e0880ee5826b..6a0976ede4bc 100644 --- a/data/daily/mql4.xml +++ b/data/daily/mql4.xml @@ -3,6 +3,6 @@ GitHub Mql4 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mql4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mql5.json b/data/daily/mql5.json index 8de54c229b02..7db04c50f874 100644 --- a/data/daily/mql5.json +++ b/data/daily/mql5.json @@ -2,6 +2,6 @@ "title": "GitHub Mql5 Languages Daily Trending", "description": "Daily Trending of Mql5 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mql5.xml b/data/daily/mql5.xml index a077445199d2..b05c40a8daeb 100644 --- a/data/daily/mql5.xml +++ b/data/daily/mql5.xml @@ -3,6 +3,6 @@ GitHub Mql5 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mql5 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mtml.json b/data/daily/mtml.json index 043ce332efda..872a3b00dab8 100644 --- a/data/daily/mtml.json +++ b/data/daily/mtml.json @@ -2,6 +2,6 @@ "title": "GitHub Mtml Languages Daily Trending", "description": "Daily Trending of Mtml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mtml.xml b/data/daily/mtml.xml index f0720c23ef74..7062ff4320d4 100644 --- a/data/daily/mtml.xml +++ b/data/daily/mtml.xml @@ -3,6 +3,6 @@ GitHub Mtml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mtml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/muf.json b/data/daily/muf.json index e130ed86cbfa..f1f4c7b2535a 100644 --- a/data/daily/muf.json +++ b/data/daily/muf.json @@ -2,6 +2,6 @@ "title": "GitHub Muf Languages Daily Trending", "description": "Daily Trending of Muf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/muf.xml b/data/daily/muf.xml index ff135d819940..2ac9386d5691 100644 --- a/data/daily/muf.xml +++ b/data/daily/muf.xml @@ -3,6 +3,6 @@ GitHub Muf Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Muf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mupad.json b/data/daily/mupad.json index 9cd8925e89a9..6ecf73c04845 100644 --- a/data/daily/mupad.json +++ b/data/daily/mupad.json @@ -2,6 +2,6 @@ "title": "GitHub Mupad Languages Daily Trending", "description": "Daily Trending of Mupad Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/mupad.xml b/data/daily/mupad.xml index 1c969c15546c..fb7a45aad2b8 100644 --- a/data/daily/mupad.xml +++ b/data/daily/mupad.xml @@ -3,6 +3,6 @@ GitHub Mupad Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mupad Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/muse.json b/data/daily/muse.json index 369ddd799afe..852ae1e5bdea 100644 --- a/data/daily/muse.json +++ b/data/daily/muse.json @@ -2,6 +2,6 @@ "title": "GitHub Muse Languages Daily Trending", "description": "Daily Trending of Muse Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/muse.xml b/data/daily/muse.xml index 7c5d5d85750a..7c943d837891 100644 --- a/data/daily/muse.xml +++ b/data/daily/muse.xml @@ -3,6 +3,6 @@ GitHub Muse Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Muse Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/mustache.json b/data/daily/mustache.json index f92cff964966..fb6b577a09b6 100644 --- a/data/daily/mustache.json +++ b/data/daily/mustache.json @@ -2,8 +2,45 @@ "title": "GitHub Mustache Languages Daily Trending", "description": "Daily Trending of Mustache Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "prometheus-community/helm-charts", + "url": "https://github.com/prometheus-community/helm-charts", + "description": "Prometheus community Helm charts", + "language": "Mustache", + "languageColor": "#724b3b", + "stars": "5,139", + "forks": "5,033", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1069919?s=40&v=4", + "name": "monotek", + "url": "https://github.com/monotek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/283101?s=40&v=4", + "name": "zanhsieh", + "url": "https://github.com/zanhsieh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47476160?s=40&v=4", + "name": "zeritti", + "url": "https://github.com/zeritti" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1560587?s=40&v=4", + "name": "jkroepke", + "url": "https://github.com/jkroepke" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33663870?s=40&v=4", + "name": "DrFaust92", + "url": "https://github.com/DrFaust92" + } + ] + }, { "title": "aws/eks-charts", "url": "https://github.com/aws/eks-charts", @@ -42,293 +79,39 @@ ] }, { - "title": "temporalio/helm-charts", - "url": "https://github.com/temporalio/helm-charts", - "description": "Temporal Helm charts", + "title": "vmware-tanzu/helm-charts", + "url": "https://github.com/vmware-tanzu/helm-charts", + "description": "Contains Helm charts for Kubernetes related open source tools", "language": "Mustache", "languageColor": "#724b3b", - "stars": "317", - "forks": "342", + "stars": "254", + "forks": "363", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2232524?s=40&v=4", - "name": "alexshtin", - "url": "https://github.com/alexshtin" + "avatar": "https://avatars.githubusercontent.com/u/49380831?s=40&v=4", + "name": "jenting", + "url": "https://github.com/jenting" }, { - "avatar": "https://avatars.githubusercontent.com/u/9563?s=40&v=4", - "name": "robholland", - "url": "https://github.com/robholland" + "avatar": "https://avatars.githubusercontent.com/u/16508?s=40&v=4", + "name": "carlisia", + "url": "https://github.com/carlisia" }, { - "avatar": "https://avatars.githubusercontent.com/u/71099796?s=40&v=4", - "name": "temporal-data", - "url": "https://github.com/temporal-data" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8762893?s=40&v=4", - "name": "wxing1292", - "url": "https://github.com/wxing1292" + "avatar": "https://avatars.githubusercontent.com/u/8985337?s=40&v=4", + "name": "qiuming-best", + "url": "https://github.com/qiuming-best" }, - { - "avatar": "https://avatars.githubusercontent.com/u/5552381?s=40&v=4", - "name": "mastermanu", - "url": "https://github.com/mastermanu" - } - ] - }, - { - "title": "amzn/selling-partner-api-models", - "url": "https://github.com/amzn/selling-partner-api-models", - "description": "This repository contains OpenAPI models for developers to use when developing software to call Selling Partner APIs.", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "616", - "forks": "739", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/105888268?s=40&v=4", - "name": "waldrogi", - "url": "https://github.com/waldrogi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/91628200?s=40&v=4", - "name": "jevoniuk", - "url": "https://github.com/jevoniuk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54422434?s=40&v=4", - "name": "salric", - "url": "https://github.com/salric" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89214940?s=40&v=4", - "name": "mentiaa", - "url": "https://github.com/mentiaa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39277031?s=40&v=4", - "name": "rickdeee", - "url": "https://github.com/rickdeee" - } - ] - }, - { - "title": "swagger-api/swagger-codegen", - "url": "https://github.com/swagger-api/swagger-codegen", - "description": "swagger-codegen contains a template-driven engine to generate documentation, API clients and server stubs in different languages by parsing your OpenAPI / Swagger definition.", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "17,066", - "forks": "6,027", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/934260?s=40&v=4", - "name": "wing328", - "url": "https://github.com/wing328" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/249413?s=40&v=4", - "name": "fehguy", - "url": "https://github.com/fehguy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3266608?s=40&v=4", - "name": "HugoMario", - "url": "https://github.com/HugoMario" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/159740?s=40&v=4", - "name": "xhh", - "url": "https://github.com/xhh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15786658?s=40&v=4", - "name": "frantuma", - "url": "https://github.com/frantuma" - } - ] - }, - { - "title": "goharbor/harbor-helm", - "url": "https://github.com/goharbor/harbor-helm", - "description": "The helm chart to deploy Harbor", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "1,191", - "forks": "759", - "addStars": "0", - "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/5835782?s=40&v=4", "name": "ywk253100", "url": "https://github.com/ywk253100" }, { - "avatar": "https://avatars.githubusercontent.com/u/2390463?s=40&v=4", - "name": "reasonerjt", - "url": "https://github.com/reasonerjt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5634205?s=40&v=4", - "name": "heww", - "url": "https://github.com/heww" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1069785?s=40&v=4", - "name": "ninjadq", - "url": "https://github.com/ninjadq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2841473?s=40&v=4", - "name": "wy65701436", - "url": "https://github.com/wy65701436" - } - ] - }, - { - "title": "vectordotdev/helm-charts", - "url": "https://github.com/vectordotdev/helm-charts", - "description": "Helm charts for Vector.", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "114", - "forks": "89", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11903873?s=40&v=4", - "name": "spencergilbert", - "url": "https://github.com/spencergilbert" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/316880?s=40&v=4", - "name": "jszwedko", - "url": "https://github.com/jszwedko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9162534?s=40&v=4", - "name": "neuronull", - "url": "https://github.com/neuronull" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20198397?s=40&v=4", - "name": "dsmith3197", - "url": "https://github.com/dsmith3197" - } - ] - }, - { - "title": "oauth2-proxy/manifests", - "url": "https://github.com/oauth2-proxy/manifests", - "description": "For hosting manifests to allow for the deployment of OAuth2-Proxy/OAuth2-Proxy", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "172", - "forks": "155", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/36044817?s=40&v=4", - "name": "pierluigilenoci", - "url": "https://github.com/pierluigilenoci" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38929?s=40&v=4", - "name": "desaintmartin", - "url": "https://github.com/desaintmartin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19282025?s=40&v=4", - "name": "erkannt", - "url": "https://github.com/erkannt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9232216?s=40&v=4", - "name": "JoelSpeed", - "url": "https://github.com/JoelSpeed" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29233?s=40&v=4", - "name": "nlamirault", - "url": "https://github.com/nlamirault" - } - ] - }, - { - "title": "prometheus-community/helm-charts", - "url": "https://github.com/prometheus-community/helm-charts", - "description": "Prometheus community Helm charts", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "5,139", - "forks": "5,032", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1069919?s=40&v=4", - "name": "monotek", - "url": "https://github.com/monotek" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/283101?s=40&v=4", - "name": "zanhsieh", - "url": "https://github.com/zanhsieh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47476160?s=40&v=4", - "name": "zeritti", - "url": "https://github.com/zeritti" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1560587?s=40&v=4", - "name": "jkroepke", - "url": "https://github.com/jkroepke" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33663870?s=40&v=4", - "name": "DrFaust92", - "url": "https://github.com/DrFaust92" - } - ] - }, - { - "title": "rudderlabs/rudderstack-helm", - "url": "https://github.com/rudderlabs/rudderstack-helm", - "description": "Open-source, warehouse-first Customer Data Pipeline and Segment-alternative. Collects and routes clickstream data and builds your customer data lake on your data warehouse.", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "61", - "forks": "48", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/411699?s=40&v=4", - "name": "lokey", - "url": "https://github.com/lokey" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2762198?s=40&v=4", - "name": "bdashrad", - "url": "https://github.com/bdashrad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8225792?s=40&v=4", - "name": "psrikanth88", - "url": "https://github.com/psrikanth88" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23616236?s=40&v=4", - "name": "gane5hvarma", - "url": "https://github.com/gane5hvarma" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7383674?s=40&v=4", - "name": "SumanthPuram", - "url": "https://github.com/SumanthPuram" + "avatar": "https://avatars.githubusercontent.com/u/4115580?s=40&v=4", + "name": "cpanato", + "url": "https://github.com/cpanato" } ] }, @@ -365,76 +148,39 @@ ] }, { - "title": "kedacore/charts", - "url": "https://github.com/kedacore/charts", - "description": "Helm charts for KEDA", + "title": "temporalio/helm-charts", + "url": "https://github.com/temporalio/helm-charts", + "description": "Temporal Helm charts", "language": "Mustache", "languageColor": "#724b3b", - "stars": "157", - "forks": "224", + "stars": "317", + "forks": "342", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4345663?s=40&v=4", - "name": "tomkerkhove", - "url": "https://github.com/tomkerkhove" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36899226?s=40&v=4", - "name": "JorTurFer", - "url": "https://github.com/JorTurFer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/726523?s=40&v=4", - "name": "zroubalik", - "url": "https://github.com/zroubalik" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/70865?s=40&v=4", - "name": "arschles", - "url": "https://github.com/arschles" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7132626?s=40&v=4", - "name": "jeffhollan", - "url": "https://github.com/jeffhollan" - } - ] - }, - { - "title": "argoproj/argo-helm", - "url": "https://github.com/argoproj/argo-helm", - "description": "ArgoProj Helm Charts", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "1,772", - "forks": "1,878", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7290987?s=40&v=4", - "name": "mkilchhofer", - "url": "https://github.com/mkilchhofer" + "avatar": "https://avatars.githubusercontent.com/u/2232524?s=40&v=4", + "name": "alexshtin", + "url": "https://github.com/alexshtin" }, { - "avatar": "https://avatars.githubusercontent.com/u/30188755?s=40&v=4", - "name": "yu-croco", - "url": "https://github.com/yu-croco" + "avatar": "https://avatars.githubusercontent.com/u/9563?s=40&v=4", + "name": "robholland", + "url": "https://github.com/robholland" }, { - "avatar": "https://avatars.githubusercontent.com/u/19188617?s=40&v=4", - "name": "pdrastil", - "url": "https://github.com/pdrastil" + "avatar": "https://avatars.githubusercontent.com/u/71099796?s=40&v=4", + "name": "temporal-data", + "url": "https://github.com/temporal-data" }, { - "avatar": "https://avatars.githubusercontent.com/u/21042968?s=40&v=4", - "name": "mbevc1", - "url": "https://github.com/mbevc1" + "avatar": "https://avatars.githubusercontent.com/u/8762893?s=40&v=4", + "name": "wxing1292", + "url": "https://github.com/wxing1292" }, { - "avatar": "https://avatars.githubusercontent.com/u/35014?s=40&v=4", - "name": "jmeridth", - "url": "https://github.com/jmeridth" + "avatar": "https://avatars.githubusercontent.com/u/5552381?s=40&v=4", + "name": "mastermanu", + "url": "https://github.com/mastermanu" } ] }, @@ -445,7 +191,7 @@ "language": "Mustache", "languageColor": "#724b3b", "stars": "465", - "forks": "1,018", + "forks": "1,017", "addStars": "0", "contributors": [ { @@ -459,6 +205,43 @@ "url": "https://github.com/Devesh225" } ] + }, + { + "title": "kubecost/cost-analyzer-helm-chart", + "url": "https://github.com/kubecost/cost-analyzer-helm-chart", + "description": "Kubecost helm chart", + "language": "Mustache", + "languageColor": "#724b3b", + "stars": "490", + "forks": "418", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/453512?s=40&v=4", + "name": "AjayTripathy", + "url": "https://github.com/AjayTripathy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/334480?s=40&v=4", + "name": "mbolt35", + "url": "https://github.com/mbolt35" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31039225?s=40&v=4", + "name": "jessegoodier", + "url": "https://github.com/jessegoodier" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29008123?s=40&v=4", + "name": "chipzoller", + "url": "https://github.com/chipzoller" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/298359?s=40&v=4", + "name": "dwbrown2", + "url": "https://github.com/dwbrown2" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/mustache.xml b/data/daily/mustache.xml index 385e30d13196..5f0aca46e36c 100644 --- a/data/daily/mustache.xml +++ b/data/daily/mustache.xml @@ -3,7 +3,45 @@ GitHub Mustache Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Mustache Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + prometheus-community/helm-charts + https://github.com/prometheus-community/helm-charts + Prometheus community Helm charts + https://github.com/prometheus-community/helm-charts + Mustache + #724b3b + 5,139 + 5,033 + 4 + + + https://avatars.githubusercontent.com/u/1069919?s=40&v=4 + monotek + https://github.com/monotek + + + https://avatars.githubusercontent.com/u/283101?s=40&v=4 + zanhsieh + https://github.com/zanhsieh + + + https://avatars.githubusercontent.com/u/47476160?s=40&v=4 + zeritti + https://github.com/zeritti + + + https://avatars.githubusercontent.com/u/1560587?s=40&v=4 + jkroepke + https://github.com/jkroepke + + + https://avatars.githubusercontent.com/u/33663870?s=40&v=4 + DrFaust92 + https://github.com/DrFaust92 + + + aws/eks-charts https://github.com/aws/eks-charts @@ -43,301 +81,40 @@ - temporalio/helm-charts - https://github.com/temporalio/helm-charts - Temporal Helm charts - https://github.com/temporalio/helm-charts + vmware-tanzu/helm-charts + https://github.com/vmware-tanzu/helm-charts + Contains Helm charts for Kubernetes related open source tools + https://github.com/vmware-tanzu/helm-charts Mustache #724b3b - 317 - 342 + 254 + 363 0 - https://avatars.githubusercontent.com/u/2232524?s=40&v=4 - alexshtin - https://github.com/alexshtin + https://avatars.githubusercontent.com/u/49380831?s=40&v=4 + jenting + https://github.com/jenting - https://avatars.githubusercontent.com/u/9563?s=40&v=4 - robholland - https://github.com/robholland + https://avatars.githubusercontent.com/u/16508?s=40&v=4 + carlisia + https://github.com/carlisia - https://avatars.githubusercontent.com/u/71099796?s=40&v=4 - temporal-data - https://github.com/temporal-data + https://avatars.githubusercontent.com/u/8985337?s=40&v=4 + qiuming-best + https://github.com/qiuming-best - - https://avatars.githubusercontent.com/u/8762893?s=40&v=4 - wxing1292 - https://github.com/wxing1292 - - - https://avatars.githubusercontent.com/u/5552381?s=40&v=4 - mastermanu - https://github.com/mastermanu - - - - - amzn/selling-partner-api-models - https://github.com/amzn/selling-partner-api-models - This repository contains OpenAPI models for developers to use when developing software to call Selling Partner APIs. - https://github.com/amzn/selling-partner-api-models - Mustache - #724b3b - 616 - 739 - 0 - - - https://avatars.githubusercontent.com/u/105888268?s=40&v=4 - waldrogi - https://github.com/waldrogi - - - https://avatars.githubusercontent.com/u/91628200?s=40&v=4 - jevoniuk - https://github.com/jevoniuk - - - https://avatars.githubusercontent.com/u/54422434?s=40&v=4 - salric - https://github.com/salric - - - https://avatars.githubusercontent.com/u/89214940?s=40&v=4 - mentiaa - https://github.com/mentiaa - - - https://avatars.githubusercontent.com/u/39277031?s=40&v=4 - rickdeee - https://github.com/rickdeee - - - - - swagger-api/swagger-codegen - https://github.com/swagger-api/swagger-codegen - swagger-codegen contains a template-driven engine to generate documentation, API clients and server stubs in different languages by parsing your OpenAPI / Swagger definition. - https://github.com/swagger-api/swagger-codegen - Mustache - #724b3b - 17,066 - 6,027 - 2 - - - https://avatars.githubusercontent.com/u/934260?s=40&v=4 - wing328 - https://github.com/wing328 - - - https://avatars.githubusercontent.com/u/249413?s=40&v=4 - fehguy - https://github.com/fehguy - - - https://avatars.githubusercontent.com/u/3266608?s=40&v=4 - HugoMario - https://github.com/HugoMario - - - https://avatars.githubusercontent.com/u/159740?s=40&v=4 - xhh - https://github.com/xhh - - - https://avatars.githubusercontent.com/u/15786658?s=40&v=4 - frantuma - https://github.com/frantuma - - - - - goharbor/harbor-helm - https://github.com/goharbor/harbor-helm - The helm chart to deploy Harbor - https://github.com/goharbor/harbor-helm - Mustache - #724b3b - 1,191 - 759 - 0 - https://avatars.githubusercontent.com/u/5835782?s=40&v=4 ywk253100 https://github.com/ywk253100 - https://avatars.githubusercontent.com/u/2390463?s=40&v=4 - reasonerjt - https://github.com/reasonerjt - - - https://avatars.githubusercontent.com/u/5634205?s=40&v=4 - heww - https://github.com/heww - - - https://avatars.githubusercontent.com/u/1069785?s=40&v=4 - ninjadq - https://github.com/ninjadq - - - https://avatars.githubusercontent.com/u/2841473?s=40&v=4 - wy65701436 - https://github.com/wy65701436 - - - - - vectordotdev/helm-charts - https://github.com/vectordotdev/helm-charts - Helm charts for Vector. - https://github.com/vectordotdev/helm-charts - Mustache - #724b3b - 114 - 89 - 0 - - - https://avatars.githubusercontent.com/u/11903873?s=40&v=4 - spencergilbert - https://github.com/spencergilbert - - - https://avatars.githubusercontent.com/u/316880?s=40&v=4 - jszwedko - https://github.com/jszwedko - - - https://avatars.githubusercontent.com/u/9162534?s=40&v=4 - neuronull - https://github.com/neuronull - - - https://avatars.githubusercontent.com/u/20198397?s=40&v=4 - dsmith3197 - https://github.com/dsmith3197 - - - - - oauth2-proxy/manifests - https://github.com/oauth2-proxy/manifests - For hosting manifests to allow for the deployment of OAuth2-Proxy/OAuth2-Proxy - https://github.com/oauth2-proxy/manifests - Mustache - #724b3b - 172 - 155 - 0 - - - https://avatars.githubusercontent.com/u/36044817?s=40&v=4 - pierluigilenoci - https://github.com/pierluigilenoci - - - https://avatars.githubusercontent.com/u/38929?s=40&v=4 - desaintmartin - https://github.com/desaintmartin - - - https://avatars.githubusercontent.com/u/19282025?s=40&v=4 - erkannt - https://github.com/erkannt - - - https://avatars.githubusercontent.com/u/9232216?s=40&v=4 - JoelSpeed - https://github.com/JoelSpeed - - - https://avatars.githubusercontent.com/u/29233?s=40&v=4 - nlamirault - https://github.com/nlamirault - - - - - prometheus-community/helm-charts - https://github.com/prometheus-community/helm-charts - Prometheus community Helm charts - https://github.com/prometheus-community/helm-charts - Mustache - #724b3b - 5,139 - 5,032 - 4 - - - https://avatars.githubusercontent.com/u/1069919?s=40&v=4 - monotek - https://github.com/monotek - - - https://avatars.githubusercontent.com/u/283101?s=40&v=4 - zanhsieh - https://github.com/zanhsieh - - - https://avatars.githubusercontent.com/u/47476160?s=40&v=4 - zeritti - https://github.com/zeritti - - - https://avatars.githubusercontent.com/u/1560587?s=40&v=4 - jkroepke - https://github.com/jkroepke - - - https://avatars.githubusercontent.com/u/33663870?s=40&v=4 - DrFaust92 - https://github.com/DrFaust92 - - - - - rudderlabs/rudderstack-helm - https://github.com/rudderlabs/rudderstack-helm - Open-source, warehouse-first Customer Data Pipeline and Segment-alternative. Collects and routes clickstream data and builds your customer data lake on your data warehouse. - https://github.com/rudderlabs/rudderstack-helm - Mustache - #724b3b - 61 - 48 - 0 - - - https://avatars.githubusercontent.com/u/411699?s=40&v=4 - lokey - https://github.com/lokey - - - https://avatars.githubusercontent.com/u/2762198?s=40&v=4 - bdashrad - https://github.com/bdashrad - - - https://avatars.githubusercontent.com/u/8225792?s=40&v=4 - psrikanth88 - https://github.com/psrikanth88 - - - https://avatars.githubusercontent.com/u/23616236?s=40&v=4 - gane5hvarma - https://github.com/gane5hvarma - - - https://avatars.githubusercontent.com/u/7383674?s=40&v=4 - SumanthPuram - https://github.com/SumanthPuram + https://avatars.githubusercontent.com/u/4115580?s=40&v=4 + cpanato + https://github.com/cpanato @@ -375,78 +152,40 @@ - kedacore/charts - https://github.com/kedacore/charts - Helm charts for KEDA - https://github.com/kedacore/charts + temporalio/helm-charts + https://github.com/temporalio/helm-charts + Temporal Helm charts + https://github.com/temporalio/helm-charts Mustache #724b3b - 157 - 224 + 317 + 342 0 - https://avatars.githubusercontent.com/u/4345663?s=40&v=4 - tomkerkhove - https://github.com/tomkerkhove - - - https://avatars.githubusercontent.com/u/36899226?s=40&v=4 - JorTurFer - https://github.com/JorTurFer - - - https://avatars.githubusercontent.com/u/726523?s=40&v=4 - zroubalik - https://github.com/zroubalik - - - https://avatars.githubusercontent.com/u/70865?s=40&v=4 - arschles - https://github.com/arschles - - - https://avatars.githubusercontent.com/u/7132626?s=40&v=4 - jeffhollan - https://github.com/jeffhollan - - - - - argoproj/argo-helm - https://github.com/argoproj/argo-helm - ArgoProj Helm Charts - https://github.com/argoproj/argo-helm - Mustache - #724b3b - 1,772 - 1,878 - 1 - - - https://avatars.githubusercontent.com/u/7290987?s=40&v=4 - mkilchhofer - https://github.com/mkilchhofer + https://avatars.githubusercontent.com/u/2232524?s=40&v=4 + alexshtin + https://github.com/alexshtin - https://avatars.githubusercontent.com/u/30188755?s=40&v=4 - yu-croco - https://github.com/yu-croco + https://avatars.githubusercontent.com/u/9563?s=40&v=4 + robholland + https://github.com/robholland - https://avatars.githubusercontent.com/u/19188617?s=40&v=4 - pdrastil - https://github.com/pdrastil + https://avatars.githubusercontent.com/u/71099796?s=40&v=4 + temporal-data + https://github.com/temporal-data - https://avatars.githubusercontent.com/u/21042968?s=40&v=4 - mbevc1 - https://github.com/mbevc1 + https://avatars.githubusercontent.com/u/8762893?s=40&v=4 + wxing1292 + https://github.com/wxing1292 - https://avatars.githubusercontent.com/u/35014?s=40&v=4 - jmeridth - https://github.com/jmeridth + https://avatars.githubusercontent.com/u/5552381?s=40&v=4 + mastermanu + https://github.com/mastermanu @@ -458,7 +197,7 @@ Mustache #724b3b 465 - 1,018 + 1,017 0 @@ -473,5 +212,43 @@ + + kubecost/cost-analyzer-helm-chart + https://github.com/kubecost/cost-analyzer-helm-chart + Kubecost helm chart + https://github.com/kubecost/cost-analyzer-helm-chart + Mustache + #724b3b + 490 + 418 + 1 + + + https://avatars.githubusercontent.com/u/453512?s=40&v=4 + AjayTripathy + https://github.com/AjayTripathy + + + https://avatars.githubusercontent.com/u/334480?s=40&v=4 + mbolt35 + https://github.com/mbolt35 + + + https://avatars.githubusercontent.com/u/31039225?s=40&v=4 + jessegoodier + https://github.com/jessegoodier + + + https://avatars.githubusercontent.com/u/29008123?s=40&v=4 + chipzoller + https://github.com/chipzoller + + + https://avatars.githubusercontent.com/u/298359?s=40&v=4 + dwbrown2 + https://github.com/dwbrown2 + + + \ No newline at end of file diff --git a/data/daily/myghty.json b/data/daily/myghty.json index 06070e2deefa..263f62cebcd4 100644 --- a/data/daily/myghty.json +++ b/data/daily/myghty.json @@ -2,6 +2,6 @@ "title": "GitHub Myghty Languages Daily Trending", "description": "Daily Trending of Myghty Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/myghty.xml b/data/daily/myghty.xml index bcd6657ed831..687dd0ea8a93 100644 --- a/data/daily/myghty.xml +++ b/data/daily/myghty.xml @@ -3,6 +3,6 @@ GitHub Myghty Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Myghty Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nanorc.json b/data/daily/nanorc.json index 47a0bf9d6437..f886844f96f6 100644 --- a/data/daily/nanorc.json +++ b/data/daily/nanorc.json @@ -2,6 +2,6 @@ "title": "GitHub Nanorc Languages Daily Trending", "description": "Daily Trending of Nanorc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nanorc.xml b/data/daily/nanorc.xml index b3ec8ecf2b39..1d1a70d2063d 100644 --- a/data/daily/nanorc.xml +++ b/data/daily/nanorc.xml @@ -3,6 +3,6 @@ GitHub Nanorc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nanorc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nasal.json b/data/daily/nasal.json index ef5e3ba109ea..3b3a4126464c 100644 --- a/data/daily/nasal.json +++ b/data/daily/nasal.json @@ -2,6 +2,6 @@ "title": "GitHub Nasal Languages Daily Trending", "description": "Daily Trending of Nasal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nasal.xml b/data/daily/nasal.xml index b6a1aa434aa7..7142fdb60249 100644 --- a/data/daily/nasal.xml +++ b/data/daily/nasal.xml @@ -3,6 +3,6 @@ GitHub Nasal Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nasal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nasl.json b/data/daily/nasl.json index 48c311d0dc7a..4823e0845c10 100644 --- a/data/daily/nasl.json +++ b/data/daily/nasl.json @@ -2,6 +2,6 @@ "title": "GitHub Nasl Languages Daily Trending", "description": "Daily Trending of Nasl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nasl.xml b/data/daily/nasl.xml index 6c491f008d32..e563daab5fb3 100644 --- a/data/daily/nasl.xml +++ b/data/daily/nasl.xml @@ -3,6 +3,6 @@ GitHub Nasl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nasl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ncl.json b/data/daily/ncl.json index 7b1f520004cb..3b51d59816c7 100644 --- a/data/daily/ncl.json +++ b/data/daily/ncl.json @@ -2,7 +2,7 @@ "title": "GitHub Ncl Languages Daily Trending", "description": "Daily Trending of Ncl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ESMValGroup/ESMValTool", diff --git a/data/daily/ncl.xml b/data/daily/ncl.xml index 3b4ac4017cb0..5325f4d79c35 100644 --- a/data/daily/ncl.xml +++ b/data/daily/ncl.xml @@ -3,7 +3,7 @@ GitHub Ncl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ncl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ESMValGroup/ESMValTool https://github.com/ESMValGroup/ESMValTool diff --git a/data/daily/nearley.json b/data/daily/nearley.json index 83a5899f142a..bb81d865006c 100644 --- a/data/daily/nearley.json +++ b/data/daily/nearley.json @@ -2,6 +2,6 @@ "title": "GitHub Nearley Languages Daily Trending", "description": "Daily Trending of Nearley Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nearley.xml b/data/daily/nearley.xml index 60f09f56dc31..eacabd95531a 100644 --- a/data/daily/nearley.xml +++ b/data/daily/nearley.xml @@ -3,6 +3,6 @@ GitHub Nearley Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nearley Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nemerle.json b/data/daily/nemerle.json index 9adaa76d84b0..ee923729a06b 100644 --- a/data/daily/nemerle.json +++ b/data/daily/nemerle.json @@ -2,6 +2,6 @@ "title": "GitHub Nemerle Languages Daily Trending", "description": "Daily Trending of Nemerle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nemerle.xml b/data/daily/nemerle.xml index eb8452f9bcfa..47c8ff291262 100644 --- a/data/daily/nemerle.xml +++ b/data/daily/nemerle.xml @@ -3,6 +3,6 @@ GitHub Nemerle Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nemerle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/neon.json b/data/daily/neon.json index 959b7b634a19..b25ed845dcea 100644 --- a/data/daily/neon.json +++ b/data/daily/neon.json @@ -2,6 +2,6 @@ "title": "GitHub Neon Languages Daily Trending", "description": "Daily Trending of Neon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/neon.xml b/data/daily/neon.xml index 482c45518dc9..87847dad4f45 100644 --- a/data/daily/neon.xml +++ b/data/daily/neon.xml @@ -3,6 +3,6 @@ GitHub Neon Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Neon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nesc.json b/data/daily/nesc.json index 4a70f980f38d..47c1c73fcaad 100644 --- a/data/daily/nesc.json +++ b/data/daily/nesc.json @@ -2,7 +2,7 @@ "title": "GitHub Nesc Languages Daily Trending", "description": "Daily Trending of Nesc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "bdring/Grbl_Esp32", diff --git a/data/daily/nesc.xml b/data/daily/nesc.xml index c0c5555f6832..aae0fe3a4b9d 100644 --- a/data/daily/nesc.xml +++ b/data/daily/nesc.xml @@ -3,7 +3,7 @@ GitHub Nesc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nesc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT bdring/Grbl_Esp32 https://github.com/bdring/Grbl_Esp32 diff --git a/data/daily/netlinx+erb.json b/data/daily/netlinx+erb.json index 17970b4c12df..5740c8bcf364 100644 --- a/data/daily/netlinx+erb.json +++ b/data/daily/netlinx+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Netlinx+erb Languages Daily Trending", "description": "Daily Trending of Netlinx+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/netlinx+erb.xml b/data/daily/netlinx+erb.xml index a5f3335f04f5..ce720f3e0832 100644 --- a/data/daily/netlinx+erb.xml +++ b/data/daily/netlinx+erb.xml @@ -3,6 +3,6 @@ GitHub Netlinx+erb Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Netlinx+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/netlinx.json b/data/daily/netlinx.json index 5780f8bbb851..cebb8543d55c 100644 --- a/data/daily/netlinx.json +++ b/data/daily/netlinx.json @@ -2,6 +2,6 @@ "title": "GitHub Netlinx Languages Daily Trending", "description": "Daily Trending of Netlinx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/netlinx.xml b/data/daily/netlinx.xml index 085dab4b7f2d..b4c3b4547046 100644 --- a/data/daily/netlinx.xml +++ b/data/daily/netlinx.xml @@ -3,6 +3,6 @@ GitHub Netlinx Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Netlinx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/netlogo.json b/data/daily/netlogo.json index 6d069ca1f7ae..de133bf6f0d7 100644 --- a/data/daily/netlogo.json +++ b/data/daily/netlogo.json @@ -2,6 +2,6 @@ "title": "GitHub Netlogo Languages Daily Trending", "description": "Daily Trending of Netlogo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/netlogo.xml b/data/daily/netlogo.xml index 4658d3241125..26d9843bce36 100644 --- a/data/daily/netlogo.xml +++ b/data/daily/netlogo.xml @@ -3,6 +3,6 @@ GitHub Netlogo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Netlogo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/newlisp.json b/data/daily/newlisp.json index f40c70894044..f5ea095e11ab 100644 --- a/data/daily/newlisp.json +++ b/data/daily/newlisp.json @@ -2,6 +2,6 @@ "title": "GitHub Newlisp Languages Daily Trending", "description": "Daily Trending of Newlisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/newlisp.xml b/data/daily/newlisp.xml index 6821330f6573..09018489c2f2 100644 --- a/data/daily/newlisp.xml +++ b/data/daily/newlisp.xml @@ -3,6 +3,6 @@ GitHub Newlisp Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Newlisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nextflow.json b/data/daily/nextflow.json index d3b6597d98f5..4f5e322acd1c 100644 --- a/data/daily/nextflow.json +++ b/data/daily/nextflow.json @@ -2,45 +2,8 @@ "title": "GitHub Nextflow Languages Daily Trending", "description": "Daily Trending of Nextflow Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "nf-core/configs", - "url": "https://github.com/nf-core/configs", - "description": "Config files used to define parameters specific to compute environments at different Institutions", - "language": "Nextflow", - "languageColor": "#3ac486", - "stars": "88", - "forks": "275", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17950287?s=40&v=4", - "name": "jfy133", - "url": "https://github.com/jfy133" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1019628?s=40&v=4", - "name": "maxulysse", - "url": "https://github.com/maxulysse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", - "name": "apeltzer", - "url": "https://github.com/apeltzer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23529759?s=40&v=4", - "name": "drpatelh", - "url": "https://github.com/drpatelh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/465550?s=40&v=4", - "name": "ewels", - "url": "https://github.com/ewels" - } - ] - }, { "title": "nf-core/modules", "url": "https://github.com/nf-core/modules", diff --git a/data/daily/nextflow.xml b/data/daily/nextflow.xml index 5bb65cb2f068..d950f9bb0796 100644 --- a/data/daily/nextflow.xml +++ b/data/daily/nextflow.xml @@ -3,45 +3,7 @@ GitHub Nextflow Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nextflow Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - nf-core/configs - https://github.com/nf-core/configs - Config files used to define parameters specific to compute environments at different Institutions - https://github.com/nf-core/configs - Nextflow - #3ac486 - 88 - 275 - 0 - - - https://avatars.githubusercontent.com/u/17950287?s=40&v=4 - jfy133 - https://github.com/jfy133 - - - https://avatars.githubusercontent.com/u/1019628?s=40&v=4 - maxulysse - https://github.com/maxulysse - - - https://avatars.githubusercontent.com/u/2359510?s=40&v=4 - apeltzer - https://github.com/apeltzer - - - https://avatars.githubusercontent.com/u/23529759?s=40&v=4 - drpatelh - https://github.com/drpatelh - - - https://avatars.githubusercontent.com/u/465550?s=40&v=4 - ewels - https://github.com/ewels - - - + Mon, 25 Nov 2024 13:30:36 GMT nf-core/modules https://github.com/nf-core/modules diff --git a/data/daily/nginx.json b/data/daily/nginx.json index 255e4f26ac34..b67909a886c8 100644 --- a/data/daily/nginx.json +++ b/data/daily/nginx.json @@ -2,6 +2,6 @@ "title": "GitHub Nginx Languages Daily Trending", "description": "Daily Trending of Nginx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nginx.xml b/data/daily/nginx.xml index e89a50078f8f..7fa8e5c80913 100644 --- a/data/daily/nginx.xml +++ b/data/daily/nginx.xml @@ -3,6 +3,6 @@ GitHub Nginx Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nginx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nim.json b/data/daily/nim.json index dd848d9dd0b1..ea8712641b98 100644 --- a/data/daily/nim.json +++ b/data/daily/nim.json @@ -2,8 +2,45 @@ "title": "GitHub Nim Languages Daily Trending", "description": "Daily Trending of Nim Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "zedeus/nitter", + "url": "https://github.com/zedeus/nitter", + "description": "Alternative Twitter front-end", + "language": "Nim", + "languageColor": "#ffc200", + "stars": "10,129", + "forks": "535", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9434921?s=40&v=4", + "name": "zedeus", + "url": "https://github.com/zedeus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10339438?s=40&v=4", + "name": "LainLayer", + "url": "https://github.com/LainLayer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2900871?s=40&v=4", + "name": "jackyzy823", + "url": "https://github.com/jackyzy823" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6720054?s=40&v=4", + "name": "setenforce0", + "url": "https://github.com/setenforce0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", + "name": "PeterDaveHello", + "url": "https://github.com/PeterDaveHello" + } + ] + }, { "title": "nim-lang/Nim", "url": "https://github.com/nim-lang/Nim", @@ -37,39 +74,39 @@ ] }, { - "title": "zedeus/nitter", - "url": "https://github.com/zedeus/nitter", - "description": "Alternative Twitter front-end", + "title": "byt3bl33d3r/OffensiveNim", + "url": "https://github.com/byt3bl33d3r/OffensiveNim", + "description": "My experiments in weaponizing Nim (https://nim-lang.org/)", "language": "Nim", "languageColor": "#ffc200", - "stars": "10,129", - "forks": "535", - "addStars": "5", + "stars": "2,852", + "forks": "353", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9434921?s=40&v=4", - "name": "zedeus", - "url": "https://github.com/zedeus" + "avatar": "https://avatars.githubusercontent.com/u/5151193?s=40&v=4", + "name": "byt3bl33d3r", + "url": "https://github.com/byt3bl33d3r" }, { - "avatar": "https://avatars.githubusercontent.com/u/10339438?s=40&v=4", - "name": "LainLayer", - "url": "https://github.com/LainLayer" + "avatar": "https://avatars.githubusercontent.com/u/27858067?s=40&v=4", + "name": "S3cur3Th1sSh1t", + "url": "https://github.com/S3cur3Th1sSh1t" }, { - "avatar": "https://avatars.githubusercontent.com/u/2900871?s=40&v=4", - "name": "jackyzy823", - "url": "https://github.com/jackyzy823" + "avatar": "https://avatars.githubusercontent.com/u/5422778?s=40&v=4", + "name": "FurkanAyar", + "url": "https://github.com/FurkanAyar" }, { - "avatar": "https://avatars.githubusercontent.com/u/6720054?s=40&v=4", - "name": "setenforce0", - "url": "https://github.com/setenforce0" + "avatar": "https://avatars.githubusercontent.com/u/75012320?s=40&v=4", + "name": "m4ul3r", + "url": "https://github.com/m4ul3r" }, { - "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", - "name": "PeterDaveHello", - "url": "https://github.com/PeterDaveHello" + "avatar": "https://avatars.githubusercontent.com/u/6139198?s=40&v=4", + "name": "Tycx2ry", + "url": "https://github.com/Tycx2ry" } ] }, @@ -116,7 +153,7 @@ "description": "Nimbus: an Ethereum Execution Client for Resource-Restricted Devices", "language": "Nim", "languageColor": "#ffc200", - "stars": "589", + "stars": "590", "forks": "120", "addStars": "0", "contributors": [ diff --git a/data/daily/nim.xml b/data/daily/nim.xml index 15dbc2b2069f..7f409b405e58 100644 --- a/data/daily/nim.xml +++ b/data/daily/nim.xml @@ -3,7 +3,45 @@ GitHub Nim Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nim Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + zedeus/nitter + https://github.com/zedeus/nitter + Alternative Twitter front-end + https://github.com/zedeus/nitter + Nim + #ffc200 + 10,129 + 535 + 5 + + + https://avatars.githubusercontent.com/u/9434921?s=40&v=4 + zedeus + https://github.com/zedeus + + + https://avatars.githubusercontent.com/u/10339438?s=40&v=4 + LainLayer + https://github.com/LainLayer + + + https://avatars.githubusercontent.com/u/2900871?s=40&v=4 + jackyzy823 + https://github.com/jackyzy823 + + + https://avatars.githubusercontent.com/u/6720054?s=40&v=4 + setenforce0 + https://github.com/setenforce0 + + + https://avatars.githubusercontent.com/u/3691490?s=40&v=4 + PeterDaveHello + https://github.com/PeterDaveHello + + + nim-lang/Nim https://github.com/nim-lang/Nim @@ -38,40 +76,40 @@ - zedeus/nitter - https://github.com/zedeus/nitter - Alternative Twitter front-end - https://github.com/zedeus/nitter + byt3bl33d3r/OffensiveNim + https://github.com/byt3bl33d3r/OffensiveNim + My experiments in weaponizing Nim (https://nim-lang.org/) + https://github.com/byt3bl33d3r/OffensiveNim Nim #ffc200 - 10,129 - 535 - 5 + 2,852 + 353 + 1 - https://avatars.githubusercontent.com/u/9434921?s=40&v=4 - zedeus - https://github.com/zedeus + https://avatars.githubusercontent.com/u/5151193?s=40&v=4 + byt3bl33d3r + https://github.com/byt3bl33d3r - https://avatars.githubusercontent.com/u/10339438?s=40&v=4 - LainLayer - https://github.com/LainLayer + https://avatars.githubusercontent.com/u/27858067?s=40&v=4 + S3cur3Th1sSh1t + https://github.com/S3cur3Th1sSh1t - https://avatars.githubusercontent.com/u/2900871?s=40&v=4 - jackyzy823 - https://github.com/jackyzy823 + https://avatars.githubusercontent.com/u/5422778?s=40&v=4 + FurkanAyar + https://github.com/FurkanAyar - https://avatars.githubusercontent.com/u/6720054?s=40&v=4 - setenforce0 - https://github.com/setenforce0 + https://avatars.githubusercontent.com/u/75012320?s=40&v=4 + m4ul3r + https://github.com/m4ul3r - https://avatars.githubusercontent.com/u/3691490?s=40&v=4 - PeterDaveHello - https://github.com/PeterDaveHello + https://avatars.githubusercontent.com/u/6139198?s=40&v=4 + Tycx2ry + https://github.com/Tycx2ry @@ -120,7 +158,7 @@ https://github.com/status-im/nimbus-eth1 Nim #ffc200 - 589 + 590 120 0 diff --git a/data/daily/ninja.json b/data/daily/ninja.json index 342ae8314ce4..2656ec4177b7 100644 --- a/data/daily/ninja.json +++ b/data/daily/ninja.json @@ -2,6 +2,6 @@ "title": "GitHub Ninja Languages Daily Trending", "description": "Daily Trending of Ninja Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ninja.xml b/data/daily/ninja.xml index 8d3919f6959c..3109756a07ad 100644 --- a/data/daily/ninja.xml +++ b/data/daily/ninja.xml @@ -3,6 +3,6 @@ GitHub Ninja Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ninja Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nit.json b/data/daily/nit.json index ca3b97154a5d..9c7216548bf3 100644 --- a/data/daily/nit.json +++ b/data/daily/nit.json @@ -2,6 +2,6 @@ "title": "GitHub Nit Languages Daily Trending", "description": "Daily Trending of Nit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nit.xml b/data/daily/nit.xml index bdcf7a898e96..8cad61a55e2a 100644 --- a/data/daily/nit.xml +++ b/data/daily/nit.xml @@ -3,6 +3,6 @@ GitHub Nit Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nix.json b/data/daily/nix.json index 1f07e1cbc0ff..b9cc9465c17e 100644 --- a/data/daily/nix.json +++ b/data/daily/nix.json @@ -2,7 +2,7 @@ "title": "GitHub Nix Languages Daily Trending", "description": "Daily Trending of Nix Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "NixOS/nixpkgs", @@ -10,8 +10,8 @@ "description": "Nix Packages collection & NixOS", "language": "Nix", "languageColor": "#7e7eff", - "stars": "18,305", - "forks": "14,273", + "stars": "18,306", + "forks": "14,276", "addStars": "8", "contributors": [ { @@ -41,43 +41,6 @@ } ] }, - { - "title": "nix-community/nixvim", - "url": "https://github.com/nix-community/nixvim", - "description": "Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman]", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "1,797", - "forks": "281", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/33058747?s=40&v=4", - "name": "GaetanLepage", - "url": "https://github.com/GaetanLepage" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5046562?s=40&v=4", - "name": "MattSturgeon", - "url": "https://github.com/MattSturgeon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1778670?s=40&v=4", - "name": "khaneliman", - "url": "https://github.com/khaneliman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7443916?s=40&v=4", - "name": "pta2002", - "url": "https://github.com/pta2002" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5623227?s=40&v=4", - "name": "traxys", - "url": "https://github.com/traxys" - } - ] - }, { "title": "nix-community/home-manager", "url": "https://github.com/nix-community/home-manager", @@ -115,13 +78,87 @@ } ] }, + { + "title": "danth/stylix", + "url": "https://github.com/danth/stylix", + "description": "System-wide colorscheming and typography for NixOS", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "1,222", + "forks": "158", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/28959268?s=40&v=4", + "name": "danth", + "url": "https://github.com/danth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/90870942?s=40&v=4", + "name": "trueNAHO", + "url": "https://github.com/trueNAHO" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2025623?s=40&v=4", + "name": "dwarfmaster", + "url": "https://github.com/dwarfmaster" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13490857?s=40&v=4", + "name": "Lyndeno", + "url": "https://github.com/Lyndeno" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7243783?s=40&v=4", + "name": "lovesegfault", + "url": "https://github.com/lovesegfault" + } + ] + }, + { + "title": "Misterio77/nix-starter-configs", + "url": "https://github.com/Misterio77/nix-starter-configs", + "description": "Simple and documented config templates to help you get started with NixOS + home-manager + flakes. All the boilerplate you need!", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "2,685", + "forks": "132", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5727578?s=40&v=4", + "name": "Misterio77", + "url": "https://github.com/Misterio77" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10733175?s=40&v=4", + "name": "axgfn", + "url": "https://github.com/axgfn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15948162?s=40&v=4", + "name": "YanniPapandreou", + "url": "https://github.com/YanniPapandreou" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/72612857?s=40&v=4", + "name": "musjj", + "url": "https://github.com/musjj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/88247690?s=40&v=4", + "name": "Gerg-L", + "url": "https://github.com/Gerg-L" + } + ] + }, { "title": "NixOS/nixos-hardware", "url": "https://github.com/NixOS/nixos-hardware", "description": "A collection of NixOS modules covering hardware quirks.", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,070", + "stars": "2,072", "forks": "640", "addStars": "7", "contributors": [ @@ -175,448 +212,396 @@ ] }, { - "title": "LnL7/nix-darwin", - "url": "https://github.com/LnL7/nix-darwin", - "description": "nix modules for darwin", + "title": "astro/microvm.nix", + "url": "https://github.com/astro/microvm.nix", + "description": "NixOS MicroVMs", "language": "Nix", "languageColor": "#7e7eff", - "stars": "3,206", - "forks": "457", + "stars": "1,430", + "forks": "103", "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/689294?s=40&v=4", - "name": "LnL7", - "url": "https://github.com/LnL7" + "avatar": "https://avatars.githubusercontent.com/u/12923?s=40&v=4", + "name": "astro", + "url": "https://github.com/astro" }, { - "avatar": "https://avatars.githubusercontent.com/u/10492681?s=40&v=4", - "name": "Enzime", - "url": "https://github.com/Enzime" + "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", + "name": "SuperSandro2000", + "url": "https://github.com/SuperSandro2000" }, { - "avatar": "https://avatars.githubusercontent.com/u/18535642?s=40&v=4", - "name": "emilazy", - "url": "https://github.com/emilazy" + "avatar": "https://avatars.githubusercontent.com/u/826368?s=40&v=4", + "name": "mikatammi", + "url": "https://github.com/mikatammi" }, { - "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", - "name": "domenkozar", - "url": "https://github.com/domenkozar" + "avatar": "https://avatars.githubusercontent.com/u/31919558?s=40&v=4", + "name": "oddlama", + "url": "https://github.com/oddlama" } ] }, { - "title": "nix-community/impermanence", - "url": "https://github.com/nix-community/impermanence", - "description": "Modules to help you handle persistent state on systems with ephemeral root storage [maintainer=@talyz]", + "title": "oddlama/nix-topology", + "url": "https://github.com/oddlama/nix-topology", + "description": "🍁 Generate infrastructure and network diagrams directly from your NixOS configurations", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,216", - "forks": "87", - "addStars": "2", + "stars": "571", + "forks": "25", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/63433?s=40&v=4", - "name": "talyz", - "url": "https://github.com/talyz" + "avatar": "https://avatars.githubusercontent.com/u/31919558?s=40&v=4", + "name": "oddlama", + "url": "https://github.com/oddlama" }, { - "avatar": "https://avatars.githubusercontent.com/u/7243783?s=40&v=4", - "name": "lovesegfault", - "url": "https://github.com/lovesegfault" + "avatar": "https://avatars.githubusercontent.com/u/29395089?s=40&v=4", + "name": "JayRovacsek", + "url": "https://github.com/JayRovacsek" }, { - "avatar": "https://avatars.githubusercontent.com/u/461970?s=40&v=4", - "name": "etu", - "url": "https://github.com/etu" + "avatar": "https://avatars.githubusercontent.com/u/67164465?s=40&v=4", + "name": "IogaMaster", + "url": "https://github.com/IogaMaster" }, { - "avatar": "https://avatars.githubusercontent.com/u/5379596?s=40&v=4", - "name": "ReneHollander", - "url": "https://github.com/ReneHollander" + "avatar": "https://avatars.githubusercontent.com/u/79340822?s=40&v=4", + "name": "hauskens", + "url": "https://github.com/hauskens" }, { - "avatar": "https://avatars.githubusercontent.com/u/25955146?s=40&v=4", - "name": "eyJhb", - "url": "https://github.com/eyJhb" + "avatar": "https://avatars.githubusercontent.com/u/6325757?s=40&v=4", + "name": "pinage404", + "url": "https://github.com/pinage404" } ] }, { - "title": "ryan4yin/nixos-and-flakes-book", - "url": "https://github.com/ryan4yin/nixos-and-flakes-book", - "description": "🛠️ ❤️ Want to know NixOS & Flakes in detail? Looking for a beginner-friendly tutorial? Then you've come to the right place! 想要学习使用 NixOS 与 Flakes 吗?在寻找一份新手友好的教程?那你可来对地方了!", + "title": "nix-community/srvos", + "url": "https://github.com/nix-community/srvos", + "description": "NixOS profiles for servers [maintainer=@numtide]", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,059", - "forks": "104", - "addStars": "3", + "stars": "565", + "forks": "31", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/22363274?s=40&v=4", - "name": "ryan4yin", - "url": "https://github.com/ryan4yin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52529234?s=40&v=4", - "name": "Adda0", - "url": "https://github.com/Adda0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/602654?s=40&v=4", - "name": "xtian", - "url": "https://github.com/xtian" + "avatar": "https://avatars.githubusercontent.com/u/96200?s=40&v=4", + "name": "Mic92", + "url": "https://github.com/Mic92" }, { - "avatar": "https://avatars.githubusercontent.com/u/31861128?s=40&v=4", - "name": "piyoki", - "url": "https://github.com/piyoki" + "avatar": "https://avatars.githubusercontent.com/u/3248?s=40&v=4", + "name": "zimbatm", + "url": "https://github.com/zimbatm" }, { - "avatar": "https://avatars.githubusercontent.com/u/647437?s=40&v=4", - "name": "gabyx", - "url": "https://github.com/gabyx" + "avatar": "https://avatars.githubusercontent.com/u/59103226?s=40&v=4", + "name": "zowoq", + "url": "https://github.com/zowoq" } ] }, { - "title": "cardano-foundation/cardano-token-registry", - "url": "https://github.com/cardano-foundation/cardano-token-registry", - "description": "", + "title": "nix-community/nixvim", + "url": "https://github.com/nix-community/nixvim", + "description": "Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman]", "language": "Nix", "languageColor": "#7e7eff", - "stars": "274", - "forks": "1,711", - "addStars": "0", + "stars": "1,797", + "forks": "281", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2879295?s=40&v=4", - "name": "cleanerm5", - "url": "https://github.com/cleanerm5" + "avatar": "https://avatars.githubusercontent.com/u/33058747?s=40&v=4", + "name": "GaetanLepage", + "url": "https://github.com/GaetanLepage" }, { - "avatar": "https://avatars.githubusercontent.com/u/163329108?s=40&v=4", - "name": "CardanoTokenIO", - "url": "https://github.com/CardanoTokenIO" + "avatar": "https://avatars.githubusercontent.com/u/5046562?s=40&v=4", + "name": "MattSturgeon", + "url": "https://github.com/MattSturgeon" }, { - "avatar": "https://avatars.githubusercontent.com/u/106822766?s=40&v=4", - "name": "Kartiiyer12", - "url": "https://github.com/Kartiiyer12" + "avatar": "https://avatars.githubusercontent.com/u/1778670?s=40&v=4", + "name": "khaneliman", + "url": "https://github.com/khaneliman" }, { - "avatar": "https://avatars.githubusercontent.com/u/37076037?s=40&v=4", - "name": "cf-stevew", - "url": "https://github.com/cf-stevew" + "avatar": "https://avatars.githubusercontent.com/u/7443916?s=40&v=4", + "name": "pta2002", + "url": "https://github.com/pta2002" }, { - "avatar": "https://avatars.githubusercontent.com/u/52638249?s=40&v=4", - "name": "Godspeed-exe", - "url": "https://github.com/Godspeed-exe" + "avatar": "https://avatars.githubusercontent.com/u/5623227?s=40&v=4", + "name": "traxys", + "url": "https://github.com/traxys" } ] }, { - "title": "danth/stylix", - "url": "https://github.com/danth/stylix", - "description": "System-wide colorscheming and typography for NixOS", + "title": "Mic92/sops-nix", + "url": "https://github.com/Mic92/sops-nix", + "description": "Atomic secret provisioning for NixOS based on sops", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,222", - "forks": "158", - "addStars": "3", + "stars": "1,660", + "forks": "155", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28959268?s=40&v=4", - "name": "danth", - "url": "https://github.com/danth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/90870942?s=40&v=4", - "name": "trueNAHO", - "url": "https://github.com/trueNAHO" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2025623?s=40&v=4", - "name": "dwarfmaster", - "url": "https://github.com/dwarfmaster" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13490857?s=40&v=4", - "name": "Lyndeno", - "url": "https://github.com/Lyndeno" + "avatar": "https://avatars.githubusercontent.com/u/96200?s=40&v=4", + "name": "Mic92", + "url": "https://github.com/Mic92" }, { - "avatar": "https://avatars.githubusercontent.com/u/7243783?s=40&v=4", - "name": "lovesegfault", - "url": "https://github.com/lovesegfault" + "avatar": "https://avatars.githubusercontent.com/u/4971975?s=40&v=4", + "name": "dasJ", + "url": "https://github.com/dasJ" } ] }, { - "title": "Misterio77/nix-starter-configs", - "url": "https://github.com/Misterio77/nix-starter-configs", - "description": "Simple and documented config templates to help you get started with NixOS + home-manager + flakes. All the boilerplate you need!", + "title": "NixOS/nix.dev", + "url": "https://github.com/NixOS/nix.dev", + "description": "Official documentation for getting things done with Nix.", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,684", - "forks": "132", - "addStars": "3", + "stars": "2,639", + "forks": "253", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5727578?s=40&v=4", - "name": "Misterio77", - "url": "https://github.com/Misterio77" + "avatar": "https://avatars.githubusercontent.com/u/6599296?s=40&v=4", + "name": "fricklerhandwerk", + "url": "https://github.com/fricklerhandwerk" }, { - "avatar": "https://avatars.githubusercontent.com/u/10733175?s=40&v=4", - "name": "axgfn", - "url": "https://github.com/axgfn" + "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", + "name": "domenkozar", + "url": "https://github.com/domenkozar" }, { - "avatar": "https://avatars.githubusercontent.com/u/15948162?s=40&v=4", - "name": "YanniPapandreou", - "url": "https://github.com/YanniPapandreou" + "avatar": "https://avatars.githubusercontent.com/u/74747193?s=40&v=4", + "name": "proofconstruction", + "url": "https://github.com/proofconstruction" }, { - "avatar": "https://avatars.githubusercontent.com/u/72612857?s=40&v=4", - "name": "musjj", - "url": "https://github.com/musjj" + "avatar": "https://avatars.githubusercontent.com/u/20525370?s=40&v=4", + "name": "infinisil", + "url": "https://github.com/infinisil" }, { - "avatar": "https://avatars.githubusercontent.com/u/88247690?s=40&v=4", - "name": "Gerg-L", - "url": "https://github.com/Gerg-L" + "avatar": "https://avatars.githubusercontent.com/u/10246891?s=40&v=4", + "name": "zmitchell", + "url": "https://github.com/zmitchell" } ] }, { - "title": "tpwrules/nixos-apple-silicon", - "url": "https://github.com/tpwrules/nixos-apple-silicon", - "description": "Resources to install NixOS bare metal on Apple Silicon Macs", + "title": "cardano-foundation/cardano-token-registry", + "url": "https://github.com/cardano-foundation/cardano-token-registry", + "description": "", "language": "Nix", "languageColor": "#7e7eff", - "stars": "896", - "forks": "92", + "stars": "274", + "forks": "1,711", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/208010?s=40&v=4", - "name": "tpwrules", - "url": "https://github.com/tpwrules" + "avatar": "https://avatars.githubusercontent.com/u/2879295?s=40&v=4", + "name": "cleanerm5", + "url": "https://github.com/cleanerm5" }, { - "avatar": "https://avatars.githubusercontent.com/u/86169957?s=40&v=4", - "name": "yu-re-ka", - "url": "https://github.com/yu-re-ka" + "avatar": "https://avatars.githubusercontent.com/u/163329108?s=40&v=4", + "name": "CardanoTokenIO", + "url": "https://github.com/CardanoTokenIO" }, { - "avatar": "https://avatars.githubusercontent.com/u/9061737?s=40&v=4", - "name": "natsukagami", - "url": "https://github.com/natsukagami" + "avatar": "https://avatars.githubusercontent.com/u/106822766?s=40&v=4", + "name": "Kartiiyer12", + "url": "https://github.com/Kartiiyer12" }, { - "avatar": "https://avatars.githubusercontent.com/u/4971975?s=40&v=4", - "name": "dasJ", - "url": "https://github.com/dasJ" + "avatar": "https://avatars.githubusercontent.com/u/37076037?s=40&v=4", + "name": "cf-stevew", + "url": "https://github.com/cf-stevew" }, { - "avatar": "https://avatars.githubusercontent.com/u/51676322?s=40&v=4", - "name": "zzywysm", - "url": "https://github.com/zzywysm" + "avatar": "https://avatars.githubusercontent.com/u/52638249?s=40&v=4", + "name": "Godspeed-exe", + "url": "https://github.com/Godspeed-exe" } ] }, { - "title": "astro/microvm.nix", - "url": "https://github.com/astro/microvm.nix", - "description": "NixOS MicroVMs", + "title": "numtide/treefmt-nix", + "url": "https://github.com/numtide/treefmt-nix", + "description": "treefmt nix configuration", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,429", - "forks": "103", - "addStars": "4", + "stars": "266", + "forks": "82", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12923?s=40&v=4", - "name": "astro", - "url": "https://github.com/astro" + "avatar": "https://avatars.githubusercontent.com/u/3248?s=40&v=4", + "name": "zimbatm", + "url": "https://github.com/zimbatm" }, { - "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", - "name": "SuperSandro2000", - "url": "https://github.com/SuperSandro2000" + "avatar": "https://avatars.githubusercontent.com/u/3998?s=40&v=4", + "name": "srid", + "url": "https://github.com/srid" }, { - "avatar": "https://avatars.githubusercontent.com/u/826368?s=40&v=4", - "name": "mikatammi", - "url": "https://github.com/mikatammi" + "avatar": "https://avatars.githubusercontent.com/u/96200?s=40&v=4", + "name": "Mic92", + "url": "https://github.com/Mic92" }, { - "avatar": "https://avatars.githubusercontent.com/u/31919558?s=40&v=4", - "name": "oddlama", - "url": "https://github.com/oddlama" + "avatar": "https://avatars.githubusercontent.com/u/49727155?s=40&v=4", + "name": "katexochen", + "url": "https://github.com/katexochen" } ] }, { - "title": "dustinlyons/nixos-config", - "url": "https://github.com/dustinlyons/nixos-config", - "description": "General purpose Nix configuration for macOS / NixOS with starter templates + step-by-step guides ✨", + "title": "LnL7/nix-darwin", + "url": "https://github.com/LnL7/nix-darwin", + "description": "nix modules for darwin", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,794", - "forks": "113", - "addStars": "1", + "stars": "3,207", + "forks": "458", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1292576?s=40&v=4", - "name": "dustinlyons", - "url": "https://github.com/dustinlyons" + "avatar": "https://avatars.githubusercontent.com/u/689294?s=40&v=4", + "name": "LnL7", + "url": "https://github.com/LnL7" }, { - "avatar": "https://avatars.githubusercontent.com/u/243499?s=40&v=4", - "name": "victorhooi", - "url": "https://github.com/victorhooi" + "avatar": "https://avatars.githubusercontent.com/u/10492681?s=40&v=4", + "name": "Enzime", + "url": "https://github.com/Enzime" }, { - "avatar": "https://avatars.githubusercontent.com/u/432489?s=40&v=4", - "name": "execat", - "url": "https://github.com/execat" + "avatar": "https://avatars.githubusercontent.com/u/18535642?s=40&v=4", + "name": "emilazy", + "url": "https://github.com/emilazy" }, { - "avatar": "https://avatars.githubusercontent.com/u/2308543?s=40&v=4", - "name": "hartikainen", - "url": "https://github.com/hartikainen" + "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", + "name": "domenkozar", + "url": "https://github.com/domenkozar" } ] }, { - "title": "NixOS/nix.dev", - "url": "https://github.com/NixOS/nix.dev", - "description": "Official documentation for getting things done with Nix.", + "title": "lilyinstarlight/nixos-cosmic", + "url": "https://github.com/lilyinstarlight/nixos-cosmic", + "description": "Flake for using COSMIC on NixOS", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,637", - "forks": "253", - "addStars": "6", + "stars": "434", + "forks": "27", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6599296?s=40&v=4", - "name": "fricklerhandwerk", - "url": "https://github.com/fricklerhandwerk" + "avatar": "https://avatars.githubusercontent.com/u/298109?s=40&v=4", + "name": "lilyinstarlight", + "url": "https://github.com/lilyinstarlight" }, { - "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", - "name": "domenkozar", - "url": "https://github.com/domenkozar" + "avatar": "https://avatars.githubusercontent.com/u/6444703?s=40&v=4", + "name": "drakon64", + "url": "https://github.com/drakon64" }, { - "avatar": "https://avatars.githubusercontent.com/u/74747193?s=40&v=4", - "name": "proofconstruction", - "url": "https://github.com/proofconstruction" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20525370?s=40&v=4", - "name": "infinisil", - "url": "https://github.com/infinisil" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10246891?s=40&v=4", - "name": "zmitchell", - "url": "https://github.com/zmitchell" + "avatar": "https://avatars.githubusercontent.com/u/11548989?s=40&v=4", + "name": "atagen", + "url": "https://github.com/atagen" } ] }, { - "title": "SaumonNet/proxmox-nixos", - "url": "https://github.com/SaumonNet/proxmox-nixos", - "description": "The Proxmox Hypervisor, on NixOS [maintainers=@camillemndn @JulienMalka]", + "title": "oxalica/rust-overlay", + "url": "https://github.com/oxalica/rust-overlay", + "description": "Pure and reproducible nix overlay of binary distributed rust toolchains", "language": "Nix", "languageColor": "#7e7eff", - "stars": "656", - "forks": "30", - "addStars": "0", + "stars": "953", + "forks": "55", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26444818?s=40&v=4", - "name": "camillemndn", - "url": "https://github.com/camillemndn" + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" }, { - "avatar": "https://avatars.githubusercontent.com/u/1792886?s=40&v=4", - "name": "JulienMalka", - "url": "https://github.com/JulienMalka" + "avatar": "https://avatars.githubusercontent.com/u/14816024?s=40&v=4", + "name": "oxalica", + "url": "https://github.com/oxalica" }, { - "avatar": "https://avatars.githubusercontent.com/u/173559363?s=40&v=4", - "name": "proxmox-update", - "url": "https://github.com/proxmox-update" + "avatar": "https://avatars.githubusercontent.com/u/455022?s=40&v=4", + "name": "abbec", + "url": "https://github.com/abbec" }, { - "avatar": "https://avatars.githubusercontent.com/u/15964984?s=40&v=4", - "name": "codgician", - "url": "https://github.com/codgician" + "avatar": "https://avatars.githubusercontent.com/u/3490861?s=40&v=4", + "name": "mikroskeem", + "url": "https://github.com/mikroskeem" }, { - "avatar": "https://avatars.githubusercontent.com/u/6235312?s=40&v=4", - "name": "CertainLach", - "url": "https://github.com/CertainLach" + "avatar": "https://avatars.githubusercontent.com/u/24027?s=40&v=4", + "name": "bbigras", + "url": "https://github.com/bbigras" } ] }, { - "title": "cachix/devenv", - "url": "https://github.com/cachix/devenv", - "description": "Fast, Declarative, Reproducible, and Composable Developer Environments", + "title": "nix-community/impermanence", + "url": "https://github.com/nix-community/impermanence", + "description": "Modules to help you handle persistent state on systems with ephemeral root storage [maintainer=@talyz]", "language": "Nix", "languageColor": "#7e7eff", - "stars": "4,486", - "forks": "339", + "stars": "1,216", + "forks": "87", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", - "name": "domenkozar", - "url": "https://github.com/domenkozar" + "avatar": "https://avatars.githubusercontent.com/u/63433?s=40&v=4", + "name": "talyz", + "url": "https://github.com/talyz" }, { - "avatar": "https://avatars.githubusercontent.com/u/7572407?s=40&v=4", - "name": "sandydoo", - "url": "https://github.com/sandydoo" + "avatar": "https://avatars.githubusercontent.com/u/7243783?s=40&v=4", + "name": "lovesegfault", + "url": "https://github.com/lovesegfault" }, { - "avatar": "https://avatars.githubusercontent.com/u/6375609?s=40&v=4", - "name": "bobvanderlinden", - "url": "https://github.com/bobvanderlinden" + "avatar": "https://avatars.githubusercontent.com/u/461970?s=40&v=4", + "name": "etu", + "url": "https://github.com/etu" }, { - "avatar": "https://avatars.githubusercontent.com/u/6224096?s=40&v=4", - "name": "shyim", - "url": "https://github.com/shyim" - } - ] - }, - { - "title": "khaneliman/khanelinix", - "url": "https://github.com/khaneliman/khanelinix", - "description": "Nix configuration for my systems supporting macOS, NixOS, and WSL.", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "154", - "forks": "11", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1778670?s=40&v=4", - "name": "khaneliman", - "url": "https://github.com/khaneliman" + "avatar": "https://avatars.githubusercontent.com/u/5379596?s=40&v=4", + "name": "ReneHollander", + "url": "https://github.com/ReneHollander" }, { - "avatar": "https://avatars.githubusercontent.com/u/106473360?s=40&v=4", - "name": "wiki-nixos", - "url": "https://github.com/wiki-nixos" + "avatar": "https://avatars.githubusercontent.com/u/25955146?s=40&v=4", + "name": "eyJhb", + "url": "https://github.com/eyJhb" } ] } diff --git a/data/daily/nix.xml b/data/daily/nix.xml index 24755e82dbc4..a2fa8aae5ff3 100644 --- a/data/daily/nix.xml +++ b/data/daily/nix.xml @@ -3,7 +3,7 @@ GitHub Nix Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nix Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT NixOS/nixpkgs https://github.com/NixOS/nixpkgs @@ -11,8 +11,8 @@ https://github.com/NixOS/nixpkgs Nix #7e7eff - 18,305 - 14,273 + 18,306 + 14,276 8 @@ -42,44 +42,6 @@ - - nix-community/nixvim - https://github.com/nix-community/nixvim - Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman] - https://github.com/nix-community/nixvim - Nix - #7e7eff - 1,797 - 281 - 3 - - - https://avatars.githubusercontent.com/u/33058747?s=40&v=4 - GaetanLepage - https://github.com/GaetanLepage - - - https://avatars.githubusercontent.com/u/5046562?s=40&v=4 - MattSturgeon - https://github.com/MattSturgeon - - - https://avatars.githubusercontent.com/u/1778670?s=40&v=4 - khaneliman - https://github.com/khaneliman - - - https://avatars.githubusercontent.com/u/7443916?s=40&v=4 - pta2002 - https://github.com/pta2002 - - - https://avatars.githubusercontent.com/u/5623227?s=40&v=4 - traxys - https://github.com/traxys - - - nix-community/home-manager https://github.com/nix-community/home-manager @@ -118,6 +80,82 @@ + + danth/stylix + https://github.com/danth/stylix + System-wide colorscheming and typography for NixOS + https://github.com/danth/stylix + Nix + #7e7eff + 1,222 + 158 + 3 + + + https://avatars.githubusercontent.com/u/28959268?s=40&v=4 + danth + https://github.com/danth + + + https://avatars.githubusercontent.com/u/90870942?s=40&v=4 + trueNAHO + https://github.com/trueNAHO + + + https://avatars.githubusercontent.com/u/2025623?s=40&v=4 + dwarfmaster + https://github.com/dwarfmaster + + + https://avatars.githubusercontent.com/u/13490857?s=40&v=4 + Lyndeno + https://github.com/Lyndeno + + + https://avatars.githubusercontent.com/u/7243783?s=40&v=4 + lovesegfault + https://github.com/lovesegfault + + + + + Misterio77/nix-starter-configs + https://github.com/Misterio77/nix-starter-configs + Simple and documented config templates to help you get started with NixOS + home-manager + flakes. All the boilerplate you need! + https://github.com/Misterio77/nix-starter-configs + Nix + #7e7eff + 2,685 + 132 + 3 + + + https://avatars.githubusercontent.com/u/5727578?s=40&v=4 + Misterio77 + https://github.com/Misterio77 + + + https://avatars.githubusercontent.com/u/10733175?s=40&v=4 + axgfn + https://github.com/axgfn + + + https://avatars.githubusercontent.com/u/15948162?s=40&v=4 + YanniPapandreou + https://github.com/YanniPapandreou + + + https://avatars.githubusercontent.com/u/72612857?s=40&v=4 + musjj + https://github.com/musjj + + + https://avatars.githubusercontent.com/u/88247690?s=40&v=4 + Gerg-L + https://github.com/Gerg-L + + + NixOS/nixos-hardware https://github.com/NixOS/nixos-hardware @@ -125,7 +163,7 @@ https://github.com/NixOS/nixos-hardware Nix #7e7eff - 2,070 + 2,072 640 7 @@ -180,461 +218,408 @@ - LnL7/nix-darwin - https://github.com/LnL7/nix-darwin - nix modules for darwin - https://github.com/LnL7/nix-darwin + astro/microvm.nix + https://github.com/astro/microvm.nix + NixOS MicroVMs + https://github.com/astro/microvm.nix Nix #7e7eff - 3,206 - 457 + 1,430 + 103 4 - https://avatars.githubusercontent.com/u/689294?s=40&v=4 - LnL7 - https://github.com/LnL7 + https://avatars.githubusercontent.com/u/12923?s=40&v=4 + astro + https://github.com/astro - https://avatars.githubusercontent.com/u/10492681?s=40&v=4 - Enzime - https://github.com/Enzime + https://avatars.githubusercontent.com/u/7258858?s=40&v=4 + SuperSandro2000 + https://github.com/SuperSandro2000 - https://avatars.githubusercontent.com/u/18535642?s=40&v=4 - emilazy - https://github.com/emilazy + https://avatars.githubusercontent.com/u/826368?s=40&v=4 + mikatammi + https://github.com/mikatammi - https://avatars.githubusercontent.com/u/126339?s=40&v=4 - domenkozar - https://github.com/domenkozar + https://avatars.githubusercontent.com/u/31919558?s=40&v=4 + oddlama + https://github.com/oddlama - nix-community/impermanence - https://github.com/nix-community/impermanence - Modules to help you handle persistent state on systems with ephemeral root storage [maintainer=@talyz] - https://github.com/nix-community/impermanence + oddlama/nix-topology + https://github.com/oddlama/nix-topology + 🍁 Generate infrastructure and network diagrams directly from your NixOS configurations + https://github.com/oddlama/nix-topology Nix #7e7eff - 1,216 - 87 - 2 + 571 + 25 + 3 - https://avatars.githubusercontent.com/u/63433?s=40&v=4 - talyz - https://github.com/talyz + https://avatars.githubusercontent.com/u/31919558?s=40&v=4 + oddlama + https://github.com/oddlama - https://avatars.githubusercontent.com/u/7243783?s=40&v=4 - lovesegfault - https://github.com/lovesegfault + https://avatars.githubusercontent.com/u/29395089?s=40&v=4 + JayRovacsek + https://github.com/JayRovacsek - https://avatars.githubusercontent.com/u/461970?s=40&v=4 - etu - https://github.com/etu + https://avatars.githubusercontent.com/u/67164465?s=40&v=4 + IogaMaster + https://github.com/IogaMaster - https://avatars.githubusercontent.com/u/5379596?s=40&v=4 - ReneHollander - https://github.com/ReneHollander + https://avatars.githubusercontent.com/u/79340822?s=40&v=4 + hauskens + https://github.com/hauskens - https://avatars.githubusercontent.com/u/25955146?s=40&v=4 - eyJhb - https://github.com/eyJhb + https://avatars.githubusercontent.com/u/6325757?s=40&v=4 + pinage404 + https://github.com/pinage404 - ryan4yin/nixos-and-flakes-book - https://github.com/ryan4yin/nixos-and-flakes-book - 🛠️ ❤️ Want to know NixOS & Flakes in detail? Looking for a beginner-friendly tutorial? Then you've come to the right place! 想要学习使用 NixOS 与 Flakes 吗?在寻找一份新手友好的教程?那你可来对地方了! - https://github.com/ryan4yin/nixos-and-flakes-book + nix-community/srvos + https://github.com/nix-community/srvos + NixOS profiles for servers [maintainer=@numtide] + https://github.com/nix-community/srvos Nix #7e7eff - 2,059 - 104 - 3 + 565 + 31 + 4 - https://avatars.githubusercontent.com/u/22363274?s=40&v=4 - ryan4yin - https://github.com/ryan4yin - - - https://avatars.githubusercontent.com/u/52529234?s=40&v=4 - Adda0 - https://github.com/Adda0 - - - https://avatars.githubusercontent.com/u/602654?s=40&v=4 - xtian - https://github.com/xtian + https://avatars.githubusercontent.com/u/96200?s=40&v=4 + Mic92 + https://github.com/Mic92 - https://avatars.githubusercontent.com/u/31861128?s=40&v=4 - piyoki - https://github.com/piyoki + https://avatars.githubusercontent.com/u/3248?s=40&v=4 + zimbatm + https://github.com/zimbatm - https://avatars.githubusercontent.com/u/647437?s=40&v=4 - gabyx - https://github.com/gabyx + https://avatars.githubusercontent.com/u/59103226?s=40&v=4 + zowoq + https://github.com/zowoq - cardano-foundation/cardano-token-registry - https://github.com/cardano-foundation/cardano-token-registry - - https://github.com/cardano-foundation/cardano-token-registry + nix-community/nixvim + https://github.com/nix-community/nixvim + Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman] + https://github.com/nix-community/nixvim Nix #7e7eff - 274 - 1,711 - 0 + 1,797 + 281 + 3 - https://avatars.githubusercontent.com/u/2879295?s=40&v=4 - cleanerm5 - https://github.com/cleanerm5 + https://avatars.githubusercontent.com/u/33058747?s=40&v=4 + GaetanLepage + https://github.com/GaetanLepage - https://avatars.githubusercontent.com/u/163329108?s=40&v=4 - CardanoTokenIO - https://github.com/CardanoTokenIO + https://avatars.githubusercontent.com/u/5046562?s=40&v=4 + MattSturgeon + https://github.com/MattSturgeon - https://avatars.githubusercontent.com/u/106822766?s=40&v=4 - Kartiiyer12 - https://github.com/Kartiiyer12 + https://avatars.githubusercontent.com/u/1778670?s=40&v=4 + khaneliman + https://github.com/khaneliman - https://avatars.githubusercontent.com/u/37076037?s=40&v=4 - cf-stevew - https://github.com/cf-stevew + https://avatars.githubusercontent.com/u/7443916?s=40&v=4 + pta2002 + https://github.com/pta2002 - https://avatars.githubusercontent.com/u/52638249?s=40&v=4 - Godspeed-exe - https://github.com/Godspeed-exe + https://avatars.githubusercontent.com/u/5623227?s=40&v=4 + traxys + https://github.com/traxys - danth/stylix - https://github.com/danth/stylix - System-wide colorscheming and typography for NixOS - https://github.com/danth/stylix + Mic92/sops-nix + https://github.com/Mic92/sops-nix + Atomic secret provisioning for NixOS based on sops + https://github.com/Mic92/sops-nix Nix #7e7eff - 1,222 - 158 - 3 + 1,660 + 155 + 4 - https://avatars.githubusercontent.com/u/28959268?s=40&v=4 - danth - https://github.com/danth - - - https://avatars.githubusercontent.com/u/90870942?s=40&v=4 - trueNAHO - https://github.com/trueNAHO - - - https://avatars.githubusercontent.com/u/2025623?s=40&v=4 - dwarfmaster - https://github.com/dwarfmaster - - - https://avatars.githubusercontent.com/u/13490857?s=40&v=4 - Lyndeno - https://github.com/Lyndeno + https://avatars.githubusercontent.com/u/96200?s=40&v=4 + Mic92 + https://github.com/Mic92 - https://avatars.githubusercontent.com/u/7243783?s=40&v=4 - lovesegfault - https://github.com/lovesegfault + https://avatars.githubusercontent.com/u/4971975?s=40&v=4 + dasJ + https://github.com/dasJ - Misterio77/nix-starter-configs - https://github.com/Misterio77/nix-starter-configs - Simple and documented config templates to help you get started with NixOS + home-manager + flakes. All the boilerplate you need! - https://github.com/Misterio77/nix-starter-configs + NixOS/nix.dev + https://github.com/NixOS/nix.dev + Official documentation for getting things done with Nix. + https://github.com/NixOS/nix.dev Nix #7e7eff - 2,684 - 132 - 3 + 2,639 + 253 + 6 - https://avatars.githubusercontent.com/u/5727578?s=40&v=4 - Misterio77 - https://github.com/Misterio77 + https://avatars.githubusercontent.com/u/6599296?s=40&v=4 + fricklerhandwerk + https://github.com/fricklerhandwerk - https://avatars.githubusercontent.com/u/10733175?s=40&v=4 - axgfn - https://github.com/axgfn + https://avatars.githubusercontent.com/u/126339?s=40&v=4 + domenkozar + https://github.com/domenkozar - https://avatars.githubusercontent.com/u/15948162?s=40&v=4 - YanniPapandreou - https://github.com/YanniPapandreou + https://avatars.githubusercontent.com/u/74747193?s=40&v=4 + proofconstruction + https://github.com/proofconstruction - https://avatars.githubusercontent.com/u/72612857?s=40&v=4 - musjj - https://github.com/musjj + https://avatars.githubusercontent.com/u/20525370?s=40&v=4 + infinisil + https://github.com/infinisil - https://avatars.githubusercontent.com/u/88247690?s=40&v=4 - Gerg-L - https://github.com/Gerg-L + https://avatars.githubusercontent.com/u/10246891?s=40&v=4 + zmitchell + https://github.com/zmitchell - tpwrules/nixos-apple-silicon - https://github.com/tpwrules/nixos-apple-silicon - Resources to install NixOS bare metal on Apple Silicon Macs - https://github.com/tpwrules/nixos-apple-silicon + cardano-foundation/cardano-token-registry + https://github.com/cardano-foundation/cardano-token-registry + + https://github.com/cardano-foundation/cardano-token-registry Nix #7e7eff - 896 - 92 + 274 + 1,711 0 - https://avatars.githubusercontent.com/u/208010?s=40&v=4 - tpwrules - https://github.com/tpwrules + https://avatars.githubusercontent.com/u/2879295?s=40&v=4 + cleanerm5 + https://github.com/cleanerm5 - https://avatars.githubusercontent.com/u/86169957?s=40&v=4 - yu-re-ka - https://github.com/yu-re-ka + https://avatars.githubusercontent.com/u/163329108?s=40&v=4 + CardanoTokenIO + https://github.com/CardanoTokenIO - https://avatars.githubusercontent.com/u/9061737?s=40&v=4 - natsukagami - https://github.com/natsukagami + https://avatars.githubusercontent.com/u/106822766?s=40&v=4 + Kartiiyer12 + https://github.com/Kartiiyer12 - https://avatars.githubusercontent.com/u/4971975?s=40&v=4 - dasJ - https://github.com/dasJ + https://avatars.githubusercontent.com/u/37076037?s=40&v=4 + cf-stevew + https://github.com/cf-stevew - https://avatars.githubusercontent.com/u/51676322?s=40&v=4 - zzywysm - https://github.com/zzywysm + https://avatars.githubusercontent.com/u/52638249?s=40&v=4 + Godspeed-exe + https://github.com/Godspeed-exe - astro/microvm.nix - https://github.com/astro/microvm.nix - NixOS MicroVMs - https://github.com/astro/microvm.nix + numtide/treefmt-nix + https://github.com/numtide/treefmt-nix + treefmt nix configuration + https://github.com/numtide/treefmt-nix Nix #7e7eff - 1,429 - 103 - 4 + 266 + 82 + 0 - https://avatars.githubusercontent.com/u/12923?s=40&v=4 - astro - https://github.com/astro + https://avatars.githubusercontent.com/u/3248?s=40&v=4 + zimbatm + https://github.com/zimbatm - https://avatars.githubusercontent.com/u/7258858?s=40&v=4 - SuperSandro2000 - https://github.com/SuperSandro2000 + https://avatars.githubusercontent.com/u/3998?s=40&v=4 + srid + https://github.com/srid - https://avatars.githubusercontent.com/u/826368?s=40&v=4 - mikatammi - https://github.com/mikatammi + https://avatars.githubusercontent.com/u/96200?s=40&v=4 + Mic92 + https://github.com/Mic92 - https://avatars.githubusercontent.com/u/31919558?s=40&v=4 - oddlama - https://github.com/oddlama + https://avatars.githubusercontent.com/u/49727155?s=40&v=4 + katexochen + https://github.com/katexochen - dustinlyons/nixos-config - https://github.com/dustinlyons/nixos-config - General purpose Nix configuration for macOS / NixOS with starter templates + step-by-step guides ✨ - https://github.com/dustinlyons/nixos-config + LnL7/nix-darwin + https://github.com/LnL7/nix-darwin + nix modules for darwin + https://github.com/LnL7/nix-darwin Nix #7e7eff - 1,794 - 113 - 1 + 3,207 + 458 + 4 - https://avatars.githubusercontent.com/u/1292576?s=40&v=4 - dustinlyons - https://github.com/dustinlyons + https://avatars.githubusercontent.com/u/689294?s=40&v=4 + LnL7 + https://github.com/LnL7 - https://avatars.githubusercontent.com/u/243499?s=40&v=4 - victorhooi - https://github.com/victorhooi + https://avatars.githubusercontent.com/u/10492681?s=40&v=4 + Enzime + https://github.com/Enzime - https://avatars.githubusercontent.com/u/432489?s=40&v=4 - execat - https://github.com/execat + https://avatars.githubusercontent.com/u/18535642?s=40&v=4 + emilazy + https://github.com/emilazy - https://avatars.githubusercontent.com/u/2308543?s=40&v=4 - hartikainen - https://github.com/hartikainen + https://avatars.githubusercontent.com/u/126339?s=40&v=4 + domenkozar + https://github.com/domenkozar - NixOS/nix.dev - https://github.com/NixOS/nix.dev - Official documentation for getting things done with Nix. - https://github.com/NixOS/nix.dev + lilyinstarlight/nixos-cosmic + https://github.com/lilyinstarlight/nixos-cosmic + Flake for using COSMIC on NixOS + https://github.com/lilyinstarlight/nixos-cosmic Nix #7e7eff - 2,637 - 253 - 6 + 434 + 27 + 0 - https://avatars.githubusercontent.com/u/6599296?s=40&v=4 - fricklerhandwerk - https://github.com/fricklerhandwerk + https://avatars.githubusercontent.com/u/298109?s=40&v=4 + lilyinstarlight + https://github.com/lilyinstarlight - https://avatars.githubusercontent.com/u/126339?s=40&v=4 - domenkozar - https://github.com/domenkozar - - - https://avatars.githubusercontent.com/u/74747193?s=40&v=4 - proofconstruction - https://github.com/proofconstruction + https://avatars.githubusercontent.com/u/6444703?s=40&v=4 + drakon64 + https://github.com/drakon64 - https://avatars.githubusercontent.com/u/20525370?s=40&v=4 - infinisil - https://github.com/infinisil - - - https://avatars.githubusercontent.com/u/10246891?s=40&v=4 - zmitchell - https://github.com/zmitchell + https://avatars.githubusercontent.com/u/11548989?s=40&v=4 + atagen + https://github.com/atagen - SaumonNet/proxmox-nixos - https://github.com/SaumonNet/proxmox-nixos - The Proxmox Hypervisor, on NixOS [maintainers=@camillemndn @JulienMalka] - https://github.com/SaumonNet/proxmox-nixos + oxalica/rust-overlay + https://github.com/oxalica/rust-overlay + Pure and reproducible nix overlay of binary distributed rust toolchains + https://github.com/oxalica/rust-overlay Nix #7e7eff - 656 - 30 - 0 + 953 + 55 + 1 - https://avatars.githubusercontent.com/u/26444818?s=40&v=4 - camillemndn - https://github.com/camillemndn + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user - https://avatars.githubusercontent.com/u/1792886?s=40&v=4 - JulienMalka - https://github.com/JulienMalka + https://avatars.githubusercontent.com/u/14816024?s=40&v=4 + oxalica + https://github.com/oxalica - https://avatars.githubusercontent.com/u/173559363?s=40&v=4 - proxmox-update - https://github.com/proxmox-update + https://avatars.githubusercontent.com/u/455022?s=40&v=4 + abbec + https://github.com/abbec - https://avatars.githubusercontent.com/u/15964984?s=40&v=4 - codgician - https://github.com/codgician + https://avatars.githubusercontent.com/u/3490861?s=40&v=4 + mikroskeem + https://github.com/mikroskeem - https://avatars.githubusercontent.com/u/6235312?s=40&v=4 - CertainLach - https://github.com/CertainLach + https://avatars.githubusercontent.com/u/24027?s=40&v=4 + bbigras + https://github.com/bbigras - cachix/devenv - https://github.com/cachix/devenv - Fast, Declarative, Reproducible, and Composable Developer Environments - https://github.com/cachix/devenv + nix-community/impermanence + https://github.com/nix-community/impermanence + Modules to help you handle persistent state on systems with ephemeral root storage [maintainer=@talyz] + https://github.com/nix-community/impermanence Nix #7e7eff - 4,486 - 339 + 1,216 + 87 2 - https://avatars.githubusercontent.com/u/126339?s=40&v=4 - domenkozar - https://github.com/domenkozar - - - https://avatars.githubusercontent.com/u/7572407?s=40&v=4 - sandydoo - https://github.com/sandydoo + https://avatars.githubusercontent.com/u/63433?s=40&v=4 + talyz + https://github.com/talyz - https://avatars.githubusercontent.com/u/6375609?s=40&v=4 - bobvanderlinden - https://github.com/bobvanderlinden + https://avatars.githubusercontent.com/u/7243783?s=40&v=4 + lovesegfault + https://github.com/lovesegfault - https://avatars.githubusercontent.com/u/6224096?s=40&v=4 - shyim - https://github.com/shyim + https://avatars.githubusercontent.com/u/461970?s=40&v=4 + etu + https://github.com/etu - - - - khaneliman/khanelinix - https://github.com/khaneliman/khanelinix - Nix configuration for my systems supporting macOS, NixOS, and WSL. - https://github.com/khaneliman/khanelinix - Nix - #7e7eff - 154 - 11 - 2 - - https://avatars.githubusercontent.com/u/1778670?s=40&v=4 - khaneliman - https://github.com/khaneliman + https://avatars.githubusercontent.com/u/5379596?s=40&v=4 + ReneHollander + https://github.com/ReneHollander - https://avatars.githubusercontent.com/u/106473360?s=40&v=4 - wiki-nixos - https://github.com/wiki-nixos + https://avatars.githubusercontent.com/u/25955146?s=40&v=4 + eyJhb + https://github.com/eyJhb diff --git a/data/daily/nl.json b/data/daily/nl.json index 447e8e5410cf..742a2f9a17d9 100644 --- a/data/daily/nl.json +++ b/data/daily/nl.json @@ -2,6 +2,6 @@ "title": "GitHub Nl Languages Daily Trending", "description": "Daily Trending of Nl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nl.xml b/data/daily/nl.xml index 24cedd214a44..6c2237f85df0 100644 --- a/data/daily/nl.xml +++ b/data/daily/nl.xml @@ -3,6 +3,6 @@ GitHub Nl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nmodl.json b/data/daily/nmodl.json index b57974ec35d1..f791594702d1 100644 --- a/data/daily/nmodl.json +++ b/data/daily/nmodl.json @@ -2,6 +2,6 @@ "title": "GitHub Nmodl Languages Daily Trending", "description": "Daily Trending of Nmodl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nmodl.xml b/data/daily/nmodl.xml index 1373508284ca..e7a67e8de365 100644 --- a/data/daily/nmodl.xml +++ b/data/daily/nmodl.xml @@ -3,6 +3,6 @@ GitHub Nmodl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nmodl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/npm-config.json b/data/daily/npm-config.json index 00e5dffcc2a1..cb3d989113f3 100644 --- a/data/daily/npm-config.json +++ b/data/daily/npm-config.json @@ -2,6 +2,6 @@ "title": "GitHub Npm-config Languages Daily Trending", "description": "Daily Trending of Npm-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/npm-config.xml b/data/daily/npm-config.xml index effa1f588de0..a36efa1271b9 100644 --- a/data/daily/npm-config.xml +++ b/data/daily/npm-config.xml @@ -3,6 +3,6 @@ GitHub Npm-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Npm-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nsis.json b/data/daily/nsis.json index eddbd891a7eb..064f263f9dc5 100644 --- a/data/daily/nsis.json +++ b/data/daily/nsis.json @@ -2,39 +2,6 @@ "title": "GitHub Nsis Languages Daily Trending", "description": "Daily Trending of Nsis Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "itsmattkc/dotnet9x", - "url": "https://github.com/itsmattkc/dotnet9x", - "description": "Backport of .NET 2.0 - 3.5 to Windows 9x", - "language": "NSIS", - "languageColor": "#ccc", - "stars": "2,320", - "forks": "149", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/34096995?s=40&v=4", - "name": "itsmattkc", - "url": "https://github.com/itsmattkc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/716581?s=40&v=4", - "name": "rcmaehl", - "url": "https://github.com/rcmaehl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/974410?s=40&v=4", - "name": "Hypfer", - "url": "https://github.com/Hypfer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11337731?s=40&v=4", - "name": "WamWooWam", - "url": "https://github.com/WamWooWam" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/nsis.xml b/data/daily/nsis.xml index 5d7c83fb85b5..1bbef67a5ebc 100644 --- a/data/daily/nsis.xml +++ b/data/daily/nsis.xml @@ -3,39 +3,6 @@ GitHub Nsis Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nsis Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - itsmattkc/dotnet9x - https://github.com/itsmattkc/dotnet9x - Backport of .NET 2.0 - 3.5 to Windows 9x - https://github.com/itsmattkc/dotnet9x - NSIS - #ccc - 2,320 - 149 - 1 - - - https://avatars.githubusercontent.com/u/34096995?s=40&v=4 - itsmattkc - https://github.com/itsmattkc - - - https://avatars.githubusercontent.com/u/716581?s=40&v=4 - rcmaehl - https://github.com/rcmaehl - - - https://avatars.githubusercontent.com/u/974410?s=40&v=4 - Hypfer - https://github.com/Hypfer - - - https://avatars.githubusercontent.com/u/11337731?s=40&v=4 - WamWooWam - https://github.com/WamWooWam - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nu.json b/data/daily/nu.json index b300720b4a85..e40c0eafac6a 100644 --- a/data/daily/nu.json +++ b/data/daily/nu.json @@ -2,6 +2,6 @@ "title": "GitHub Nu Languages Daily Trending", "description": "Daily Trending of Nu Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nu.xml b/data/daily/nu.xml index aef353c83afa..b213dd1b44df 100644 --- a/data/daily/nu.xml +++ b/data/daily/nu.xml @@ -3,6 +3,6 @@ GitHub Nu Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nu Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/numpy.json b/data/daily/numpy.json index 14cda5119399..2bb187689913 100644 --- a/data/daily/numpy.json +++ b/data/daily/numpy.json @@ -2,6 +2,6 @@ "title": "GitHub Numpy Languages Daily Trending", "description": "Daily Trending of Numpy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/numpy.xml b/data/daily/numpy.xml index 1ef91a25678c..c0816ae8ae94 100644 --- a/data/daily/numpy.xml +++ b/data/daily/numpy.xml @@ -3,6 +3,6 @@ GitHub Numpy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Numpy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/nunjucks.json b/data/daily/nunjucks.json index 48ea082b7bea..42d5df0f151c 100644 --- a/data/daily/nunjucks.json +++ b/data/daily/nunjucks.json @@ -2,42 +2,37 @@ "title": "GitHub Nunjucks Languages Daily Trending", "description": "Daily Trending of Nunjucks Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "GoogleChrome/web.dev", - "url": "https://github.com/GoogleChrome/web.dev", - "description": "The frontend, backend, and content source code for web.dev", + "title": "h5bp/Front-end-Developer-Interview-Questions", + "url": "https://github.com/h5bp/Front-end-Developer-Interview-Questions", + "description": "A list of helpful front-end related questions you can use to interview potential candidates, test yourself or completely ignore.", "language": "Nunjucks", "languageColor": "#3d8137", - "stars": "3,568", - "forks": "1,577", - "addStars": "0", + "stars": "59,715", + "forks": "11,063", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1066253?s=40&v=4", - "name": "robdodson", - "url": "https://github.com/robdodson" + "avatar": "https://avatars.githubusercontent.com/u/459713?s=40&v=4", + "name": "darcyclarke", + "url": "https://github.com/darcyclarke" }, { - "avatar": "https://avatars.githubusercontent.com/u/145676?s=40&v=4", - "name": "tomayac", - "url": "https://github.com/tomayac" + "avatar": "https://avatars.githubusercontent.com/u/361421?s=40&v=4", + "name": "roblarsen", + "url": "https://github.com/roblarsen" }, { - "avatar": "https://avatars.githubusercontent.com/u/2764898?s=40&v=4", - "name": "rachelandrew", - "url": "https://github.com/rachelandrew" + "avatar": "https://avatars.githubusercontent.com/u/21979?s=40&v=4", + "name": "appleboy", + "url": "https://github.com/appleboy" }, { - "avatar": "https://avatars.githubusercontent.com/u/119184?s=40&v=4", - "name": "samthor", - "url": "https://github.com/samthor" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8204171?s=40&v=4", - "name": "jpmedley", - "url": "https://github.com/jpmedley" + "avatar": "https://avatars.githubusercontent.com/u/4672033?s=40&v=4", + "name": "cezaraugusto", + "url": "https://github.com/cezaraugusto" } ] } diff --git a/data/daily/nunjucks.xml b/data/daily/nunjucks.xml index 23df500ff35d..eb0650e9f490 100644 --- a/data/daily/nunjucks.xml +++ b/data/daily/nunjucks.xml @@ -3,42 +3,37 @@ GitHub Nunjucks Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nunjucks Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - GoogleChrome/web.dev - https://github.com/GoogleChrome/web.dev - The frontend, backend, and content source code for web.dev - https://github.com/GoogleChrome/web.dev + h5bp/Front-end-Developer-Interview-Questions + https://github.com/h5bp/Front-end-Developer-Interview-Questions + A list of helpful front-end related questions you can use to interview potential candidates, test yourself or completely ignore. + https://github.com/h5bp/Front-end-Developer-Interview-Questions Nunjucks #3d8137 - 3,568 - 1,577 - 0 + 59,715 + 11,063 + 3 - https://avatars.githubusercontent.com/u/1066253?s=40&v=4 - robdodson - https://github.com/robdodson + https://avatars.githubusercontent.com/u/459713?s=40&v=4 + darcyclarke + https://github.com/darcyclarke - https://avatars.githubusercontent.com/u/145676?s=40&v=4 - tomayac - https://github.com/tomayac + https://avatars.githubusercontent.com/u/361421?s=40&v=4 + roblarsen + https://github.com/roblarsen - https://avatars.githubusercontent.com/u/2764898?s=40&v=4 - rachelandrew - https://github.com/rachelandrew + https://avatars.githubusercontent.com/u/21979?s=40&v=4 + appleboy + https://github.com/appleboy - https://avatars.githubusercontent.com/u/119184?s=40&v=4 - samthor - https://github.com/samthor - - - https://avatars.githubusercontent.com/u/8204171?s=40&v=4 - jpmedley - https://github.com/jpmedley + https://avatars.githubusercontent.com/u/4672033?s=40&v=4 + cezaraugusto + https://github.com/cezaraugusto diff --git a/data/daily/nushell.json b/data/daily/nushell.json index 1af7a7f18021..ca9136cad1bd 100644 --- a/data/daily/nushell.json +++ b/data/daily/nushell.json @@ -2,7 +2,7 @@ "title": "GitHub Nushell Languages Daily Trending", "description": "Daily Trending of Nushell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "omerxx/dotfiles", @@ -10,7 +10,7 @@ "description": "My dotfiles synced from localhost and remote machines", "language": "Nushell", "languageColor": "#4E9906", - "stars": "1,157", + "stars": "1,161", "forks": "96", "addStars": "16", "contributors": [ @@ -35,65 +35,6 @@ "url": "https://github.com/JZsmitty" } ] - }, - { - "title": "luccahuguet/yazelix", - "url": "https://github.com/luccahuguet/yazelix", - "description": "zellij, yazi and nushell adding a File Tree to Helix & helix-friendly keybindigs for zellij", - "language": "Nushell", - "languageColor": "#4E9906", - "stars": "214", - "forks": "11", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/27565287?s=40&v=4", - "name": "luccahuguet", - "url": "https://github.com/luccahuguet" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18647016?s=40&v=4", - "name": "jigypeper", - "url": "https://github.com/jigypeper" - } - ] - }, - { - "title": "nushell/nu_scripts", - "url": "https://github.com/nushell/nu_scripts", - "description": "A place to share Nushell scripts with each other", - "language": "Nushell", - "languageColor": "#4E9906", - "stars": "782", - "forks": "230", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/343840?s=40&v=4", - "name": "fdncred", - "url": "https://github.com/fdncred" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82698591?s=40&v=4", - "name": "fj0r", - "url": "https://github.com/fj0r" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44101798?s=40&v=4", - "name": "amtoine", - "url": "https://github.com/amtoine" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55934533?s=40&v=4", - "name": "nashvi", - "url": "https://github.com/nashvi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/547158?s=40&v=4", - "name": "sophiajt", - "url": "https://github.com/sophiajt" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/nushell.xml b/data/daily/nushell.xml index d0746e5e5f25..84a58d3f27c3 100644 --- a/data/daily/nushell.xml +++ b/data/daily/nushell.xml @@ -3,7 +3,7 @@ GitHub Nushell Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nushell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT omerxx/dotfiles https://github.com/omerxx/dotfiles @@ -11,7 +11,7 @@ https://github.com/omerxx/dotfiles Nushell #4E9906 - 1,157 + 1,161 96 16 @@ -37,66 +37,5 @@ - - luccahuguet/yazelix - https://github.com/luccahuguet/yazelix - zellij, yazi and nushell adding a File Tree to Helix & helix-friendly keybindigs for zellij - https://github.com/luccahuguet/yazelix - Nushell - #4E9906 - 214 - 11 - 0 - - - https://avatars.githubusercontent.com/u/27565287?s=40&v=4 - luccahuguet - https://github.com/luccahuguet - - - https://avatars.githubusercontent.com/u/18647016?s=40&v=4 - jigypeper - https://github.com/jigypeper - - - - - nushell/nu_scripts - https://github.com/nushell/nu_scripts - A place to share Nushell scripts with each other - https://github.com/nushell/nu_scripts - Nushell - #4E9906 - 782 - 230 - 1 - - - https://avatars.githubusercontent.com/u/343840?s=40&v=4 - fdncred - https://github.com/fdncred - - - https://avatars.githubusercontent.com/u/82698591?s=40&v=4 - fj0r - https://github.com/fj0r - - - https://avatars.githubusercontent.com/u/44101798?s=40&v=4 - amtoine - https://github.com/amtoine - - - https://avatars.githubusercontent.com/u/55934533?s=40&v=4 - nashvi - https://github.com/nashvi - - - https://avatars.githubusercontent.com/u/547158?s=40&v=4 - sophiajt - https://github.com/sophiajt - - - \ No newline at end of file diff --git a/data/daily/nwscript.json b/data/daily/nwscript.json index 901ec244728b..bc81aa013eab 100644 --- a/data/daily/nwscript.json +++ b/data/daily/nwscript.json @@ -2,6 +2,6 @@ "title": "GitHub Nwscript Languages Daily Trending", "description": "Daily Trending of Nwscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/nwscript.xml b/data/daily/nwscript.xml index 23fd2d13e8bf..1ef1c13fc54f 100644 --- a/data/daily/nwscript.xml +++ b/data/daily/nwscript.xml @@ -3,6 +3,6 @@ GitHub Nwscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Nwscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/oasv2-json.json b/data/daily/oasv2-json.json index ed95c58e3ea7..c18dd1a96eaa 100644 --- a/data/daily/oasv2-json.json +++ b/data/daily/oasv2-json.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv2-json Languages Daily Trending", "description": "Daily Trending of Oasv2-json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/oasv2-json.xml b/data/daily/oasv2-json.xml index f6b91b9a5bc1..87759df686d5 100644 --- a/data/daily/oasv2-json.xml +++ b/data/daily/oasv2-json.xml @@ -3,6 +3,6 @@ GitHub Oasv2-json Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Oasv2-json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/oasv2-yaml.json b/data/daily/oasv2-yaml.json index 52cfa5183cda..cb2e4c61bc7a 100644 --- a/data/daily/oasv2-yaml.json +++ b/data/daily/oasv2-yaml.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv2-yaml Languages Daily Trending", "description": "Daily Trending of Oasv2-yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/oasv2-yaml.xml b/data/daily/oasv2-yaml.xml index 05d5568656ab..5ff9a488c703 100644 --- a/data/daily/oasv2-yaml.xml +++ b/data/daily/oasv2-yaml.xml @@ -3,6 +3,6 @@ GitHub Oasv2-yaml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Oasv2-yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/oasv3-json.json b/data/daily/oasv3-json.json index da0eb232dde2..f31128cac5f1 100644 --- a/data/daily/oasv3-json.json +++ b/data/daily/oasv3-json.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv3-json Languages Daily Trending", "description": "Daily Trending of Oasv3-json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/oasv3-json.xml b/data/daily/oasv3-json.xml index 117fce174928..50f5dfb4d742 100644 --- a/data/daily/oasv3-json.xml +++ b/data/daily/oasv3-json.xml @@ -3,6 +3,6 @@ GitHub Oasv3-json Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Oasv3-json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/oasv3-yaml.json b/data/daily/oasv3-yaml.json index ce221e6d42a3..e0edb2c0302f 100644 --- a/data/daily/oasv3-yaml.json +++ b/data/daily/oasv3-yaml.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv3-yaml Languages Daily Trending", "description": "Daily Trending of Oasv3-yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/oasv3-yaml.xml b/data/daily/oasv3-yaml.xml index 0ccf8f9b831d..a64728165832 100644 --- a/data/daily/oasv3-yaml.xml +++ b/data/daily/oasv3-yaml.xml @@ -3,6 +3,6 @@ GitHub Oasv3-yaml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Oasv3-yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/oberon.json b/data/daily/oberon.json index 00639cb1ba32..958fd25ffd2d 100644 --- a/data/daily/oberon.json +++ b/data/daily/oberon.json @@ -2,6 +2,6 @@ "title": "GitHub Oberon Languages Daily Trending", "description": "Daily Trending of Oberon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/oberon.xml b/data/daily/oberon.xml index 6e5e950960c9..ad2e49318498 100644 --- a/data/daily/oberon.xml +++ b/data/daily/oberon.xml @@ -3,6 +3,6 @@ GitHub Oberon Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Oberon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/objdump.json b/data/daily/objdump.json index 2528b9ca396d..2500a3343446 100644 --- a/data/daily/objdump.json +++ b/data/daily/objdump.json @@ -2,6 +2,6 @@ "title": "GitHub Objdump Languages Daily Trending", "description": "Daily Trending of Objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/objdump.xml b/data/daily/objdump.xml index a9d18b36db55..0743b8433ba8 100644 --- a/data/daily/objdump.xml +++ b/data/daily/objdump.xml @@ -3,6 +3,6 @@ GitHub Objdump Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/object-data-instance-notation.json b/data/daily/object-data-instance-notation.json index 86d080e5979e..1740efece539 100644 --- a/data/daily/object-data-instance-notation.json +++ b/data/daily/object-data-instance-notation.json @@ -2,6 +2,6 @@ "title": "GitHub Object-data-instance-notation Languages Daily Trending", "description": "Daily Trending of Object-data-instance-notation Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/object-data-instance-notation.xml b/data/daily/object-data-instance-notation.xml index 29aff694f24f..8b0b7a785d24 100644 --- a/data/daily/object-data-instance-notation.xml +++ b/data/daily/object-data-instance-notation.xml @@ -3,6 +3,6 @@ GitHub Object-data-instance-notation Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Object-data-instance-notation Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/objective-c++.json b/data/daily/objective-c++.json index 25ce94a03b46..6b65ce27f903 100644 --- a/data/daily/objective-c++.json +++ b/data/daily/objective-c++.json @@ -2,7 +2,7 @@ "title": "GitHub Objective-c++ Languages Daily Trending", "description": "Daily Trending of Objective-c++ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pocketsvg/PocketSVG", @@ -41,6 +41,43 @@ } ] }, + { + "title": "KhronosGroup/MoltenVK", + "url": "https://github.com/KhronosGroup/MoltenVK", + "description": "MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS.", + "language": "Objective-C++", + "languageColor": "#6866fb", + "stars": "4,841", + "forks": "430", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/356402?s=40&v=4", + "name": "billhollings", + "url": "https://github.com/billhollings" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/42747760?s=40&v=4", + "name": "cdavis5e", + "url": "https://github.com/cdavis5e" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4086836?s=40&v=4", + "name": "js6i", + "url": "https://github.com/js6i" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2567?s=40&v=4", + "name": "tmm1", + "url": "https://github.com/tmm1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43609023?s=40&v=4", + "name": "spnda", + "url": "https://github.com/spnda" + } + ] + }, { "title": "TextureGroup/Texture", "url": "https://github.com/TextureGroup/Texture", @@ -79,39 +116,76 @@ ] }, { - "title": "KhronosGroup/MoltenVK", - "url": "https://github.com/KhronosGroup/MoltenVK", - "description": "MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS.", + "title": "facebookarchive/pop", + "url": "https://github.com/facebookarchive/pop", + "description": "An extensible iOS and OS X animation library, useful for physics-based interactions.", "language": "Objective-C++", "languageColor": "#6866fb", - "stars": "4,840", - "forks": "429", + "stars": "19,656", + "forks": "2,876", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/356402?s=40&v=4", - "name": "billhollings", - "url": "https://github.com/billhollings" + "avatar": "https://avatars.githubusercontent.com/u/164821?s=40&v=4", + "name": "kimon", + "url": "https://github.com/kimon" }, { - "avatar": "https://avatars.githubusercontent.com/u/42747760?s=40&v=4", - "name": "cdavis5e", - "url": "https://github.com/cdavis5e" + "avatar": "https://avatars.githubusercontent.com/u/163390?s=40&v=4", + "name": "grp", + "url": "https://github.com/grp" }, { - "avatar": "https://avatars.githubusercontent.com/u/4086836?s=40&v=4", - "name": "js6i", - "url": "https://github.com/js6i" + "avatar": "https://avatars.githubusercontent.com/u/1981722?s=40&v=4", + "name": "b3ll", + "url": "https://github.com/b3ll" }, { - "avatar": "https://avatars.githubusercontent.com/u/2567?s=40&v=4", - "name": "tmm1", - "url": "https://github.com/tmm1" + "avatar": "https://avatars.githubusercontent.com/u/107292?s=40&v=4", + "name": "jcbertin", + "url": "https://github.com/jcbertin" }, { - "avatar": "https://avatars.githubusercontent.com/u/43609023?s=40&v=4", - "name": "spnda", - "url": "https://github.com/spnda" + "avatar": "https://avatars.githubusercontent.com/u/606991?s=40&v=4", + "name": "nlutsenko", + "url": "https://github.com/nlutsenko" + } + ] + }, + { + "title": "gree/unity-webview", + "url": "https://github.com/gree/unity-webview", + "description": "", + "language": "Objective-C++", + "languageColor": "#6866fb", + "stars": "2,306", + "forks": "695", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2645978?s=40&v=4", + "name": "KojiNakamaru", + "url": "https://github.com/KojiNakamaru" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/81904?s=40&v=4", + "name": "splhack", + "url": "https://github.com/splhack" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/970766?s=40&v=4", + "name": "takeh1k0", + "url": "https://github.com/takeh1k0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2586281?s=40&v=4", + "name": "n-yoda", + "url": "https://github.com/n-yoda" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2690685?s=40&v=4", + "name": "dolow", + "url": "https://github.com/dolow" } ] } diff --git a/data/daily/objective-c++.xml b/data/daily/objective-c++.xml index 32787e871249..36a34b90c17c 100644 --- a/data/daily/objective-c++.xml +++ b/data/daily/objective-c++.xml @@ -3,7 +3,7 @@ GitHub Objective-c++ Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Objective-c++ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pocketsvg/PocketSVG https://github.com/pocketsvg/PocketSVG @@ -42,6 +42,44 @@ + + KhronosGroup/MoltenVK + https://github.com/KhronosGroup/MoltenVK + MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS. + https://github.com/KhronosGroup/MoltenVK + Objective-C++ + #6866fb + 4,841 + 430 + 0 + + + https://avatars.githubusercontent.com/u/356402?s=40&v=4 + billhollings + https://github.com/billhollings + + + https://avatars.githubusercontent.com/u/42747760?s=40&v=4 + cdavis5e + https://github.com/cdavis5e + + + https://avatars.githubusercontent.com/u/4086836?s=40&v=4 + js6i + https://github.com/js6i + + + https://avatars.githubusercontent.com/u/2567?s=40&v=4 + tmm1 + https://github.com/tmm1 + + + https://avatars.githubusercontent.com/u/43609023?s=40&v=4 + spnda + https://github.com/spnda + + + TextureGroup/Texture https://github.com/TextureGroup/Texture @@ -81,40 +119,78 @@ - KhronosGroup/MoltenVK - https://github.com/KhronosGroup/MoltenVK - MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS. - https://github.com/KhronosGroup/MoltenVK + facebookarchive/pop + https://github.com/facebookarchive/pop + An extensible iOS and OS X animation library, useful for physics-based interactions. + https://github.com/facebookarchive/pop Objective-C++ #6866fb - 4,840 - 429 + 19,656 + 2,876 0 - https://avatars.githubusercontent.com/u/356402?s=40&v=4 - billhollings - https://github.com/billhollings + https://avatars.githubusercontent.com/u/164821?s=40&v=4 + kimon + https://github.com/kimon - https://avatars.githubusercontent.com/u/42747760?s=40&v=4 - cdavis5e - https://github.com/cdavis5e + https://avatars.githubusercontent.com/u/163390?s=40&v=4 + grp + https://github.com/grp - https://avatars.githubusercontent.com/u/4086836?s=40&v=4 - js6i - https://github.com/js6i + https://avatars.githubusercontent.com/u/1981722?s=40&v=4 + b3ll + https://github.com/b3ll - https://avatars.githubusercontent.com/u/2567?s=40&v=4 - tmm1 - https://github.com/tmm1 + https://avatars.githubusercontent.com/u/107292?s=40&v=4 + jcbertin + https://github.com/jcbertin - https://avatars.githubusercontent.com/u/43609023?s=40&v=4 - spnda - https://github.com/spnda + https://avatars.githubusercontent.com/u/606991?s=40&v=4 + nlutsenko + https://github.com/nlutsenko + + + + + gree/unity-webview + https://github.com/gree/unity-webview + + https://github.com/gree/unity-webview + Objective-C++ + #6866fb + 2,306 + 695 + 0 + + + https://avatars.githubusercontent.com/u/2645978?s=40&v=4 + KojiNakamaru + https://github.com/KojiNakamaru + + + https://avatars.githubusercontent.com/u/81904?s=40&v=4 + splhack + https://github.com/splhack + + + https://avatars.githubusercontent.com/u/970766?s=40&v=4 + takeh1k0 + https://github.com/takeh1k0 + + + https://avatars.githubusercontent.com/u/2586281?s=40&v=4 + n-yoda + https://github.com/n-yoda + + + https://avatars.githubusercontent.com/u/2690685?s=40&v=4 + dolow + https://github.com/dolow diff --git a/data/daily/objective-c.json b/data/daily/objective-c.json index 333324c3dad8..b1de3501fa50 100644 --- a/data/daily/objective-c.json +++ b/data/daily/objective-c.json @@ -2,175 +2,116 @@ "title": "GitHub Objective-c Languages Daily Trending", "description": "Daily Trending of Objective-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "FLEXTool/FLEX", - "url": "https://github.com/FLEXTool/FLEX", - "description": "An in-app debugging and exploration tool for iOS", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "14,096", - "forks": "1,700", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8371943?s=40&v=4", - "name": "NSExceptional", - "url": "https://github.com/NSExceptional" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1422245?s=40&v=4", - "name": "ryanolsonk", - "url": "https://github.com/ryanolsonk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/627231?s=40&v=4", - "name": "matrush", - "url": "https://github.com/matrush" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/666807?s=40&v=4", - "name": "JaviSoto", - "url": "https://github.com/JaviSoto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/429119?s=40&v=4", - "name": "TimOliver", - "url": "https://github.com/TimOliver" - } - ] - }, - { - "title": "benbaker76/Hackintool", - "url": "https://github.com/benbaker76/Hackintool", - "description": "The Swiss army knife of vanilla Hackintoshing", + "title": "opa334/TrollStore", + "url": "https://github.com/opa334/TrollStore", + "description": "Jailed iOS app that can install IPAs permanently with arbitary entitlements and root helpers because it trolls Apple", "language": "Objective-C", "languageColor": "#438eff", - "stars": "3,135", - "forks": "244", - "addStars": "0", + "stars": "18,514", + "forks": "1,126", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1698401?s=40&v=4", - "name": "benbaker76", - "url": "https://github.com/benbaker76" + "avatar": "https://avatars.githubusercontent.com/u/18235760?s=40&v=4", + "name": "opa334", + "url": "https://github.com/opa334" }, { - "avatar": "https://avatars.githubusercontent.com/u/45396585?s=40&v=4", - "name": "williambj1", - "url": "https://github.com/williambj1" + "avatar": "https://avatars.githubusercontent.com/u/63724031?s=40&v=4", + "name": "alfiecg24", + "url": "https://github.com/alfiecg24" }, { - "avatar": "https://avatars.githubusercontent.com/u/59058746?s=40&v=4", - "name": "Baio1977", - "url": "https://github.com/Baio1977" + "avatar": "https://avatars.githubusercontent.com/u/87825638?s=40&v=4", + "name": "verygenericname", + "url": "https://github.com/verygenericname" }, { - "avatar": "https://avatars.githubusercontent.com/u/50421769?s=40&v=4", - "name": "ZISQO", - "url": "https://github.com/ZISQO" + "avatar": "https://avatars.githubusercontent.com/u/17605561?s=40&v=4", + "name": "dhinakg", + "url": "https://github.com/dhinakg" }, { - "avatar": "https://avatars.githubusercontent.com/u/64613690?s=40&v=4", - "name": "Lorys89", - "url": "https://github.com/Lorys89" + "avatar": "https://avatars.githubusercontent.com/u/61014312?s=40&v=4", + "name": "luken11", + "url": "https://github.com/luken11" } ] }, { - "title": "gnachman/iTerm2", - "url": "https://github.com/gnachman/iTerm2", - "description": "iTerm2 is a terminal emulator for Mac OS X that does amazing things.", + "title": "Hammerspoon/hammerspoon", + "url": "https://github.com/Hammerspoon/hammerspoon", + "description": "Staggeringly powerful macOS desktop automation with Lua", "language": "Objective-C", "languageColor": "#438eff", - "stars": "15,397", - "forks": "1,201", - "addStars": "2", + "stars": "12,141", + "forks": "587", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/427546?s=40&v=4", - "name": "gnachman", - "url": "https://github.com/gnachman" + "avatar": "https://avatars.githubusercontent.com/u/353427?s=40&v=4", + "name": "cmsj", + "url": "https://github.com/cmsj" }, { - "avatar": "https://avatars.githubusercontent.com/u/1162739?s=40&v=4", - "name": "saitoha", - "url": "https://github.com/saitoha" + "avatar": "https://avatars.githubusercontent.com/u/8139480?s=40&v=4", + "name": "asmagill", + "url": "https://github.com/asmagill" }, { - "avatar": "https://avatars.githubusercontent.com/u/2661?s=40&v=4", - "name": "chendo", - "url": "https://github.com/chendo" + "avatar": "https://avatars.githubusercontent.com/u/22286696?s=40&v=4", + "name": "latenitefilms", + "url": "https://github.com/latenitefilms" }, { - "avatar": "https://avatars.githubusercontent.com/u/176815?s=40&v=4", - "name": "wavebeem", - "url": "https://github.com/wavebeem" + "avatar": "https://avatars.githubusercontent.com/u/2119212?s=40&v=4", + "name": "jsoref", + "url": "https://github.com/jsoref" }, { - "avatar": "https://avatars.githubusercontent.com/u/3074665?s=40&v=4", - "name": "choppsv1", - "url": "https://github.com/choppsv1" + "avatar": "https://avatars.githubusercontent.com/u/6718755?s=40&v=4", + "name": "lowne", + "url": "https://github.com/lowne" } ] }, { - "title": "opa334/TrollStore", - "url": "https://github.com/opa334/TrollStore", - "description": "Jailed iOS app that can install IPAs permanently with arbitary entitlements and root helpers because it trolls Apple", + "title": "AliSoftware/OHHTTPStubs", + "url": "https://github.com/AliSoftware/OHHTTPStubs", + "description": "Stub your network requests easily! Test your apps with fake network data and custom response time, response code and headers!", "language": "Objective-C", "languageColor": "#438eff", - "stars": "18,513", - "forks": "1,126", - "addStars": "8", + "stars": "5,041", + "forks": "602", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18235760?s=40&v=4", - "name": "opa334", - "url": "https://github.com/opa334" + "avatar": "https://avatars.githubusercontent.com/u/216089?s=40&v=4", + "name": "AliSoftware", + "url": "https://github.com/AliSoftware" }, { - "avatar": "https://avatars.githubusercontent.com/u/63724031?s=40&v=4", - "name": "alfiecg24", - "url": "https://github.com/alfiecg24" + "avatar": "https://avatars.githubusercontent.com/u/1395710?s=40&v=4", + "name": "corinnekrych", + "url": "https://github.com/corinnekrych" }, { - "avatar": "https://avatars.githubusercontent.com/u/87825638?s=40&v=4", - "name": "verygenericname", - "url": "https://github.com/verygenericname" + "avatar": "https://avatars.githubusercontent.com/u/1274145?s=40&v=4", + "name": "MaxGabriel", + "url": "https://github.com/MaxGabriel" }, { - "avatar": "https://avatars.githubusercontent.com/u/17605561?s=40&v=4", - "name": "dhinakg", - "url": "https://github.com/dhinakg" + "avatar": "https://avatars.githubusercontent.com/u/2142301?s=40&v=4", + "name": "jeffctown", + "url": "https://github.com/jeffctown" }, { - "avatar": "https://avatars.githubusercontent.com/u/61014312?s=40&v=4", - "name": "luken11", - "url": "https://github.com/luken11" - } - ] - }, - { - "title": "dosdude1/discord-lite", - "url": "https://github.com/dosdude1/discord-lite", - "description": "An ultra-lightweight native Discord client for vintage and modern Mac OS", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "452", - "forks": "9", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/23435713?s=40&v=4", - "name": "dosdude1", - "url": "https://github.com/dosdude1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/719492?s=40&v=4", - "name": "MaddTheSane", - "url": "https://github.com/MaddTheSane" + "avatar": "https://avatars.githubusercontent.com/u/3178791?s=40&v=4", + "name": "JinlianWang", + "url": "https://github.com/JinlianWang" } ] }, @@ -180,7 +121,7 @@ "description": "A Minecraft: Java Edition Launcher for Android and iOS based on Boardwalk. This repository contains source code for iOS/iPadOS platform.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "2,360", + "stars": "2,362", "forks": "508", "addStars": "1", "contributors": [ @@ -212,256 +153,288 @@ ] }, { - "title": "roothide/Bootstrap", - "url": "https://github.com/roothide/Bootstrap", - "description": "A full featured bootstrap for iOS 15.0-17.0 A8-A17Pro & M1+M2 using roothide", + "title": "AzureAD/microsoft-authentication-library-for-objc", + "url": "https://github.com/AzureAD/microsoft-authentication-library-for-objc", + "description": "Microsoft Authentication Library (MSAL) for iOS and macOS", "language": "Objective-C", "languageColor": "#438eff", - "stars": "1,254", - "forks": "788", + "stars": "265", + "forks": "142", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/95427722?s=40&v=4", - "name": "imnltsa", - "url": "https://github.com/imnltsa" + "avatar": "https://avatars.githubusercontent.com/u/26911890?s=40&v=4", + "name": "oldalton", + "url": "https://github.com/oldalton" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19417198?s=40&v=4", + "name": "rohitnarula7176", + "url": "https://github.com/rohitnarula7176" }, { - "avatar": "https://avatars.githubusercontent.com/u/158083651?s=40&v=4", - "name": "roothider", - "url": "https://github.com/roothider" + "avatar": "https://avatars.githubusercontent.com/u/2293176?s=40&v=4", + "name": "unpluggedk", + "url": "https://github.com/unpluggedk" }, { - "avatar": "https://avatars.githubusercontent.com/u/1563800?s=40&v=4", - "name": "iodes", - "url": "https://github.com/iodes" + "avatar": "https://avatars.githubusercontent.com/u/1989385?s=40&v=4", + "name": "antrix1989", + "url": "https://github.com/antrix1989" }, { - "avatar": "https://avatars.githubusercontent.com/u/34976610?s=40&v=4", - "name": "SK-sep", - "url": "https://github.com/SK-sep" + "avatar": "https://avatars.githubusercontent.com/u/6675470?s=40&v=4", + "name": "ameyapat", + "url": "https://github.com/ameyapat" } ] }, { - "title": "MortimerGoro/MGSwipeTableCell", - "url": "https://github.com/MortimerGoro/MGSwipeTableCell", - "description": "An easy to use UITableViewCell subclass that allows to display swippable buttons with a variety of transitions.", + "title": "jspahrsummers/libextobjc", + "url": "https://github.com/jspahrsummers/libextobjc", + "description": "A Cocoa library to extend the Objective-C programming language.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "6,964", - "forks": "1,076", + "stars": "4,525", + "forks": "462", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1070794?s=40&v=4", - "name": "MortimerGoro", - "url": "https://github.com/MortimerGoro" + "avatar": "https://avatars.githubusercontent.com/u/432536?s=40&v=4", + "name": "jspahrsummers", + "url": "https://github.com/jspahrsummers" }, { - "avatar": "https://avatars.githubusercontent.com/u/260871?s=40&v=4", - "name": "apocolipse", - "url": "https://github.com/apocolipse" + "avatar": "https://avatars.githubusercontent.com/u/128474?s=40&v=4", + "name": "K-Be", + "url": "https://github.com/K-Be" }, { - "avatar": "https://avatars.githubusercontent.com/u/5673994?s=40&v=4", - "name": "woxtu", - "url": "https://github.com/woxtu" + "avatar": "https://avatars.githubusercontent.com/u/61170?s=40&v=4", + "name": "diederich", + "url": "https://github.com/diederich" }, { - "avatar": "https://avatars.githubusercontent.com/u/7852954?s=40&v=4", - "name": "frankfle", - "url": "https://github.com/frankfle" + "avatar": "https://avatars.githubusercontent.com/u/702124?s=40&v=4", + "name": "k06a", + "url": "https://github.com/k06a" }, { - "avatar": "https://avatars.githubusercontent.com/u/4190298?s=40&v=4", - "name": "BasThomas", - "url": "https://github.com/BasThomas" + "avatar": "https://avatars.githubusercontent.com/u/13760?s=40&v=4", + "name": "joshaber", + "url": "https://github.com/joshaber" } ] }, { - "title": "bugsnag/bugsnag-cocoa", - "url": "https://github.com/bugsnag/bugsnag-cocoa", - "description": "BugSnag error monitoring & exception reporter for iOS, macOS, tvOS and watchOS", + "title": "naver/naveridlogin-sdk-ios", + "url": "https://github.com/naver/naveridlogin-sdk-ios", + "description": "", "language": "Objective-C", "languageColor": "#438eff", - "stars": "239", - "forks": "129", - "addStars": "2", + "stars": "59", + "forks": "41", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/61777?s=40&v=4", - "name": "nickdowell", - "url": "https://github.com/nickdowell" + "avatar": "https://avatars.githubusercontent.com/u/30257774?s=40&v=4", + "name": "HanBin-Kim", + "url": "https://github.com/HanBin-Kim" }, { - "avatar": "https://avatars.githubusercontent.com/u/11800640?s=40&v=4", - "name": "fractalwrench", - "url": "https://github.com/fractalwrench" + "avatar": "https://avatars.githubusercontent.com/u/57055565?s=40&v=4", + "name": "topkim993", + "url": "https://github.com/topkim993" }, { - "avatar": "https://avatars.githubusercontent.com/u/333454?s=40&v=4", - "name": "kattrali", - "url": "https://github.com/kattrali" + "avatar": "https://avatars.githubusercontent.com/u/25652490?s=40&v=4", + "name": "reflect87", + "url": "https://github.com/reflect87" + } + ] + }, + { + "title": "aws-amplify/aws-sdk-ios", + "url": "https://github.com/aws-amplify/aws-sdk-ios", + "description": "AWS SDK for iOS. For more information, see our web site:", + "language": "Objective-C", + "languageColor": "#438eff", + "stars": "1,681", + "forks": "885", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/46607340?s=40&v=4", + "name": "awsmobilesdk", + "url": "https://github.com/awsmobilesdk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/227982?s=40&v=4", + "name": "palpatim", + "url": "https://github.com/palpatim" }, { - "avatar": "https://avatars.githubusercontent.com/u/5239394?s=40&v=4", - "name": "twometresteve", - "url": "https://github.com/twometresteve" + "avatar": "https://avatars.githubusercontent.com/u/51138777?s=40&v=4", + "name": "royjit", + "url": "https://github.com/royjit" }, { - "avatar": "https://avatars.githubusercontent.com/u/245857?s=40&v=4", - "name": "kstenerud", - "url": "https://github.com/kstenerud" + "avatar": "https://avatars.githubusercontent.com/u/1365977?s=40&v=4", + "name": "lawmicha", + "url": "https://github.com/lawmicha" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6162866?s=40&v=4", + "name": "harsh62", + "url": "https://github.com/harsh62" } ] }, { - "title": "muxinc/mux-stats-sdk-avplayer", - "url": "https://github.com/muxinc/mux-stats-sdk-avplayer", - "description": "Mux integration with `AVPlayer` for iOS Native Applications", + "title": "rentzsch/jrswizzle", + "url": "https://github.com/rentzsch/jrswizzle", + "description": "one-stop-shop for all your method swizzling needs", "language": "Objective-C", "languageColor": "#438eff", - "stars": "45", - "forks": "21", + "stars": "2,660", + "forks": "417", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/764988?s=40&v=4", - "name": "dylanjha", - "url": "https://github.com/dylanjha" + "avatar": "https://avatars.githubusercontent.com/u/2971?s=40&v=4", + "name": "rentzsch", + "url": "https://github.com/rentzsch" }, { - "avatar": "https://avatars.githubusercontent.com/u/37314602?s=40&v=4", - "name": "wtao-mux", - "url": "https://github.com/wtao-mux" + "avatar": "https://avatars.githubusercontent.com/u/179378?s=40&v=4", + "name": "nickbit", + "url": "https://github.com/nickbit" }, { - "avatar": "https://avatars.githubusercontent.com/u/1444681?s=40&v=4", - "name": "nidhik", - "url": "https://github.com/nidhik" + "avatar": "https://avatars.githubusercontent.com/u/582140?s=40&v=4", + "name": "outis", + "url": "https://github.com/outis" }, { - "avatar": "https://avatars.githubusercontent.com/u/319221?s=40&v=4", - "name": "daytime-em", - "url": "https://github.com/daytime-em" + "avatar": "https://avatars.githubusercontent.com/u/34759?s=40&v=4", + "name": "JensAyton", + "url": "https://github.com/JensAyton" }, { - "avatar": "https://avatars.githubusercontent.com/u/102617203?s=40&v=4", - "name": "andrewjl-mux", - "url": "https://github.com/andrewjl-mux" + "avatar": "https://avatars.githubusercontent.com/u/443680?s=40&v=4", + "name": "TonSilver", + "url": "https://github.com/TonSilver" } ] }, { - "title": "JonasGessner/JGProgressHUD", - "url": "https://github.com/JonasGessner/JGProgressHUD", - "description": "An elegant and simple progress HUD for iOS and tvOS, compatible with Swift and ObjC.", + "title": "soffes/SAMKeychain", + "url": "https://github.com/soffes/SAMKeychain", + "description": "Simple Objective-C wrapper for the keychain that works on Mac and iOS", "language": "Objective-C", "languageColor": "#438eff", - "stars": "3,351", - "forks": "336", - "addStars": "1", + "stars": "5,400", + "forks": "956", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1156152?s=40&v=4", - "name": "JonasGessner", - "url": "https://github.com/JonasGessner" + "avatar": "https://avatars.githubusercontent.com/u/52870?s=40&v=4", + "name": "soffes", + "url": "https://github.com/soffes" }, { - "avatar": "https://avatars.githubusercontent.com/u/724189?s=40&v=4", - "name": "mjwybrow", - "url": "https://github.com/mjwybrow" + "avatar": "https://avatars.githubusercontent.com/u/1761121?s=40&v=4", + "name": "calebd", + "url": "https://github.com/calebd" }, { - "avatar": "https://avatars.githubusercontent.com/u/249814?s=40&v=4", - "name": "mman", - "url": "https://github.com/mman" + "avatar": "https://avatars.githubusercontent.com/u/898625?s=40&v=4", + "name": "kolyuchiy", + "url": "https://github.com/kolyuchiy" }, { - "avatar": "https://avatars.githubusercontent.com/u/3419766?s=40&v=4", - "name": "colemancda", - "url": "https://github.com/colemancda" + "avatar": "https://avatars.githubusercontent.com/u/86447?s=40&v=4", + "name": "blommegard", + "url": "https://github.com/blommegard" }, { - "avatar": "https://avatars.githubusercontent.com/u/6942160?s=40&v=4", - "name": "Jeehut", - "url": "https://github.com/Jeehut" + "avatar": "https://avatars.githubusercontent.com/u/92332?s=40&v=4", + "name": "hashier", + "url": "https://github.com/hashier" } ] }, { - "title": "AzureAD/microsoft-authentication-library-for-objc", - "url": "https://github.com/AzureAD/microsoft-authentication-library-for-objc", - "description": "Microsoft Authentication Library (MSAL) for iOS and macOS", + "title": "gnustep/libs-base", + "url": "https://github.com/gnustep/libs-base", + "description": "The GNUstep Base Library is a library of general-purpose, non-graphical Objective C objects.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "265", - "forks": "141", + "stars": "939", + "forks": "283", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26911890?s=40&v=4", - "name": "oldalton", - "url": "https://github.com/oldalton" + "avatar": "https://avatars.githubusercontent.com/u/4929621?s=40&v=4", + "name": "rfm", + "url": "https://github.com/rfm" }, { - "avatar": "https://avatars.githubusercontent.com/u/19417198?s=40&v=4", - "name": "rohitnarula7176", - "url": "https://github.com/rohitnarula7176" + "avatar": "https://avatars.githubusercontent.com/u/27943?s=40&v=4", + "name": "gcasa", + "url": "https://github.com/gcasa" }, { - "avatar": "https://avatars.githubusercontent.com/u/2293176?s=40&v=4", - "name": "unpluggedk", - "url": "https://github.com/unpluggedk" + "avatar": "https://avatars.githubusercontent.com/u/29153312?s=40&v=4", + "name": "fredkiefer", + "url": "https://github.com/fredkiefer" }, { - "avatar": "https://avatars.githubusercontent.com/u/1989385?s=40&v=4", - "name": "antrix1989", - "url": "https://github.com/antrix1989" + "avatar": "https://avatars.githubusercontent.com/u/404454?s=40&v=4", + "name": "davidchisnall", + "url": "https://github.com/davidchisnall" }, { - "avatar": "https://avatars.githubusercontent.com/u/6675470?s=40&v=4", - "name": "ameyapat", - "url": "https://github.com/ameyapat" + "avatar": "https://avatars.githubusercontent.com/u/6037?s=40&v=4", + "name": "triplef", + "url": "https://github.com/triplef" } ] }, { - "title": "facebookarchive/KVOController", - "url": "https://github.com/facebookarchive/KVOController", - "description": "Simple, modern, thread-safe key-value observing for iOS and OS X.", + "title": "nicklockwood/GZIP", + "url": "https://github.com/nicklockwood/GZIP", + "description": "A simple NSData category for gzipping/unzipping data in iOS and Mac OS", "language": "Objective-C", "languageColor": "#438eff", - "stars": "7,344", - "forks": "923", + "stars": "985", + "forks": "167", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/606991?s=40&v=4", - "name": "nlutsenko", - "url": "https://github.com/nlutsenko" + "avatar": "https://avatars.githubusercontent.com/u/546885?s=40&v=4", + "name": "nicklockwood", + "url": "https://github.com/nicklockwood" }, { - "avatar": "https://avatars.githubusercontent.com/u/164821?s=40&v=4", - "name": "kimon", - "url": "https://github.com/kimon" + "avatar": "https://avatars.githubusercontent.com/u/1151567?s=40&v=4", + "name": "barksten", + "url": "https://github.com/barksten" }, { - "avatar": "https://avatars.githubusercontent.com/u/163390?s=40&v=4", - "name": "grp", - "url": "https://github.com/grp" + "avatar": "https://avatars.githubusercontent.com/u/10311?s=40&v=4", + "name": "jparise", + "url": "https://github.com/jparise" }, { - "avatar": "https://avatars.githubusercontent.com/u/552921?s=40&v=4", - "name": "modocache", - "url": "https://github.com/modocache" + "avatar": "https://avatars.githubusercontent.com/u/97080?s=40&v=4", + "name": "edelabar", + "url": "https://github.com/edelabar" }, { - "avatar": "https://avatars.githubusercontent.com/u/55424?s=40&v=4", - "name": "seivan", - "url": "https://github.com/seivan" + "avatar": "https://avatars.githubusercontent.com/u/475786?s=40&v=4", + "name": "y8k", + "url": "https://github.com/y8k" } ] } diff --git a/data/daily/objective-c.xml b/data/daily/objective-c.xml index 5ca4ec9b36a3..49d4b7b76542 100644 --- a/data/daily/objective-c.xml +++ b/data/daily/objective-c.xml @@ -3,121 +3,7 @@ GitHub Objective-c Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Objective-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - FLEXTool/FLEX - https://github.com/FLEXTool/FLEX - An in-app debugging and exploration tool for iOS - https://github.com/FLEXTool/FLEX - Objective-C - #438eff - 14,096 - 1,700 - 0 - - - https://avatars.githubusercontent.com/u/8371943?s=40&v=4 - NSExceptional - https://github.com/NSExceptional - - - https://avatars.githubusercontent.com/u/1422245?s=40&v=4 - ryanolsonk - https://github.com/ryanolsonk - - - https://avatars.githubusercontent.com/u/627231?s=40&v=4 - matrush - https://github.com/matrush - - - https://avatars.githubusercontent.com/u/666807?s=40&v=4 - JaviSoto - https://github.com/JaviSoto - - - https://avatars.githubusercontent.com/u/429119?s=40&v=4 - TimOliver - https://github.com/TimOliver - - - - - benbaker76/Hackintool - https://github.com/benbaker76/Hackintool - The Swiss army knife of vanilla Hackintoshing - https://github.com/benbaker76/Hackintool - Objective-C - #438eff - 3,135 - 244 - 0 - - - https://avatars.githubusercontent.com/u/1698401?s=40&v=4 - benbaker76 - https://github.com/benbaker76 - - - https://avatars.githubusercontent.com/u/45396585?s=40&v=4 - williambj1 - https://github.com/williambj1 - - - https://avatars.githubusercontent.com/u/59058746?s=40&v=4 - Baio1977 - https://github.com/Baio1977 - - - https://avatars.githubusercontent.com/u/50421769?s=40&v=4 - ZISQO - https://github.com/ZISQO - - - https://avatars.githubusercontent.com/u/64613690?s=40&v=4 - Lorys89 - https://github.com/Lorys89 - - - - - gnachman/iTerm2 - https://github.com/gnachman/iTerm2 - iTerm2 is a terminal emulator for Mac OS X that does amazing things. - https://github.com/gnachman/iTerm2 - Objective-C - #438eff - 15,397 - 1,201 - 2 - - - https://avatars.githubusercontent.com/u/427546?s=40&v=4 - gnachman - https://github.com/gnachman - - - https://avatars.githubusercontent.com/u/1162739?s=40&v=4 - saitoha - https://github.com/saitoha - - - https://avatars.githubusercontent.com/u/2661?s=40&v=4 - chendo - https://github.com/chendo - - - https://avatars.githubusercontent.com/u/176815?s=40&v=4 - wavebeem - https://github.com/wavebeem - - - https://avatars.githubusercontent.com/u/3074665?s=40&v=4 - choppsv1 - https://github.com/choppsv1 - - - + Mon, 25 Nov 2024 13:30:36 GMT opa334/TrollStore https://github.com/opa334/TrollStore @@ -125,7 +11,7 @@ https://github.com/opa334/TrollStore Objective-C #438eff - 18,513 + 18,514 1,126 8 @@ -157,25 +43,78 @@ - dosdude1/discord-lite - https://github.com/dosdude1/discord-lite - An ultra-lightweight native Discord client for vintage and modern Mac OS - https://github.com/dosdude1/discord-lite + Hammerspoon/hammerspoon + https://github.com/Hammerspoon/hammerspoon + Staggeringly powerful macOS desktop automation with Lua + https://github.com/Hammerspoon/hammerspoon Objective-C #438eff - 452 - 9 + 12,141 + 587 8 - https://avatars.githubusercontent.com/u/23435713?s=40&v=4 - dosdude1 - https://github.com/dosdude1 + https://avatars.githubusercontent.com/u/353427?s=40&v=4 + cmsj + https://github.com/cmsj - https://avatars.githubusercontent.com/u/719492?s=40&v=4 - MaddTheSane - https://github.com/MaddTheSane + https://avatars.githubusercontent.com/u/8139480?s=40&v=4 + asmagill + https://github.com/asmagill + + + https://avatars.githubusercontent.com/u/22286696?s=40&v=4 + latenitefilms + https://github.com/latenitefilms + + + https://avatars.githubusercontent.com/u/2119212?s=40&v=4 + jsoref + https://github.com/jsoref + + + https://avatars.githubusercontent.com/u/6718755?s=40&v=4 + lowne + https://github.com/lowne + + + + + AliSoftware/OHHTTPStubs + https://github.com/AliSoftware/OHHTTPStubs + Stub your network requests easily! Test your apps with fake network data and custom response time, response code and headers! + https://github.com/AliSoftware/OHHTTPStubs + Objective-C + #438eff + 5,041 + 602 + 1 + + + https://avatars.githubusercontent.com/u/216089?s=40&v=4 + AliSoftware + https://github.com/AliSoftware + + + https://avatars.githubusercontent.com/u/1395710?s=40&v=4 + corinnekrych + https://github.com/corinnekrych + + + https://avatars.githubusercontent.com/u/1274145?s=40&v=4 + MaxGabriel + https://github.com/MaxGabriel + + + https://avatars.githubusercontent.com/u/2142301?s=40&v=4 + jeffctown + https://github.com/jeffctown + + + https://avatars.githubusercontent.com/u/3178791?s=40&v=4 + JinlianWang + https://github.com/JinlianWang @@ -186,7 +125,7 @@ https://github.com/PojavLauncherTeam/PojavLauncher_iOS Objective-C #438eff - 2,360 + 2,362 508 1 @@ -218,263 +157,296 @@ - roothide/Bootstrap - https://github.com/roothide/Bootstrap - A full featured bootstrap for iOS 15.0-17.0 A8-A17Pro & M1+M2 using roothide - https://github.com/roothide/Bootstrap + AzureAD/microsoft-authentication-library-for-objc + https://github.com/AzureAD/microsoft-authentication-library-for-objc + Microsoft Authentication Library (MSAL) for iOS and macOS + https://github.com/AzureAD/microsoft-authentication-library-for-objc Objective-C #438eff - 1,254 - 788 + 265 + 142 0 - https://avatars.githubusercontent.com/u/95427722?s=40&v=4 - imnltsa - https://github.com/imnltsa + https://avatars.githubusercontent.com/u/26911890?s=40&v=4 + oldalton + https://github.com/oldalton - https://avatars.githubusercontent.com/u/158083651?s=40&v=4 - roothider - https://github.com/roothider + https://avatars.githubusercontent.com/u/19417198?s=40&v=4 + rohitnarula7176 + https://github.com/rohitnarula7176 + + + https://avatars.githubusercontent.com/u/2293176?s=40&v=4 + unpluggedk + https://github.com/unpluggedk - https://avatars.githubusercontent.com/u/1563800?s=40&v=4 - iodes - https://github.com/iodes + https://avatars.githubusercontent.com/u/1989385?s=40&v=4 + antrix1989 + https://github.com/antrix1989 - https://avatars.githubusercontent.com/u/34976610?s=40&v=4 - SK-sep - https://github.com/SK-sep + https://avatars.githubusercontent.com/u/6675470?s=40&v=4 + ameyapat + https://github.com/ameyapat - MortimerGoro/MGSwipeTableCell - https://github.com/MortimerGoro/MGSwipeTableCell - An easy to use UITableViewCell subclass that allows to display swippable buttons with a variety of transitions. - https://github.com/MortimerGoro/MGSwipeTableCell + jspahrsummers/libextobjc + https://github.com/jspahrsummers/libextobjc + A Cocoa library to extend the Objective-C programming language. + https://github.com/jspahrsummers/libextobjc Objective-C #438eff - 6,964 - 1,076 + 4,525 + 462 0 - https://avatars.githubusercontent.com/u/1070794?s=40&v=4 - MortimerGoro - https://github.com/MortimerGoro + https://avatars.githubusercontent.com/u/432536?s=40&v=4 + jspahrsummers + https://github.com/jspahrsummers - https://avatars.githubusercontent.com/u/260871?s=40&v=4 - apocolipse - https://github.com/apocolipse + https://avatars.githubusercontent.com/u/128474?s=40&v=4 + K-Be + https://github.com/K-Be - https://avatars.githubusercontent.com/u/5673994?s=40&v=4 - woxtu - https://github.com/woxtu + https://avatars.githubusercontent.com/u/61170?s=40&v=4 + diederich + https://github.com/diederich - https://avatars.githubusercontent.com/u/7852954?s=40&v=4 - frankfle - https://github.com/frankfle + https://avatars.githubusercontent.com/u/702124?s=40&v=4 + k06a + https://github.com/k06a - https://avatars.githubusercontent.com/u/4190298?s=40&v=4 - BasThomas - https://github.com/BasThomas + https://avatars.githubusercontent.com/u/13760?s=40&v=4 + joshaber + https://github.com/joshaber - bugsnag/bugsnag-cocoa - https://github.com/bugsnag/bugsnag-cocoa - BugSnag error monitoring & exception reporter for iOS, macOS, tvOS and watchOS - https://github.com/bugsnag/bugsnag-cocoa + naver/naveridlogin-sdk-ios + https://github.com/naver/naveridlogin-sdk-ios + + https://github.com/naver/naveridlogin-sdk-ios Objective-C #438eff - 239 - 129 - 2 + 59 + 41 + 0 - https://avatars.githubusercontent.com/u/61777?s=40&v=4 - nickdowell - https://github.com/nickdowell + https://avatars.githubusercontent.com/u/30257774?s=40&v=4 + HanBin-Kim + https://github.com/HanBin-Kim - https://avatars.githubusercontent.com/u/11800640?s=40&v=4 - fractalwrench - https://github.com/fractalwrench + https://avatars.githubusercontent.com/u/57055565?s=40&v=4 + topkim993 + https://github.com/topkim993 - https://avatars.githubusercontent.com/u/333454?s=40&v=4 - kattrali - https://github.com/kattrali + https://avatars.githubusercontent.com/u/25652490?s=40&v=4 + reflect87 + https://github.com/reflect87 + + + + + aws-amplify/aws-sdk-ios + https://github.com/aws-amplify/aws-sdk-ios + AWS SDK for iOS. For more information, see our web site: + https://github.com/aws-amplify/aws-sdk-ios + Objective-C + #438eff + 1,681 + 885 + 0 + + + https://avatars.githubusercontent.com/u/46607340?s=40&v=4 + awsmobilesdk + https://github.com/awsmobilesdk + + + https://avatars.githubusercontent.com/u/227982?s=40&v=4 + palpatim + https://github.com/palpatim - https://avatars.githubusercontent.com/u/5239394?s=40&v=4 - twometresteve - https://github.com/twometresteve + https://avatars.githubusercontent.com/u/51138777?s=40&v=4 + royjit + https://github.com/royjit - https://avatars.githubusercontent.com/u/245857?s=40&v=4 - kstenerud - https://github.com/kstenerud + https://avatars.githubusercontent.com/u/1365977?s=40&v=4 + lawmicha + https://github.com/lawmicha + + + https://avatars.githubusercontent.com/u/6162866?s=40&v=4 + harsh62 + https://github.com/harsh62 - muxinc/mux-stats-sdk-avplayer - https://github.com/muxinc/mux-stats-sdk-avplayer - Mux integration with `AVPlayer` for iOS Native Applications - https://github.com/muxinc/mux-stats-sdk-avplayer + rentzsch/jrswizzle + https://github.com/rentzsch/jrswizzle + one-stop-shop for all your method swizzling needs + https://github.com/rentzsch/jrswizzle Objective-C #438eff - 45 - 21 + 2,660 + 417 0 - https://avatars.githubusercontent.com/u/764988?s=40&v=4 - dylanjha - https://github.com/dylanjha + https://avatars.githubusercontent.com/u/2971?s=40&v=4 + rentzsch + https://github.com/rentzsch - https://avatars.githubusercontent.com/u/37314602?s=40&v=4 - wtao-mux - https://github.com/wtao-mux + https://avatars.githubusercontent.com/u/179378?s=40&v=4 + nickbit + https://github.com/nickbit - https://avatars.githubusercontent.com/u/1444681?s=40&v=4 - nidhik - https://github.com/nidhik + https://avatars.githubusercontent.com/u/582140?s=40&v=4 + outis + https://github.com/outis - https://avatars.githubusercontent.com/u/319221?s=40&v=4 - daytime-em - https://github.com/daytime-em + https://avatars.githubusercontent.com/u/34759?s=40&v=4 + JensAyton + https://github.com/JensAyton - https://avatars.githubusercontent.com/u/102617203?s=40&v=4 - andrewjl-mux - https://github.com/andrewjl-mux + https://avatars.githubusercontent.com/u/443680?s=40&v=4 + TonSilver + https://github.com/TonSilver - JonasGessner/JGProgressHUD - https://github.com/JonasGessner/JGProgressHUD - An elegant and simple progress HUD for iOS and tvOS, compatible with Swift and ObjC. - https://github.com/JonasGessner/JGProgressHUD + soffes/SAMKeychain + https://github.com/soffes/SAMKeychain + Simple Objective-C wrapper for the keychain that works on Mac and iOS + https://github.com/soffes/SAMKeychain Objective-C #438eff - 3,351 - 336 - 1 + 5,400 + 956 + 0 - https://avatars.githubusercontent.com/u/1156152?s=40&v=4 - JonasGessner - https://github.com/JonasGessner + https://avatars.githubusercontent.com/u/52870?s=40&v=4 + soffes + https://github.com/soffes - https://avatars.githubusercontent.com/u/724189?s=40&v=4 - mjwybrow - https://github.com/mjwybrow + https://avatars.githubusercontent.com/u/1761121?s=40&v=4 + calebd + https://github.com/calebd - https://avatars.githubusercontent.com/u/249814?s=40&v=4 - mman - https://github.com/mman + https://avatars.githubusercontent.com/u/898625?s=40&v=4 + kolyuchiy + https://github.com/kolyuchiy - https://avatars.githubusercontent.com/u/3419766?s=40&v=4 - colemancda - https://github.com/colemancda + https://avatars.githubusercontent.com/u/86447?s=40&v=4 + blommegard + https://github.com/blommegard - https://avatars.githubusercontent.com/u/6942160?s=40&v=4 - Jeehut - https://github.com/Jeehut + https://avatars.githubusercontent.com/u/92332?s=40&v=4 + hashier + https://github.com/hashier - AzureAD/microsoft-authentication-library-for-objc - https://github.com/AzureAD/microsoft-authentication-library-for-objc - Microsoft Authentication Library (MSAL) for iOS and macOS - https://github.com/AzureAD/microsoft-authentication-library-for-objc + gnustep/libs-base + https://github.com/gnustep/libs-base + The GNUstep Base Library is a library of general-purpose, non-graphical Objective C objects. + https://github.com/gnustep/libs-base Objective-C #438eff - 265 - 141 + 939 + 283 0 - https://avatars.githubusercontent.com/u/26911890?s=40&v=4 - oldalton - https://github.com/oldalton + https://avatars.githubusercontent.com/u/4929621?s=40&v=4 + rfm + https://github.com/rfm - https://avatars.githubusercontent.com/u/19417198?s=40&v=4 - rohitnarula7176 - https://github.com/rohitnarula7176 + https://avatars.githubusercontent.com/u/27943?s=40&v=4 + gcasa + https://github.com/gcasa - https://avatars.githubusercontent.com/u/2293176?s=40&v=4 - unpluggedk - https://github.com/unpluggedk + https://avatars.githubusercontent.com/u/29153312?s=40&v=4 + fredkiefer + https://github.com/fredkiefer - https://avatars.githubusercontent.com/u/1989385?s=40&v=4 - antrix1989 - https://github.com/antrix1989 + https://avatars.githubusercontent.com/u/404454?s=40&v=4 + davidchisnall + https://github.com/davidchisnall - https://avatars.githubusercontent.com/u/6675470?s=40&v=4 - ameyapat - https://github.com/ameyapat + https://avatars.githubusercontent.com/u/6037?s=40&v=4 + triplef + https://github.com/triplef - facebookarchive/KVOController - https://github.com/facebookarchive/KVOController - Simple, modern, thread-safe key-value observing for iOS and OS X. - https://github.com/facebookarchive/KVOController + nicklockwood/GZIP + https://github.com/nicklockwood/GZIP + A simple NSData category for gzipping/unzipping data in iOS and Mac OS + https://github.com/nicklockwood/GZIP Objective-C #438eff - 7,344 - 923 + 985 + 167 0 - https://avatars.githubusercontent.com/u/606991?s=40&v=4 - nlutsenko - https://github.com/nlutsenko + https://avatars.githubusercontent.com/u/546885?s=40&v=4 + nicklockwood + https://github.com/nicklockwood - https://avatars.githubusercontent.com/u/164821?s=40&v=4 - kimon - https://github.com/kimon + https://avatars.githubusercontent.com/u/1151567?s=40&v=4 + barksten + https://github.com/barksten - https://avatars.githubusercontent.com/u/163390?s=40&v=4 - grp - https://github.com/grp + https://avatars.githubusercontent.com/u/10311?s=40&v=4 + jparise + https://github.com/jparise - https://avatars.githubusercontent.com/u/552921?s=40&v=4 - modocache - https://github.com/modocache + https://avatars.githubusercontent.com/u/97080?s=40&v=4 + edelabar + https://github.com/edelabar - https://avatars.githubusercontent.com/u/55424?s=40&v=4 - seivan - https://github.com/seivan + https://avatars.githubusercontent.com/u/475786?s=40&v=4 + y8k + https://github.com/y8k diff --git a/data/daily/objective-j.json b/data/daily/objective-j.json index b5d64ba6461f..8ea4a0a735c8 100644 --- a/data/daily/objective-j.json +++ b/data/daily/objective-j.json @@ -2,6 +2,6 @@ "title": "GitHub Objective-j Languages Daily Trending", "description": "Daily Trending of Objective-j Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/objective-j.xml b/data/daily/objective-j.xml index 82748a0708d9..5e7695905d79 100644 --- a/data/daily/objective-j.xml +++ b/data/daily/objective-j.xml @@ -3,6 +3,6 @@ GitHub Objective-j Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Objective-j Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/objectscript.json b/data/daily/objectscript.json index e7f4c3ba46c8..751610b5b2c0 100644 --- a/data/daily/objectscript.json +++ b/data/daily/objectscript.json @@ -2,6 +2,6 @@ "title": "GitHub Objectscript Languages Daily Trending", "description": "Daily Trending of Objectscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/objectscript.xml b/data/daily/objectscript.xml index 9fa4d9ebe7f1..ebcae5e8916f 100644 --- a/data/daily/objectscript.xml +++ b/data/daily/objectscript.xml @@ -3,6 +3,6 @@ GitHub Objectscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Objectscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ocaml.json b/data/daily/ocaml.json index efc04b7de195..170582d463f1 100644 --- a/data/daily/ocaml.json +++ b/data/daily/ocaml.json @@ -2,259 +2,222 @@ "title": "GitHub Ocaml Languages Daily Trending", "description": "Daily Trending of Ocaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "coq/coq", - "url": "https://github.com/coq/coq", - "description": "Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs.", - "language": "OCaml", - "languageColor": "#ef7a08", - "stars": "4,869", - "forks": "654", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1202327?s=40&v=4", - "name": "ppedrot", - "url": "https://github.com/ppedrot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2461932?s=40&v=4", - "name": "SkySkimmer", - "url": "https://github.com/SkySkimmer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/460771?s=40&v=4", - "name": "herbelin", - "url": "https://github.com/herbelin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/647105?s=40&v=4", - "name": "maximedenes", - "url": "https://github.com/maximedenes" - } - ] - }, - { - "title": "facebook/infer", - "url": "https://github.com/facebook/infer", - "description": "A static analyzer for Java, C, C++, and Objective-C", + "title": "ocaml/ocaml", + "url": "https://github.com/ocaml/ocaml", + "description": "The core OCaml system: compilers, runtime system, base libraries", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "14,995", - "forks": "2,016", + "stars": "5,505", + "forks": "1,108", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1083541?s=40&v=4", - "name": "jvillard", - "url": "https://github.com/jvillard" + "avatar": "https://avatars.githubusercontent.com/u/3845810?s=40&v=4", + "name": "xavierleroy", + "url": "https://github.com/xavierleroy" }, { - "avatar": "https://avatars.githubusercontent.com/u/1466830?s=40&v=4", - "name": "jberdine", - "url": "https://github.com/jberdine" + "avatar": "https://avatars.githubusercontent.com/u/426238?s=40&v=4", + "name": "gasche", + "url": "https://github.com/gasche" }, { - "avatar": "https://avatars.githubusercontent.com/u/1977549?s=40&v=4", - "name": "skcho", - "url": "https://github.com/skcho" + "avatar": "https://avatars.githubusercontent.com/u/5250680?s=40&v=4", + "name": "dra27", + "url": "https://github.com/dra27" }, { - "avatar": "https://avatars.githubusercontent.com/u/7913252?s=40&v=4", - "name": "dulmarod", - "url": "https://github.com/dulmarod" + "avatar": "https://avatars.githubusercontent.com/u/3305274?s=40&v=4", + "name": "alainfrisch", + "url": "https://github.com/alainfrisch" }, { - "avatar": "https://avatars.githubusercontent.com/u/3513142?s=40&v=4", - "name": "sblackshear", - "url": "https://github.com/sblackshear" + "avatar": "https://avatars.githubusercontent.com/u/5877758?s=40&v=4", + "name": "shindere", + "url": "https://github.com/shindere" } ] }, { - "title": "savonet/liquidsoap", - "url": "https://github.com/savonet/liquidsoap", - "description": "Liquidsoap is a statically typed scripting general-purpose language with dedicated operators and backend for all thing media, streaming, file generation, automation, HTTP backend and more.", + "title": "semgrep/semgrep", + "url": "https://github.com/semgrep/semgrep", + "description": "Lightweight static analysis for many languages. Find bug variants with patterns that look like source code.", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "1,437", - "forks": "130", - "addStars": "1", + "stars": "10,695", + "forks": "627", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/871060?s=40&v=4", - "name": "toots", - "url": "https://github.com/toots" + "avatar": "https://avatars.githubusercontent.com/u/332336?s=40&v=4", + "name": "aryx", + "url": "https://github.com/aryx" }, { - "avatar": "https://avatars.githubusercontent.com/u/2012073?s=40&v=4", - "name": "smimram", - "url": "https://github.com/smimram" + "avatar": "https://avatars.githubusercontent.com/u/6498855?s=40&v=4", + "name": "brendongo", + "url": "https://github.com/brendongo" }, { - "avatar": "https://avatars.githubusercontent.com/u/2473063?s=40&v=4", - "name": "dbaelde", - "url": "https://github.com/dbaelde" + "avatar": "https://avatars.githubusercontent.com/u/343265?s=40&v=4", + "name": "mjambon", + "url": "https://github.com/mjambon" }, { - "avatar": "https://avatars.githubusercontent.com/u/35035879?s=40&v=4", - "name": "vitoyucepi", - "url": "https://github.com/vitoyucepi" + "avatar": "https://avatars.githubusercontent.com/u/5313615?s=40&v=4", + "name": "IagoAbal", + "url": "https://github.com/IagoAbal" }, { - "avatar": "https://avatars.githubusercontent.com/u/324033?s=40&v=4", - "name": "martinkirch", - "url": "https://github.com/martinkirch" + "avatar": "https://avatars.githubusercontent.com/u/5942585?s=40&v=4", + "name": "emjin", + "url": "https://github.com/emjin" } ] }, { - "title": "AeneasVerif/aeneas", - "url": "https://github.com/AeneasVerif/aeneas", - "description": "A verification toolchain for Rust programs", + "title": "semgrep/ocaml-tree-sitter-core", + "url": "https://github.com/semgrep/ocaml-tree-sitter-core", + "description": "OCaml code generator and runtime library for handling typed tree-sitter CSTs", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "210", - "forks": "15", - "addStars": "1", + "stars": "22", + "forks": "2", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/14029289?s=40&v=4", - "name": "sonmarcho", - "url": "https://github.com/sonmarcho" + "avatar": "https://avatars.githubusercontent.com/u/343265?s=40&v=4", + "name": "mjambon", + "url": "https://github.com/mjambon" }, { - "avatar": "https://avatars.githubusercontent.com/u/6783654?s=40&v=4", - "name": "Nadrieril", - "url": "https://github.com/Nadrieril" + "avatar": "https://avatars.githubusercontent.com/u/332336?s=40&v=4", + "name": "aryx", + "url": "https://github.com/aryx" }, { - "avatar": "https://avatars.githubusercontent.com/u/6841566?s=40&v=4", - "name": "R1kM", - "url": "https://github.com/R1kM" + "avatar": "https://avatars.githubusercontent.com/u/23181670?s=40&v=4", + "name": "colleend", + "url": "https://github.com/colleend" }, { - "avatar": "https://avatars.githubusercontent.com/u/10673049?s=40&v=4", - "name": "msprotz", - "url": "https://github.com/msprotz" + "avatar": "https://avatars.githubusercontent.com/u/6700637?s=40&v=4", + "name": "Ruin0x11", + "url": "https://github.com/Ruin0x11" }, { - "avatar": "https://avatars.githubusercontent.com/u/162574063?s=40&v=4", - "name": "EschericHya", - "url": "https://github.com/EschericHya" + "avatar": "https://avatars.githubusercontent.com/u/51955049?s=40&v=4", + "name": "joseemds", + "url": "https://github.com/joseemds" } ] }, { - "title": "ocaml/ocaml", - "url": "https://github.com/ocaml/ocaml", - "description": "The core OCaml system: compilers, runtime system, base libraries", + "title": "coq/coq", + "url": "https://github.com/coq/coq", + "description": "Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs.", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "5,505", - "forks": "1,108", - "addStars": "0", + "stars": "4,870", + "forks": "655", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3845810?s=40&v=4", - "name": "xavierleroy", - "url": "https://github.com/xavierleroy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/426238?s=40&v=4", - "name": "gasche", - "url": "https://github.com/gasche" + "avatar": "https://avatars.githubusercontent.com/u/1202327?s=40&v=4", + "name": "ppedrot", + "url": "https://github.com/ppedrot" }, { - "avatar": "https://avatars.githubusercontent.com/u/5250680?s=40&v=4", - "name": "dra27", - "url": "https://github.com/dra27" + "avatar": "https://avatars.githubusercontent.com/u/2461932?s=40&v=4", + "name": "SkySkimmer", + "url": "https://github.com/SkySkimmer" }, { - "avatar": "https://avatars.githubusercontent.com/u/3305274?s=40&v=4", - "name": "alainfrisch", - "url": "https://github.com/alainfrisch" + "avatar": "https://avatars.githubusercontent.com/u/460771?s=40&v=4", + "name": "herbelin", + "url": "https://github.com/herbelin" }, { - "avatar": "https://avatars.githubusercontent.com/u/5877758?s=40&v=4", - "name": "shindere", - "url": "https://github.com/shindere" + "avatar": "https://avatars.githubusercontent.com/u/647105?s=40&v=4", + "name": "maximedenes", + "url": "https://github.com/maximedenes" } ] }, { - "title": "semgrep/ocaml-tree-sitter-core", - "url": "https://github.com/semgrep/ocaml-tree-sitter-core", - "description": "OCaml code generator and runtime library for handling typed tree-sitter CSTs", + "title": "facebook/pyre-check", + "url": "https://github.com/facebook/pyre-check", + "description": "Performant type-checking for python.", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "22", - "forks": "2", - "addStars": "0", + "stars": "6,864", + "forks": "437", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/343265?s=40&v=4", - "name": "mjambon", - "url": "https://github.com/mjambon" + "avatar": "https://avatars.githubusercontent.com/u/3300714?s=40&v=4", + "name": "grievejia", + "url": "https://github.com/grievejia" }, { - "avatar": "https://avatars.githubusercontent.com/u/332336?s=40&v=4", - "name": "aryx", - "url": "https://github.com/aryx" + "avatar": "https://avatars.githubusercontent.com/u/966970?s=40&v=4", + "name": "stroxler", + "url": "https://github.com/stroxler" }, { - "avatar": "https://avatars.githubusercontent.com/u/23181670?s=40&v=4", - "name": "colleend", - "url": "https://github.com/colleend" + "avatar": "https://avatars.githubusercontent.com/u/5104539?s=40&v=4", + "name": "sinancepel", + "url": "https://github.com/sinancepel" }, { - "avatar": "https://avatars.githubusercontent.com/u/6700637?s=40&v=4", - "name": "Ruin0x11", - "url": "https://github.com/Ruin0x11" + "avatar": "https://avatars.githubusercontent.com/u/6366891?s=40&v=4", + "name": "shannonzhu", + "url": "https://github.com/shannonzhu" }, { - "avatar": "https://avatars.githubusercontent.com/u/51955049?s=40&v=4", - "name": "joseemds", - "url": "https://github.com/joseemds" + "avatar": "https://avatars.githubusercontent.com/u/6422482?s=40&v=4", + "name": "facebook-github-bot", + "url": "https://github.com/facebook-github-bot" } ] }, { - "title": "MinaProtocol/mina", - "url": "https://github.com/MinaProtocol/mina", - "description": "Mina is a cryptocurrency protocol with a constant size blockchain, improving scaling while maintaining decentralization and security.", + "title": "facebook/infer", + "url": "https://github.com/facebook/infer", + "description": "A static analyzer for Java, C, C++, and Objective-C", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "1,996", - "forks": "529", - "addStars": "1", + "stars": "14,996", + "forks": "2,016", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1847343?s=40&v=4", - "name": "mrmr1993", - "url": "https://github.com/mrmr1993" + "avatar": "https://avatars.githubusercontent.com/u/1083541?s=40&v=4", + "name": "jvillard", + "url": "https://github.com/jvillard" }, { - "avatar": "https://avatars.githubusercontent.com/u/2244648?s=40&v=4", - "name": "psteckler", - "url": "https://github.com/psteckler" + "avatar": "https://avatars.githubusercontent.com/u/1466830?s=40&v=4", + "name": "jberdine", + "url": "https://github.com/jberdine" }, { - "avatar": "https://avatars.githubusercontent.com/u/20424186?s=40&v=4", - "name": "dkijania", - "url": "https://github.com/dkijania" + "avatar": "https://avatars.githubusercontent.com/u/1977549?s=40&v=4", + "name": "skcho", + "url": "https://github.com/skcho" }, { - "avatar": "https://avatars.githubusercontent.com/u/5480925?s=40&v=4", - "name": "lk86", - "url": "https://github.com/lk86" + "avatar": "https://avatars.githubusercontent.com/u/7913252?s=40&v=4", + "name": "dulmarod", + "url": "https://github.com/dulmarod" }, { - "avatar": "https://avatars.githubusercontent.com/u/15304983?s=40&v=4", - "name": "deepthiskumar", - "url": "https://github.com/deepthiskumar" + "avatar": "https://avatars.githubusercontent.com/u/3513142?s=40&v=4", + "name": "sblackshear", + "url": "https://github.com/sblackshear" } ] }, @@ -264,7 +227,7 @@ "description": "FUSE filesystem over Google Drive", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "5,589", + "stars": "5,590", "forks": "354", "addStars": "1", "contributors": [ @@ -296,39 +259,39 @@ ] }, { - "title": "facebook/flow", - "url": "https://github.com/facebook/flow", - "description": "Adds static typing to JavaScript to improve developer productivity and code quality.", + "title": "MinaProtocol/mina", + "url": "https://github.com/MinaProtocol/mina", + "description": "Mina is a cryptocurrency protocol with a constant size blockchain, improving scaling while maintaining decentralization and security.", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "22,097", - "forks": "1,860", - "addStars": "0", + "stars": "1,997", + "forks": "529", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3012?s=40&v=4", - "name": "mroch", - "url": "https://github.com/mroch" + "avatar": "https://avatars.githubusercontent.com/u/1847343?s=40&v=4", + "name": "mrmr1993", + "url": "https://github.com/mrmr1993" }, { - "avatar": "https://avatars.githubusercontent.com/u/4290500?s=40&v=4", - "name": "SamChou19815", - "url": "https://github.com/SamChou19815" + "avatar": "https://avatars.githubusercontent.com/u/2244648?s=40&v=4", + "name": "psteckler", + "url": "https://github.com/psteckler" }, { - "avatar": "https://avatars.githubusercontent.com/u/254842?s=40&v=4", - "name": "samwgoldman", - "url": "https://github.com/samwgoldman" + "avatar": "https://avatars.githubusercontent.com/u/20424186?s=40&v=4", + "name": "dkijania", + "url": "https://github.com/dkijania" }, { - "avatar": "https://avatars.githubusercontent.com/u/1099437?s=40&v=4", - "name": "panagosg7", - "url": "https://github.com/panagosg7" + "avatar": "https://avatars.githubusercontent.com/u/5480925?s=40&v=4", + "name": "lk86", + "url": "https://github.com/lk86" }, { - "avatar": "https://avatars.githubusercontent.com/u/1887264?s=40&v=4", - "name": "gabelevi", - "url": "https://github.com/gabelevi" + "avatar": "https://avatars.githubusercontent.com/u/15304983?s=40&v=4", + "name": "deepthiskumar", + "url": "https://github.com/deepthiskumar" } ] }, diff --git a/data/daily/ocaml.xml b/data/daily/ocaml.xml index 15897017c81f..75831faf0e2a 100644 --- a/data/daily/ocaml.xml +++ b/data/daily/ocaml.xml @@ -3,265 +3,227 @@ GitHub Ocaml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ocaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - coq/coq - https://github.com/coq/coq - Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs. - https://github.com/coq/coq - OCaml - #ef7a08 - 4,869 - 654 - 1 - - - https://avatars.githubusercontent.com/u/1202327?s=40&v=4 - ppedrot - https://github.com/ppedrot - - - https://avatars.githubusercontent.com/u/2461932?s=40&v=4 - SkySkimmer - https://github.com/SkySkimmer - - - https://avatars.githubusercontent.com/u/460771?s=40&v=4 - herbelin - https://github.com/herbelin - - - https://avatars.githubusercontent.com/u/647105?s=40&v=4 - maximedenes - https://github.com/maximedenes - - - - - facebook/infer - https://github.com/facebook/infer - A static analyzer for Java, C, C++, and Objective-C - https://github.com/facebook/infer + ocaml/ocaml + https://github.com/ocaml/ocaml + The core OCaml system: compilers, runtime system, base libraries + https://github.com/ocaml/ocaml OCaml #ef7a08 - 14,995 - 2,016 + 5,505 + 1,108 0 - https://avatars.githubusercontent.com/u/1083541?s=40&v=4 - jvillard - https://github.com/jvillard + https://avatars.githubusercontent.com/u/3845810?s=40&v=4 + xavierleroy + https://github.com/xavierleroy - https://avatars.githubusercontent.com/u/1466830?s=40&v=4 - jberdine - https://github.com/jberdine + https://avatars.githubusercontent.com/u/426238?s=40&v=4 + gasche + https://github.com/gasche - https://avatars.githubusercontent.com/u/1977549?s=40&v=4 - skcho - https://github.com/skcho + https://avatars.githubusercontent.com/u/5250680?s=40&v=4 + dra27 + https://github.com/dra27 - https://avatars.githubusercontent.com/u/7913252?s=40&v=4 - dulmarod - https://github.com/dulmarod + https://avatars.githubusercontent.com/u/3305274?s=40&v=4 + alainfrisch + https://github.com/alainfrisch - https://avatars.githubusercontent.com/u/3513142?s=40&v=4 - sblackshear - https://github.com/sblackshear + https://avatars.githubusercontent.com/u/5877758?s=40&v=4 + shindere + https://github.com/shindere - savonet/liquidsoap - https://github.com/savonet/liquidsoap - Liquidsoap is a statically typed scripting general-purpose language with dedicated operators and backend for all thing media, streaming, file generation, automation, HTTP backend and more. - https://github.com/savonet/liquidsoap + semgrep/semgrep + https://github.com/semgrep/semgrep + Lightweight static analysis for many languages. Find bug variants with patterns that look like source code. + https://github.com/semgrep/semgrep OCaml #ef7a08 - 1,437 - 130 - 1 + 10,695 + 627 + 8 - https://avatars.githubusercontent.com/u/871060?s=40&v=4 - toots - https://github.com/toots + https://avatars.githubusercontent.com/u/332336?s=40&v=4 + aryx + https://github.com/aryx - https://avatars.githubusercontent.com/u/2012073?s=40&v=4 - smimram - https://github.com/smimram + https://avatars.githubusercontent.com/u/6498855?s=40&v=4 + brendongo + https://github.com/brendongo - https://avatars.githubusercontent.com/u/2473063?s=40&v=4 - dbaelde - https://github.com/dbaelde + https://avatars.githubusercontent.com/u/343265?s=40&v=4 + mjambon + https://github.com/mjambon - https://avatars.githubusercontent.com/u/35035879?s=40&v=4 - vitoyucepi - https://github.com/vitoyucepi + https://avatars.githubusercontent.com/u/5313615?s=40&v=4 + IagoAbal + https://github.com/IagoAbal - https://avatars.githubusercontent.com/u/324033?s=40&v=4 - martinkirch - https://github.com/martinkirch + https://avatars.githubusercontent.com/u/5942585?s=40&v=4 + emjin + https://github.com/emjin - AeneasVerif/aeneas - https://github.com/AeneasVerif/aeneas - A verification toolchain for Rust programs - https://github.com/AeneasVerif/aeneas + semgrep/ocaml-tree-sitter-core + https://github.com/semgrep/ocaml-tree-sitter-core + OCaml code generator and runtime library for handling typed tree-sitter CSTs + https://github.com/semgrep/ocaml-tree-sitter-core OCaml #ef7a08 - 210 - 15 - 1 + 22 + 2 + 0 - https://avatars.githubusercontent.com/u/14029289?s=40&v=4 - sonmarcho - https://github.com/sonmarcho + https://avatars.githubusercontent.com/u/343265?s=40&v=4 + mjambon + https://github.com/mjambon - https://avatars.githubusercontent.com/u/6783654?s=40&v=4 - Nadrieril - https://github.com/Nadrieril + https://avatars.githubusercontent.com/u/332336?s=40&v=4 + aryx + https://github.com/aryx - https://avatars.githubusercontent.com/u/6841566?s=40&v=4 - R1kM - https://github.com/R1kM + https://avatars.githubusercontent.com/u/23181670?s=40&v=4 + colleend + https://github.com/colleend - https://avatars.githubusercontent.com/u/10673049?s=40&v=4 - msprotz - https://github.com/msprotz + https://avatars.githubusercontent.com/u/6700637?s=40&v=4 + Ruin0x11 + https://github.com/Ruin0x11 - https://avatars.githubusercontent.com/u/162574063?s=40&v=4 - EschericHya - https://github.com/EschericHya + https://avatars.githubusercontent.com/u/51955049?s=40&v=4 + joseemds + https://github.com/joseemds - ocaml/ocaml - https://github.com/ocaml/ocaml - The core OCaml system: compilers, runtime system, base libraries - https://github.com/ocaml/ocaml + coq/coq + https://github.com/coq/coq + Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs. + https://github.com/coq/coq OCaml #ef7a08 - 5,505 - 1,108 - 0 + 4,870 + 655 + 1 - https://avatars.githubusercontent.com/u/3845810?s=40&v=4 - xavierleroy - https://github.com/xavierleroy - - - https://avatars.githubusercontent.com/u/426238?s=40&v=4 - gasche - https://github.com/gasche + https://avatars.githubusercontent.com/u/1202327?s=40&v=4 + ppedrot + https://github.com/ppedrot - https://avatars.githubusercontent.com/u/5250680?s=40&v=4 - dra27 - https://github.com/dra27 + https://avatars.githubusercontent.com/u/2461932?s=40&v=4 + SkySkimmer + https://github.com/SkySkimmer - https://avatars.githubusercontent.com/u/3305274?s=40&v=4 - alainfrisch - https://github.com/alainfrisch + https://avatars.githubusercontent.com/u/460771?s=40&v=4 + herbelin + https://github.com/herbelin - https://avatars.githubusercontent.com/u/5877758?s=40&v=4 - shindere - https://github.com/shindere + https://avatars.githubusercontent.com/u/647105?s=40&v=4 + maximedenes + https://github.com/maximedenes - semgrep/ocaml-tree-sitter-core - https://github.com/semgrep/ocaml-tree-sitter-core - OCaml code generator and runtime library for handling typed tree-sitter CSTs - https://github.com/semgrep/ocaml-tree-sitter-core + facebook/pyre-check + https://github.com/facebook/pyre-check + Performant type-checking for python. + https://github.com/facebook/pyre-check OCaml #ef7a08 - 22 - 2 - 0 + 6,864 + 437 + 1 - https://avatars.githubusercontent.com/u/343265?s=40&v=4 - mjambon - https://github.com/mjambon + https://avatars.githubusercontent.com/u/3300714?s=40&v=4 + grievejia + https://github.com/grievejia - https://avatars.githubusercontent.com/u/332336?s=40&v=4 - aryx - https://github.com/aryx + https://avatars.githubusercontent.com/u/966970?s=40&v=4 + stroxler + https://github.com/stroxler - https://avatars.githubusercontent.com/u/23181670?s=40&v=4 - colleend - https://github.com/colleend + https://avatars.githubusercontent.com/u/5104539?s=40&v=4 + sinancepel + https://github.com/sinancepel - https://avatars.githubusercontent.com/u/6700637?s=40&v=4 - Ruin0x11 - https://github.com/Ruin0x11 + https://avatars.githubusercontent.com/u/6366891?s=40&v=4 + shannonzhu + https://github.com/shannonzhu - https://avatars.githubusercontent.com/u/51955049?s=40&v=4 - joseemds - https://github.com/joseemds + https://avatars.githubusercontent.com/u/6422482?s=40&v=4 + facebook-github-bot + https://github.com/facebook-github-bot - MinaProtocol/mina - https://github.com/MinaProtocol/mina - Mina is a cryptocurrency protocol with a constant size blockchain, improving scaling while maintaining decentralization and security. - https://github.com/MinaProtocol/mina + facebook/infer + https://github.com/facebook/infer + A static analyzer for Java, C, C++, and Objective-C + https://github.com/facebook/infer OCaml #ef7a08 - 1,996 - 529 - 1 + 14,996 + 2,016 + 0 - https://avatars.githubusercontent.com/u/1847343?s=40&v=4 - mrmr1993 - https://github.com/mrmr1993 + https://avatars.githubusercontent.com/u/1083541?s=40&v=4 + jvillard + https://github.com/jvillard - https://avatars.githubusercontent.com/u/2244648?s=40&v=4 - psteckler - https://github.com/psteckler + https://avatars.githubusercontent.com/u/1466830?s=40&v=4 + jberdine + https://github.com/jberdine - https://avatars.githubusercontent.com/u/20424186?s=40&v=4 - dkijania - https://github.com/dkijania + https://avatars.githubusercontent.com/u/1977549?s=40&v=4 + skcho + https://github.com/skcho - https://avatars.githubusercontent.com/u/5480925?s=40&v=4 - lk86 - https://github.com/lk86 + https://avatars.githubusercontent.com/u/7913252?s=40&v=4 + dulmarod + https://github.com/dulmarod - https://avatars.githubusercontent.com/u/15304983?s=40&v=4 - deepthiskumar - https://github.com/deepthiskumar + https://avatars.githubusercontent.com/u/3513142?s=40&v=4 + sblackshear + https://github.com/sblackshear @@ -272,7 +234,7 @@ https://github.com/astrada/google-drive-ocamlfuse OCaml #ef7a08 - 5,589 + 5,590 354 1 @@ -304,40 +266,40 @@ - facebook/flow - https://github.com/facebook/flow - Adds static typing to JavaScript to improve developer productivity and code quality. - https://github.com/facebook/flow + MinaProtocol/mina + https://github.com/MinaProtocol/mina + Mina is a cryptocurrency protocol with a constant size blockchain, improving scaling while maintaining decentralization and security. + https://github.com/MinaProtocol/mina OCaml #ef7a08 - 22,097 - 1,860 - 0 + 1,997 + 529 + 1 - https://avatars.githubusercontent.com/u/3012?s=40&v=4 - mroch - https://github.com/mroch + https://avatars.githubusercontent.com/u/1847343?s=40&v=4 + mrmr1993 + https://github.com/mrmr1993 - https://avatars.githubusercontent.com/u/4290500?s=40&v=4 - SamChou19815 - https://github.com/SamChou19815 + https://avatars.githubusercontent.com/u/2244648?s=40&v=4 + psteckler + https://github.com/psteckler - https://avatars.githubusercontent.com/u/254842?s=40&v=4 - samwgoldman - https://github.com/samwgoldman + https://avatars.githubusercontent.com/u/20424186?s=40&v=4 + dkijania + https://github.com/dkijania - https://avatars.githubusercontent.com/u/1099437?s=40&v=4 - panagosg7 - https://github.com/panagosg7 + https://avatars.githubusercontent.com/u/5480925?s=40&v=4 + lk86 + https://github.com/lk86 - https://avatars.githubusercontent.com/u/1887264?s=40&v=4 - gabelevi - https://github.com/gabelevi + https://avatars.githubusercontent.com/u/15304983?s=40&v=4 + deepthiskumar + https://github.com/deepthiskumar diff --git a/data/daily/odin.json b/data/daily/odin.json index faeb318f4184..449e04f1b2b4 100644 --- a/data/daily/odin.json +++ b/data/daily/odin.json @@ -2,7 +2,7 @@ "title": "GitHub Odin Languages Daily Trending", "description": "Daily Trending of Odin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "odin-lang/Odin", @@ -11,7 +11,7 @@ "language": "Odin", "languageColor": "#60AFFE", "stars": "7,009", - "forks": "620", + "forks": "621", "addStars": "2", "contributors": [ { @@ -41,43 +41,6 @@ } ] }, - { - "title": "DanielGavin/ols", - "url": "https://github.com/DanielGavin/ols", - "description": "Language server for Odin", - "language": "Odin", - "languageColor": "#60AFFE", - "stars": "481", - "forks": "71", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5999133?s=40&v=4", - "name": "DanielGavin", - "url": "https://github.com/DanielGavin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24491503?s=40&v=4", - "name": "thetarnav", - "url": "https://github.com/thetarnav" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44361234?s=40&v=4", - "name": "ryuukk", - "url": "https://github.com/ryuukk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20369598?s=40&v=4", - "name": "laytan", - "url": "https://github.com/laytan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/64324649?s=40&v=4", - "name": "nico-barbas", - "url": "https://github.com/nico-barbas" - } - ] - }, { "title": "laytan/odin-http", "url": "https://github.com/laytan/odin-http", diff --git a/data/daily/odin.xml b/data/daily/odin.xml index 371ee038bc21..b67372ca3dd1 100644 --- a/data/daily/odin.xml +++ b/data/daily/odin.xml @@ -3,7 +3,7 @@ GitHub Odin Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Odin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT odin-lang/Odin https://github.com/odin-lang/Odin @@ -12,7 +12,7 @@ Odin #60AFFE 7,009 - 620 + 621 2 @@ -42,44 +42,6 @@ - - DanielGavin/ols - https://github.com/DanielGavin/ols - Language server for Odin - https://github.com/DanielGavin/ols - Odin - #60AFFE - 481 - 71 - 0 - - - https://avatars.githubusercontent.com/u/5999133?s=40&v=4 - DanielGavin - https://github.com/DanielGavin - - - https://avatars.githubusercontent.com/u/24491503?s=40&v=4 - thetarnav - https://github.com/thetarnav - - - https://avatars.githubusercontent.com/u/44361234?s=40&v=4 - ryuukk - https://github.com/ryuukk - - - https://avatars.githubusercontent.com/u/20369598?s=40&v=4 - laytan - https://github.com/laytan - - - https://avatars.githubusercontent.com/u/64324649?s=40&v=4 - nico-barbas - https://github.com/nico-barbas - - - laytan/odin-http https://github.com/laytan/odin-http diff --git a/data/daily/omgrofl.json b/data/daily/omgrofl.json index aadcf5f370a2..4840b24b61f8 100644 --- a/data/daily/omgrofl.json +++ b/data/daily/omgrofl.json @@ -2,6 +2,6 @@ "title": "GitHub Omgrofl Languages Daily Trending", "description": "Daily Trending of Omgrofl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/omgrofl.xml b/data/daily/omgrofl.xml index c5dcf47a9c3a..07fe22779787 100644 --- a/data/daily/omgrofl.xml +++ b/data/daily/omgrofl.xml @@ -3,6 +3,6 @@ GitHub Omgrofl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Omgrofl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ooc.json b/data/daily/ooc.json index 3b287f285760..c9a0e02349dd 100644 --- a/data/daily/ooc.json +++ b/data/daily/ooc.json @@ -2,6 +2,6 @@ "title": "GitHub Ooc Languages Daily Trending", "description": "Daily Trending of Ooc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ooc.xml b/data/daily/ooc.xml index edf022a9f762..183e4d5b0711 100644 --- a/data/daily/ooc.xml +++ b/data/daily/ooc.xml @@ -3,6 +3,6 @@ GitHub Ooc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ooc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/opa.json b/data/daily/opa.json index 7a608af22ef7..fc9c9463f884 100644 --- a/data/daily/opa.json +++ b/data/daily/opa.json @@ -2,6 +2,6 @@ "title": "GitHub Opa Languages Daily Trending", "description": "Daily Trending of Opa Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/opa.xml b/data/daily/opa.xml index 91ebe286293d..423541aea1cc 100644 --- a/data/daily/opa.xml +++ b/data/daily/opa.xml @@ -3,6 +3,6 @@ GitHub Opa Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Opa Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/opal.json b/data/daily/opal.json index b79011ddb2ab..4f7ce2615417 100644 --- a/data/daily/opal.json +++ b/data/daily/opal.json @@ -2,6 +2,6 @@ "title": "GitHub Opal Languages Daily Trending", "description": "Daily Trending of Opal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/opal.xml b/data/daily/opal.xml index 00badd1fa306..56c7470d2b67 100644 --- a/data/daily/opal.xml +++ b/data/daily/opal.xml @@ -3,6 +3,6 @@ GitHub Opal Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Opal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/open-policy-agent.json b/data/daily/open-policy-agent.json index 68795fbf69e3..c0347ad7166f 100644 --- a/data/daily/open-policy-agent.json +++ b/data/daily/open-policy-agent.json @@ -2,8 +2,40 @@ "title": "GitHub Open-policy-agent Languages Daily Trending", "description": "Daily Trending of Open-policy-agent Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "kubescape/regolibrary", + "url": "https://github.com/kubescape/regolibrary", + "description": "The regolibrary package contains the controls Kubescape uses for detecting misconfigurations in Kubernetes manifests.", + "language": "Open Policy Agent", + "languageColor": "#7d9199", + "stars": "121", + "forks": "48", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/80635572?s=40&v=4", + "name": "YiscahLevySilas1", + "url": "https://github.com/YiscahLevySilas1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/84905812?s=40&v=4", + "name": "Daniel-GrunbergerCA", + "url": "https://github.com/Daniel-GrunbergerCA" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26850299?s=40&v=4", + "name": "kooomix", + "url": "https://github.com/kooomix" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89763818?s=40&v=4", + "name": "yuleib", + "url": "https://github.com/yuleib" + } + ] + }, { "title": "open-policy-agent/gatekeeper-library", "url": "https://github.com/open-policy-agent/gatekeeper-library", @@ -72,6 +104,38 @@ "url": "https://github.com/jsuereth" } ] + }, + { + "title": "Checkmarx/kics", + "url": "https://github.com/Checkmarx/kics", + "description": "Find security vulnerabilities, compliance issues, and infrastructure misconfigurations early in the development cycle of your infrastructure-as-code with KICS by Checkmarx.", + "language": "Open Policy Agent", + "languageColor": "#7d9199", + "stars": "2,100", + "forks": "314", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/153724638?s=40&v=4", + "name": "ArturRibeiro-CX", + "url": "https://github.com/ArturRibeiro-CX" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/111127232?s=40&v=4", + "name": "gabriel-cx", + "url": "https://github.com/gabriel-cx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/75368139?s=40&v=4", + "name": "rogeriopeixotocx", + "url": "https://github.com/rogeriopeixotocx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74001161?s=40&v=4", + "name": "rafaela-soares", + "url": "https://github.com/rafaela-soares" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/open-policy-agent.xml b/data/daily/open-policy-agent.xml index a8aa5813133d..90be047a5ef5 100644 --- a/data/daily/open-policy-agent.xml +++ b/data/daily/open-policy-agent.xml @@ -3,7 +3,40 @@ GitHub Open-policy-agent Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Open-policy-agent Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + kubescape/regolibrary + https://github.com/kubescape/regolibrary + The regolibrary package contains the controls Kubescape uses for detecting misconfigurations in Kubernetes manifests. + https://github.com/kubescape/regolibrary + Open Policy Agent + #7d9199 + 121 + 48 + 0 + + + https://avatars.githubusercontent.com/u/80635572?s=40&v=4 + YiscahLevySilas1 + https://github.com/YiscahLevySilas1 + + + https://avatars.githubusercontent.com/u/84905812?s=40&v=4 + Daniel-GrunbergerCA + https://github.com/Daniel-GrunbergerCA + + + https://avatars.githubusercontent.com/u/26850299?s=40&v=4 + kooomix + https://github.com/kooomix + + + https://avatars.githubusercontent.com/u/89763818?s=40&v=4 + yuleib + https://github.com/yuleib + + + open-policy-agent/gatekeeper-library https://github.com/open-policy-agent/gatekeeper-library @@ -75,5 +108,38 @@ + + Checkmarx/kics + https://github.com/Checkmarx/kics + Find security vulnerabilities, compliance issues, and infrastructure misconfigurations early in the development cycle of your infrastructure-as-code with KICS by Checkmarx. + https://github.com/Checkmarx/kics + Open Policy Agent + #7d9199 + 2,100 + 314 + 1 + + + https://avatars.githubusercontent.com/u/153724638?s=40&v=4 + ArturRibeiro-CX + https://github.com/ArturRibeiro-CX + + + https://avatars.githubusercontent.com/u/111127232?s=40&v=4 + gabriel-cx + https://github.com/gabriel-cx + + + https://avatars.githubusercontent.com/u/75368139?s=40&v=4 + rogeriopeixotocx + https://github.com/rogeriopeixotocx + + + https://avatars.githubusercontent.com/u/74001161?s=40&v=4 + rafaela-soares + https://github.com/rafaela-soares + + + \ No newline at end of file diff --git a/data/daily/openapi-specification-v2.json b/data/daily/openapi-specification-v2.json index 5af8de57ed9f..25813cdfb7da 100644 --- a/data/daily/openapi-specification-v2.json +++ b/data/daily/openapi-specification-v2.json @@ -2,6 +2,6 @@ "title": "GitHub Openapi-specification-v2 Languages Daily Trending", "description": "Daily Trending of Openapi-specification-v2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/openapi-specification-v2.xml b/data/daily/openapi-specification-v2.xml index 15d0afaf4628..663060e4a3e1 100644 --- a/data/daily/openapi-specification-v2.xml +++ b/data/daily/openapi-specification-v2.xml @@ -3,6 +3,6 @@ GitHub Openapi-specification-v2 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Openapi-specification-v2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/openapi-specification-v3.json b/data/daily/openapi-specification-v3.json index e3b4578ab482..d9f54a7bdbd8 100644 --- a/data/daily/openapi-specification-v3.json +++ b/data/daily/openapi-specification-v3.json @@ -2,6 +2,6 @@ "title": "GitHub Openapi-specification-v3 Languages Daily Trending", "description": "Daily Trending of Openapi-specification-v3 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/openapi-specification-v3.xml b/data/daily/openapi-specification-v3.xml index cb4b915b1f27..188c5c23c736 100644 --- a/data/daily/openapi-specification-v3.xml +++ b/data/daily/openapi-specification-v3.xml @@ -3,6 +3,6 @@ GitHub Openapi-specification-v3 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Openapi-specification-v3 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/opencl.json b/data/daily/opencl.json index 6f2f37d66bb3..8c090626bf29 100644 --- a/data/daily/opencl.json +++ b/data/daily/opencl.json @@ -2,6 +2,6 @@ "title": "GitHub Opencl Languages Daily Trending", "description": "Daily Trending of Opencl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/opencl.xml b/data/daily/opencl.xml index dae6c7f69987..7b4b585afef2 100644 --- a/data/daily/opencl.xml +++ b/data/daily/opencl.xml @@ -3,6 +3,6 @@ GitHub Opencl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Opencl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/openedge-abl.json b/data/daily/openedge-abl.json index 97a41bb0e9cf..522345d151e2 100644 --- a/data/daily/openedge-abl.json +++ b/data/daily/openedge-abl.json @@ -2,6 +2,6 @@ "title": "GitHub Openedge-abl Languages Daily Trending", "description": "Daily Trending of Openedge-abl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/openedge-abl.xml b/data/daily/openedge-abl.xml index 58fedf14c86e..fa780ec04dcc 100644 --- a/data/daily/openedge-abl.xml +++ b/data/daily/openedge-abl.xml @@ -3,6 +3,6 @@ GitHub Openedge-abl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Openedge-abl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/openqasm.json b/data/daily/openqasm.json index 67044f2e1b5d..01a64b99820c 100644 --- a/data/daily/openqasm.json +++ b/data/daily/openqasm.json @@ -2,6 +2,6 @@ "title": "GitHub Openqasm Languages Daily Trending", "description": "Daily Trending of Openqasm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/openqasm.xml b/data/daily/openqasm.xml index 6d5cd83afe5f..39d262ea0461 100644 --- a/data/daily/openqasm.xml +++ b/data/daily/openqasm.xml @@ -3,6 +3,6 @@ GitHub Openqasm Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Openqasm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/openrc-runscript.json b/data/daily/openrc-runscript.json index 4d3547fd96f2..e271fdca978c 100644 --- a/data/daily/openrc-runscript.json +++ b/data/daily/openrc-runscript.json @@ -2,6 +2,6 @@ "title": "GitHub Openrc-runscript Languages Daily Trending", "description": "Daily Trending of Openrc-runscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/openrc-runscript.xml b/data/daily/openrc-runscript.xml index bf5b84a055b0..8b706d997655 100644 --- a/data/daily/openrc-runscript.xml +++ b/data/daily/openrc-runscript.xml @@ -3,6 +3,6 @@ GitHub Openrc-runscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Openrc-runscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/openscad.json b/data/daily/openscad.json index c71d5b34548b..3251a3043204 100644 --- a/data/daily/openscad.json +++ b/data/daily/openscad.json @@ -2,7 +2,7 @@ "title": "GitHub Openscad Languages Daily Trending", "description": "Daily Trending of Openscad Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "BelfrySCAD/BOSL2", @@ -41,6 +41,65 @@ } ] }, + { + "title": "kennetek/gridfinity-rebuilt-openscad", + "url": "https://github.com/kennetek/gridfinity-rebuilt-openscad", + "description": "A ground-up rebuild of the stock gridfinity bins in OpenSCAD", + "language": "OpenSCAD", + "languageColor": "#e5cd45", + "stars": "1,351", + "forks": "199", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/40445044?s=40&v=4", + "name": "kennetek", + "url": "https://github.com/kennetek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1515637?s=40&v=4", + "name": "EmperorArthur", + "url": "https://github.com/EmperorArthur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31508944?s=40&v=4", + "name": "Ruudjhuu", + "url": "https://github.com/Ruudjhuu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2831985?s=40&v=4", + "name": "smkent", + "url": "https://github.com/smkent" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/392683?s=40&v=4", + "name": "rcarmo", + "url": "https://github.com/rcarmo" + } + ] + }, + { + "title": "riskable/keycap_playground", + "url": "https://github.com/riskable/keycap_playground", + "description": "The Keycap Playground is a parametric OpenSCAD keycap generator made for generating keycaps of all shapes and sizes (and profiles)", + "language": "OpenSCAD", + "languageColor": "#e5cd45", + "stars": "397", + "forks": "47", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/66987?s=40&v=4", + "name": "riskable", + "url": "https://github.com/riskable" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1123439?s=40&v=4", + "name": "liftoff", + "url": "https://github.com/liftoff" + } + ] + }, { "title": "VoronDesign/VoronUsers", "url": "https://github.com/VoronDesign/VoronUsers", diff --git a/data/daily/openscad.xml b/data/daily/openscad.xml index eb743b4cc489..7d0a2cb4a93b 100644 --- a/data/daily/openscad.xml +++ b/data/daily/openscad.xml @@ -3,7 +3,7 @@ GitHub Openscad Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Openscad Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT BelfrySCAD/BOSL2 https://github.com/BelfrySCAD/BOSL2 @@ -42,6 +42,67 @@ + + kennetek/gridfinity-rebuilt-openscad + https://github.com/kennetek/gridfinity-rebuilt-openscad + A ground-up rebuild of the stock gridfinity bins in OpenSCAD + https://github.com/kennetek/gridfinity-rebuilt-openscad + OpenSCAD + #e5cd45 + 1,351 + 199 + 2 + + + https://avatars.githubusercontent.com/u/40445044?s=40&v=4 + kennetek + https://github.com/kennetek + + + https://avatars.githubusercontent.com/u/1515637?s=40&v=4 + EmperorArthur + https://github.com/EmperorArthur + + + https://avatars.githubusercontent.com/u/31508944?s=40&v=4 + Ruudjhuu + https://github.com/Ruudjhuu + + + https://avatars.githubusercontent.com/u/2831985?s=40&v=4 + smkent + https://github.com/smkent + + + https://avatars.githubusercontent.com/u/392683?s=40&v=4 + rcarmo + https://github.com/rcarmo + + + + + riskable/keycap_playground + https://github.com/riskable/keycap_playground + The Keycap Playground is a parametric OpenSCAD keycap generator made for generating keycaps of all shapes and sizes (and profiles) + https://github.com/riskable/keycap_playground + OpenSCAD + #e5cd45 + 397 + 47 + 2 + + + https://avatars.githubusercontent.com/u/66987?s=40&v=4 + riskable + https://github.com/riskable + + + https://avatars.githubusercontent.com/u/1123439?s=40&v=4 + liftoff + https://github.com/liftoff + + + VoronDesign/VoronUsers https://github.com/VoronDesign/VoronUsers diff --git a/data/daily/openstep-property-list.json b/data/daily/openstep-property-list.json index 4c10e5fd9003..75c99a464d65 100644 --- a/data/daily/openstep-property-list.json +++ b/data/daily/openstep-property-list.json @@ -2,6 +2,6 @@ "title": "GitHub Openstep-property-list Languages Daily Trending", "description": "Daily Trending of Openstep-property-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/openstep-property-list.xml b/data/daily/openstep-property-list.xml index aa7faed82886..cf0dbbcf5669 100644 --- a/data/daily/openstep-property-list.xml +++ b/data/daily/openstep-property-list.xml @@ -3,6 +3,6 @@ GitHub Openstep-property-list Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Openstep-property-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/opentype-feature-file.json b/data/daily/opentype-feature-file.json index 5101a8e7e777..ec30fcaad391 100644 --- a/data/daily/opentype-feature-file.json +++ b/data/daily/opentype-feature-file.json @@ -2,6 +2,6 @@ "title": "GitHub Opentype-feature-file Languages Daily Trending", "description": "Daily Trending of Opentype-feature-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/opentype-feature-file.xml b/data/daily/opentype-feature-file.xml index c0292d1d71d4..b48fe60f9d12 100644 --- a/data/daily/opentype-feature-file.xml +++ b/data/daily/opentype-feature-file.xml @@ -3,6 +3,6 @@ GitHub Opentype-feature-file Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Opentype-feature-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/option-list.json b/data/daily/option-list.json index e773e40e5417..021fa921446d 100644 --- a/data/daily/option-list.json +++ b/data/daily/option-list.json @@ -2,6 +2,6 @@ "title": "GitHub Option-list Languages Daily Trending", "description": "Daily Trending of Option-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/option-list.xml b/data/daily/option-list.xml index cf6cc3479f12..e2eb07ed6a00 100644 --- a/data/daily/option-list.xml +++ b/data/daily/option-list.xml @@ -3,6 +3,6 @@ GitHub Option-list Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Option-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/org.json b/data/daily/org.json index b43f3b093003..d7e383227224 100644 --- a/data/daily/org.json +++ b/data/daily/org.json @@ -2,6 +2,6 @@ "title": "GitHub Org Languages Daily Trending", "description": "Daily Trending of Org Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/org.xml b/data/daily/org.xml index 97df71e9146e..9c5c34868e06 100644 --- a/data/daily/org.xml +++ b/data/daily/org.xml @@ -3,6 +3,6 @@ GitHub Org Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Org Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ox.json b/data/daily/ox.json index 121213d08e3b..f1ba3af3c9c9 100644 --- a/data/daily/ox.json +++ b/data/daily/ox.json @@ -2,6 +2,6 @@ "title": "GitHub Ox Languages Daily Trending", "description": "Daily Trending of Ox Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ox.xml b/data/daily/ox.xml index 5622a89e2116..6058ea1f064d 100644 --- a/data/daily/ox.xml +++ b/data/daily/ox.xml @@ -3,6 +3,6 @@ GitHub Ox Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ox Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/oxygene.json b/data/daily/oxygene.json index f6c032a70777..8ac4f8f38949 100644 --- a/data/daily/oxygene.json +++ b/data/daily/oxygene.json @@ -2,6 +2,6 @@ "title": "GitHub Oxygene Languages Daily Trending", "description": "Daily Trending of Oxygene Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/oxygene.xml b/data/daily/oxygene.xml index 54cf23b27118..29a9535fc98f 100644 --- a/data/daily/oxygene.xml +++ b/data/daily/oxygene.xml @@ -3,6 +3,6 @@ GitHub Oxygene Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Oxygene Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/oz.json b/data/daily/oz.json index f17f18eb7531..597d0f10e359 100644 --- a/data/daily/oz.json +++ b/data/daily/oz.json @@ -2,6 +2,6 @@ "title": "GitHub Oz Languages Daily Trending", "description": "Daily Trending of Oz Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/oz.xml b/data/daily/oz.xml index c703a6689b26..cab250c40655 100644 --- a/data/daily/oz.xml +++ b/data/daily/oz.xml @@ -3,6 +3,6 @@ GitHub Oz Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Oz Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/p4.json b/data/daily/p4.json index 907697ea3c5a..4f27fdd28437 100644 --- a/data/daily/p4.json +++ b/data/daily/p4.json @@ -2,6 +2,6 @@ "title": "GitHub P4 Languages Daily Trending", "description": "Daily Trending of P4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/p4.xml b/data/daily/p4.xml index 4348b276970b..26f7bc8105cc 100644 --- a/data/daily/p4.xml +++ b/data/daily/p4.xml @@ -3,6 +3,6 @@ GitHub P4 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of P4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pact.json b/data/daily/pact.json index 970f70b1b76b..3ce1d5a33a22 100644 --- a/data/daily/pact.json +++ b/data/daily/pact.json @@ -2,6 +2,6 @@ "title": "GitHub Pact Languages Daily Trending", "description": "Daily Trending of Pact Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pact.xml b/data/daily/pact.xml index e5737b4ecfa0..223bdc5be1ca 100644 --- a/data/daily/pact.xml +++ b/data/daily/pact.xml @@ -3,6 +3,6 @@ GitHub Pact Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pact Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pan.json b/data/daily/pan.json index 0d733fe37697..a4ddb19f86ba 100644 --- a/data/daily/pan.json +++ b/data/daily/pan.json @@ -2,6 +2,6 @@ "title": "GitHub Pan Languages Daily Trending", "description": "Daily Trending of Pan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pan.xml b/data/daily/pan.xml index 63f3107947df..c8fbf51e952e 100644 --- a/data/daily/pan.xml +++ b/data/daily/pan.xml @@ -3,6 +3,6 @@ GitHub Pan Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/papyrus.json b/data/daily/papyrus.json index 3265861a7160..736cedffdc15 100644 --- a/data/daily/papyrus.json +++ b/data/daily/papyrus.json @@ -2,7 +2,7 @@ "title": "GitHub Papyrus Languages Daily Trending", "description": "Daily Trending of Papyrus Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ProbablyManuel/requiem", diff --git a/data/daily/papyrus.xml b/data/daily/papyrus.xml index dec0d6a41d7b..893967f4051d 100644 --- a/data/daily/papyrus.xml +++ b/data/daily/papyrus.xml @@ -3,7 +3,7 @@ GitHub Papyrus Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Papyrus Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ProbablyManuel/requiem https://github.com/ProbablyManuel/requiem diff --git a/data/daily/parrot-assembly.json b/data/daily/parrot-assembly.json index 3079a9735e9a..202293f84f9a 100644 --- a/data/daily/parrot-assembly.json +++ b/data/daily/parrot-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot-assembly Languages Daily Trending", "description": "Daily Trending of Parrot-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/parrot-assembly.xml b/data/daily/parrot-assembly.xml index 89bf4918f5a8..56a77fcdbe91 100644 --- a/data/daily/parrot-assembly.xml +++ b/data/daily/parrot-assembly.xml @@ -3,6 +3,6 @@ GitHub Parrot-assembly Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Parrot-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/parrot-internal-representation.json b/data/daily/parrot-internal-representation.json index 08794188f332..14bedee9c726 100644 --- a/data/daily/parrot-internal-representation.json +++ b/data/daily/parrot-internal-representation.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot-internal-representation Languages Daily Trending", "description": "Daily Trending of Parrot-internal-representation Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/parrot-internal-representation.xml b/data/daily/parrot-internal-representation.xml index acfb97799a66..9595957e6f56 100644 --- a/data/daily/parrot-internal-representation.xml +++ b/data/daily/parrot-internal-representation.xml @@ -3,6 +3,6 @@ GitHub Parrot-internal-representation Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Parrot-internal-representation Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/parrot.json b/data/daily/parrot.json index 6640f98336a7..e3080d350880 100644 --- a/data/daily/parrot.json +++ b/data/daily/parrot.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot Languages Daily Trending", "description": "Daily Trending of Parrot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/parrot.xml b/data/daily/parrot.xml index b9e6271750ac..c9e60e654645 100644 --- a/data/daily/parrot.xml +++ b/data/daily/parrot.xml @@ -3,6 +3,6 @@ GitHub Parrot Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Parrot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pascal.json b/data/daily/pascal.json index 218d10e5d02b..e40c71a2f181 100644 --- a/data/daily/pascal.json +++ b/data/daily/pascal.json @@ -2,7 +2,7 @@ "title": "GitHub Pascal Languages Daily Trending", "description": "Daily Trending of Pascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "cheat-engine/cheat-engine", @@ -10,8 +10,8 @@ "description": "Cheat Engine. A development environment focused on modding", "language": "Pascal", "languageColor": "#E3F171", - "stars": "15,076", - "forks": "2,235", + "stars": "15,078", + "forks": "2,236", "addStars": "6", "contributors": [ { @@ -42,187 +42,226 @@ ] }, { - "title": "stascorp/rdpwrap", - "url": "https://github.com/stascorp/rdpwrap", - "description": "RDP Wrapper Library", + "title": "jrsoftware/issrc", + "url": "https://github.com/jrsoftware/issrc", + "description": "Inno Setup is a free installer for Windows programs. First introduced in 1997, Inno Setup today rivals and even surpasses many commercial installers in feature set and stability.", "language": "Pascal", "languageColor": "#E3F171", - "stars": "14,756", - "forks": "3,832", - "addStars": "2", + "stars": "4,329", + "forks": "931", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/578406?s=40&v=4", - "name": "binarymaster", - "url": "https://github.com/binarymaster" + "avatar": "https://avatars.githubusercontent.com/u/1092369?s=40&v=4", + "name": "martijnlaan", + "url": "https://github.com/martijnlaan" }, { - "avatar": "https://avatars.githubusercontent.com/u/5298618?s=40&v=4", - "name": "WisdomWolf", - "url": "https://github.com/WisdomWolf" + "avatar": "https://avatars.githubusercontent.com/u/1570593?s=40&v=4", + "name": "jordanrussell", + "url": "https://github.com/jordanrussell" }, { - "avatar": "https://avatars.githubusercontent.com/u/3249612?s=40&v=4", - "name": "DerekL0576", - "url": "https://github.com/DerekL0576" + "avatar": "https://avatars.githubusercontent.com/u/4701169?s=40&v=4", + "name": "jogo-", + "url": "https://github.com/jogo-" }, { - "avatar": "https://avatars.githubusercontent.com/u/3586205?s=40&v=4", - "name": "galeksandrp", - "url": "https://github.com/galeksandrp" + "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", + "name": "dscho", + "url": "https://github.com/dscho" }, { - "avatar": "https://avatars.githubusercontent.com/u/1047813?s=40&v=4", - "name": "ksmyth", - "url": "https://github.com/ksmyth" + "avatar": "https://avatars.githubusercontent.com/u/1308555?s=40&v=4", + "name": "KngStr", + "url": "https://github.com/KngStr" } ] }, { - "title": "peazip/PeaZip", - "url": "https://github.com/peazip/PeaZip", - "description": "Free Zip / Unzip software and Rar file extractor. Cross-platform file and archive manager. Features volume spanning, compression, authenticated encryption. Supports 7Z, 7-Zip sfx, ACE, ARJ, Brotli, BZ2, CAB, CHM, CPIO, DEB, GZ, ISO, JAR, LHA/LZH, NSIS, OOo, PAQ/LPAQ, PEA, QUAD, RAR, RPM, split, TAR, Z, ZIP, ZIPX, Zstandard.", + "title": "FrankBijnen/ExifToolGui", + "url": "https://github.com/FrankBijnen/ExifToolGui", + "description": "A GUI for ExifTool", "language": "Pascal", "languageColor": "#E3F171", - "stars": "4,472", - "forks": "257", + "stars": "382", + "forks": "18", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/63312605?s=40&v=4", + "name": "FrankBijnen", + "url": "https://github.com/FrankBijnen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86864914?s=40&v=4", + "name": "wcxu21", + "url": "https://github.com/wcxu21" + } + ] + }, + { + "title": "red-prig/fpPS4", + "url": "https://github.com/red-prig/fpPS4", + "description": "PS4 compatibility layer (emulator) on Free Pascal", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "2,043", + "forks": "131", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/479850?s=40&v=4", - "name": "peazip", - "url": "https://github.com/peazip" + "avatar": "https://avatars.githubusercontent.com/u/68122101?s=40&v=4", + "name": "red-prig", + "url": "https://github.com/red-prig" }, { - "avatar": "https://avatars.githubusercontent.com/u/63919734?s=40&v=4", - "name": "ViktorOn", - "url": "https://github.com/ViktorOn" + "avatar": "https://avatars.githubusercontent.com/u/125112932?s=40&v=4", + "name": "Ordinary205", + "url": "https://github.com/Ordinary205" }, { - "avatar": "https://avatars.githubusercontent.com/u/49920661?s=40&v=4", - "name": "marc-romu", - "url": "https://github.com/marc-romu" + "avatar": "https://avatars.githubusercontent.com/u/7451778?s=40&v=4", + "name": "Kagamma", + "url": "https://github.com/Kagamma" }, { - "avatar": "https://avatars.githubusercontent.com/u/16929048?s=40&v=4", - "name": "hugok79", - "url": "https://github.com/hugok79" + "avatar": "https://avatars.githubusercontent.com/u/24764335?s=40&v=4", + "name": "gandalfthewhite19890404", + "url": "https://github.com/gandalfthewhite19890404" }, { - "avatar": "https://avatars.githubusercontent.com/u/88106043?s=40&v=4", - "name": "mesubasi", - "url": "https://github.com/mesubasi" + "avatar": "https://avatars.githubusercontent.com/u/125815038?s=40&v=4", + "name": "kr1spy2115", + "url": "https://github.com/kr1spy2115" } ] }, { - "title": "transmission-remote-gui/transgui", - "url": "https://github.com/transmission-remote-gui/transgui", - "description": "🧲 A feature rich cross platform Transmission BitTorrent client. Faster and has more functionality than the built-in web GUI.", + "title": "HeidiSQL/HeidiSQL", + "url": "https://github.com/HeidiSQL/HeidiSQL", + "description": "A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi", "language": "Pascal", "languageColor": "#E3F171", - "stars": "3,257", - "forks": "282", - "addStars": "0", + "stars": "4,826", + "forks": "458", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", - "name": "PeterDaveHello", - "url": "https://github.com/PeterDaveHello" + "avatar": "https://avatars.githubusercontent.com/u/7986591?s=40&v=4", + "name": "ansgarbecker", + "url": "https://github.com/ansgarbecker" }, { - "avatar": "https://avatars.githubusercontent.com/u/11468577?s=40&v=4", - "name": "leonsoft-kras", - "url": "https://github.com/leonsoft-kras" + "avatar": "https://avatars.githubusercontent.com/u/1563399?s=40&v=4", + "name": "netinhoteixeira", + "url": "https://github.com/netinhoteixeira" }, { - "avatar": "https://avatars.githubusercontent.com/u/24402722?s=40&v=4", - "name": "antekgla", - "url": "https://github.com/antekgla" + "avatar": "https://avatars.githubusercontent.com/u/145854?s=40&v=4", + "name": "igitur", + "url": "https://github.com/igitur" }, { - "avatar": "https://avatars.githubusercontent.com/u/24721923?s=40&v=4", - "name": "uniss2209", - "url": "https://github.com/uniss2209" + "avatar": "https://avatars.githubusercontent.com/u/421851?s=40&v=4", + "name": "rentalhost", + "url": "https://github.com/rentalhost" }, { - "avatar": "https://avatars.githubusercontent.com/u/127875?s=40&v=4", - "name": "lighterowl", - "url": "https://github.com/lighterowl" + "avatar": "https://avatars.githubusercontent.com/u/11897521?s=40&v=4", + "name": "tgoncuoglu", + "url": "https://github.com/tgoncuoglu" } ] }, { - "title": "UltraStar-Deluxe/USDX", - "url": "https://github.com/UltraStar-Deluxe/USDX", - "description": "The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™", + "title": "doublecmd/doublecmd", + "url": "https://github.com/doublecmd/doublecmd", + "description": "Double Commander is a free cross platform open source file manager with two panels side by side.", "language": "Pascal", "languageColor": "#E3F171", - "stars": "872", - "forks": "162", - "addStars": "0", + "stars": "2,776", + "forks": "207", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10870269?s=40&v=4", - "name": "basisbit", - "url": "https://github.com/basisbit" + "avatar": "https://avatars.githubusercontent.com/u/13401398?s=40&v=4", + "name": "alexx2000", + "url": "https://github.com/alexx2000" }, { - "avatar": "https://avatars.githubusercontent.com/u/10702429?s=40&v=4", - "name": "s09bQ5", - "url": "https://github.com/s09bQ5" + "avatar": "https://avatars.githubusercontent.com/u/102933068?s=40&v=4", + "name": "rich2014", + "url": "https://github.com/rich2014" }, { - "avatar": "https://avatars.githubusercontent.com/u/5775429?s=40&v=4", - "name": "barbeque-squared", - "url": "https://github.com/barbeque-squared" + "avatar": "https://avatars.githubusercontent.com/u/16710801?s=40&v=4", + "name": "denis-bisson", + "url": "https://github.com/denis-bisson" }, { - "avatar": "https://avatars.githubusercontent.com/u/6833006?s=40&v=4", - "name": "RattleSN4K3", - "url": "https://github.com/RattleSN4K3" + "avatar": "https://avatars.githubusercontent.com/u/6333198?s=40&v=4", + "name": "Skif-off", + "url": "https://github.com/Skif-off" }, { - "avatar": "https://avatars.githubusercontent.com/u/1264014?s=40&v=4", - "name": "daniel-j", - "url": "https://github.com/daniel-j" + "avatar": "https://avatars.githubusercontent.com/u/30291994?s=40&v=4", + "name": "j2969719", + "url": "https://github.com/j2969719" } ] }, { - "title": "red-prig/fpPS4", - "url": "https://github.com/red-prig/fpPS4", - "description": "PS4 compatibility layer (emulator) on Free Pascal", + "title": "nodemcu/nodemcu-flasher", + "url": "https://github.com/nodemcu/nodemcu-flasher", + "description": "A firmware Flash tool for nodemcu", "language": "Pascal", "languageColor": "#E3F171", - "stars": "2,043", - "forks": "131", + "stars": "2,061", + "forks": "791", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/68122101?s=40&v=4", - "name": "red-prig", - "url": "https://github.com/red-prig" + "avatar": "https://avatars.githubusercontent.com/u/394260?s=40&v=4", + "name": "vowstar", + "url": "https://github.com/vowstar" + } + ] + }, + { + "title": "Embarcadero/Dev-Cpp", + "url": "https://github.com/Embarcadero/Dev-Cpp", + "description": "A fast, portable, simple, and free C/C++ IDE", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "2,607", + "forks": "280", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7482023?s=40&v=4", + "name": "FMXExpress", + "url": "https://github.com/FMXExpress" }, { - "avatar": "https://avatars.githubusercontent.com/u/125112932?s=40&v=4", - "name": "Ordinary205", - "url": "https://github.com/Ordinary205" + "avatar": "https://avatars.githubusercontent.com/u/24489025?s=40&v=4", + "name": "skylee03", + "url": "https://github.com/skylee03" }, { - "avatar": "https://avatars.githubusercontent.com/u/7451778?s=40&v=4", - "name": "Kagamma", - "url": "https://github.com/Kagamma" + "avatar": "https://avatars.githubusercontent.com/u/11953157?s=40&v=4", + "name": "pmcgee69", + "url": "https://github.com/pmcgee69" }, { - "avatar": "https://avatars.githubusercontent.com/u/24764335?s=40&v=4", - "name": "gandalfthewhite19890404", - "url": "https://github.com/gandalfthewhite19890404" + "avatar": "https://avatars.githubusercontent.com/u/8079116?s=40&v=4", + "name": "thusharaabc", + "url": "https://github.com/thusharaabc" }, { - "avatar": "https://avatars.githubusercontent.com/u/125815038?s=40&v=4", - "name": "kr1spy2115", - "url": "https://github.com/kr1spy2115" + "avatar": "https://avatars.githubusercontent.com/u/2126394?s=40&v=4", + "name": "checkdigits", + "url": "https://github.com/checkdigits" } ] }, @@ -264,39 +303,113 @@ ] }, { - "title": "HeidiSQL/HeidiSQL", - "url": "https://github.com/HeidiSQL/HeidiSQL", - "description": "A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi", + "title": "UltraStar-Deluxe/USDX", + "url": "https://github.com/UltraStar-Deluxe/USDX", + "description": "The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™", "language": "Pascal", "languageColor": "#E3F171", - "stars": "4,825", - "forks": "458", - "addStars": "3", + "stars": "873", + "forks": "162", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7986591?s=40&v=4", - "name": "ansgarbecker", - "url": "https://github.com/ansgarbecker" + "avatar": "https://avatars.githubusercontent.com/u/10870269?s=40&v=4", + "name": "basisbit", + "url": "https://github.com/basisbit" }, { - "avatar": "https://avatars.githubusercontent.com/u/1563399?s=40&v=4", - "name": "netinhoteixeira", - "url": "https://github.com/netinhoteixeira" + "avatar": "https://avatars.githubusercontent.com/u/10702429?s=40&v=4", + "name": "s09bQ5", + "url": "https://github.com/s09bQ5" }, { - "avatar": "https://avatars.githubusercontent.com/u/145854?s=40&v=4", - "name": "igitur", - "url": "https://github.com/igitur" + "avatar": "https://avatars.githubusercontent.com/u/5775429?s=40&v=4", + "name": "barbeque-squared", + "url": "https://github.com/barbeque-squared" }, { - "avatar": "https://avatars.githubusercontent.com/u/421851?s=40&v=4", - "name": "rentalhost", - "url": "https://github.com/rentalhost" + "avatar": "https://avatars.githubusercontent.com/u/6833006?s=40&v=4", + "name": "RattleSN4K3", + "url": "https://github.com/RattleSN4K3" }, { - "avatar": "https://avatars.githubusercontent.com/u/11897521?s=40&v=4", - "name": "tgoncuoglu", - "url": "https://github.com/tgoncuoglu" + "avatar": "https://avatars.githubusercontent.com/u/1264014?s=40&v=4", + "name": "daniel-j", + "url": "https://github.com/daniel-j" + } + ] + }, + { + "title": "transmission-remote-gui/transgui", + "url": "https://github.com/transmission-remote-gui/transgui", + "description": "🧲 A feature rich cross platform Transmission BitTorrent client. Faster and has more functionality than the built-in web GUI.", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "3,257", + "forks": "282", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", + "name": "PeterDaveHello", + "url": "https://github.com/PeterDaveHello" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11468577?s=40&v=4", + "name": "leonsoft-kras", + "url": "https://github.com/leonsoft-kras" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24402722?s=40&v=4", + "name": "antekgla", + "url": "https://github.com/antekgla" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24721923?s=40&v=4", + "name": "uniss2209", + "url": "https://github.com/uniss2209" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/127875?s=40&v=4", + "name": "lighterowl", + "url": "https://github.com/lighterowl" + } + ] + }, + { + "title": "viniciussanchez/dataset-serialize", + "url": "https://github.com/viniciussanchez/dataset-serialize", + "description": "JSON to DataSet and DataSet to JSON converter for Delphi and Lazarus (FPC)", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "654", + "forks": "159", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/16382981?s=40&v=4", + "name": "viniciussanchez", + "url": "https://github.com/viniciussanchez" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50463556?s=40&v=4", + "name": "mateusvicente100", + "url": "https://github.com/mateusvicente100" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9283712?s=40&v=4", + "name": "igorbastosib", + "url": "https://github.com/igorbastosib" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54585337?s=40&v=4", + "name": "dliocode", + "url": "https://github.com/dliocode" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36713791?s=40&v=4", + "name": "juliosenha", + "url": "https://github.com/juliosenha" } ] }, @@ -338,115 +451,66 @@ ] }, { - "title": "doublecmd/doublecmd", - "url": "https://github.com/doublecmd/doublecmd", - "description": "Double Commander is a free cross platform open source file manager with two panels side by side.", + "title": "pyscripter/pyscripter", + "url": "https://github.com/pyscripter/pyscripter", + "description": "Pyscripter is a feature-rich but lightweight Python IDE", "language": "Pascal", "languageColor": "#E3F171", - "stars": "2,776", - "forks": "207", - "addStars": "3", + "stars": "994", + "forks": "306", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13401398?s=40&v=4", - "name": "alexx2000", - "url": "https://github.com/alexx2000" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/102933068?s=40&v=4", - "name": "rich2014", - "url": "https://github.com/rich2014" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16710801?s=40&v=4", - "name": "denis-bisson", - "url": "https://github.com/denis-bisson" + "avatar": "https://avatars.githubusercontent.com/u/1311616?s=40&v=4", + "name": "pyscripter", + "url": "https://github.com/pyscripter" }, { - "avatar": "https://avatars.githubusercontent.com/u/6333198?s=40&v=4", - "name": "Skif-off", - "url": "https://github.com/Skif-off" + "avatar": "https://avatars.githubusercontent.com/u/3872576?s=40&v=4", + "name": "luebbe", + "url": "https://github.com/luebbe" }, { - "avatar": "https://avatars.githubusercontent.com/u/30291994?s=40&v=4", - "name": "j2969719", - "url": "https://github.com/j2969719" - } - ] - }, - { - "title": "FrankBijnen/ExifToolGui", - "url": "https://github.com/FrankBijnen/ExifToolGui", - "description": "A GUI for ExifTool", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "382", - "forks": "18", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/63312605?s=40&v=4", - "name": "FrankBijnen", - "url": "https://github.com/FrankBijnen" + "avatar": "https://avatars.githubusercontent.com/u/1262554?s=40&v=4", + "name": "bovirus", + "url": "https://github.com/bovirus" }, { - "avatar": "https://avatars.githubusercontent.com/u/86864914?s=40&v=4", - "name": "wcxu21", - "url": "https://github.com/wcxu21" + "avatar": "https://avatars.githubusercontent.com/u/26684644?s=40&v=4", + "name": "sk-Prime", + "url": "https://github.com/sk-Prime" } ] }, { - "title": "nofeletru/UsbAsp-flash", - "url": "https://github.com/nofeletru/UsbAsp-flash", - "description": "Program allow you to program flash memory on the protocols spi, I2C, MicroWire. Supports CH341a, CH347, UsbAsp, AVRISP(LUFA), Arduino, FT232H.", + "title": "viniciussanchez/RESTRequest4Delphi", + "url": "https://github.com/viniciussanchez/RESTRequest4Delphi", + "description": "API to consume REST services written in any programming language with support to Lazarus and Delphi", "language": "Pascal", "languageColor": "#E3F171", - "stars": "926", - "forks": "192", + "stars": "541", + "forks": "158", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12898237?s=40&v=4", - "name": "nofeletru", - "url": "https://github.com/nofeletru" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/56371107?s=40&v=4", - "name": "direstraits96", - "url": "https://github.com/direstraits96" + "avatar": "https://avatars.githubusercontent.com/u/16382981?s=40&v=4", + "name": "viniciussanchez", + "url": "https://github.com/viniciussanchez" }, { - "avatar": "https://avatars.githubusercontent.com/u/74053663?s=40&v=4", - "name": "wc7086", - "url": "https://github.com/wc7086" + "avatar": "https://avatars.githubusercontent.com/u/50463556?s=40&v=4", + "name": "mateusvicente100", + "url": "https://github.com/mateusvicente100" }, { - "avatar": "https://avatars.githubusercontent.com/u/647633?s=40&v=4", - "name": "systemcrash", - "url": "https://github.com/systemcrash" + "avatar": "https://avatars.githubusercontent.com/u/1155199?s=40&v=4", + "name": "EdZava", + "url": "https://github.com/EdZava" }, { - "avatar": "https://avatars.githubusercontent.com/u/1040116?s=40&v=4", - "name": "MELERIX", - "url": "https://github.com/MELERIX" - } - ] - }, - { - "title": "nodemcu/nodemcu-flasher", - "url": "https://github.com/nodemcu/nodemcu-flasher", - "description": "A firmware Flash tool for nodemcu", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "2,061", - "forks": "791", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/394260?s=40&v=4", - "name": "vowstar", - "url": "https://github.com/vowstar" + "avatar": "https://avatars.githubusercontent.com/u/56116443?s=40&v=4", + "name": "tiagoistuque", + "url": "https://github.com/tiagoistuque" } ] } diff --git a/data/daily/pascal.xml b/data/daily/pascal.xml index 1f739d420d22..e8e87379bd2b 100644 --- a/data/daily/pascal.xml +++ b/data/daily/pascal.xml @@ -3,7 +3,7 @@ GitHub Pascal Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT cheat-engine/cheat-engine https://github.com/cheat-engine/cheat-engine @@ -11,8 +11,8 @@ https://github.com/cheat-engine/cheat-engine Pascal #E3F171 - 15,076 - 2,235 + 15,078 + 2,236 6 @@ -43,192 +43,233 @@ - stascorp/rdpwrap - https://github.com/stascorp/rdpwrap - RDP Wrapper Library - https://github.com/stascorp/rdpwrap + jrsoftware/issrc + https://github.com/jrsoftware/issrc + Inno Setup is a free installer for Windows programs. First introduced in 1997, Inno Setup today rivals and even surpasses many commercial installers in feature set and stability. + https://github.com/jrsoftware/issrc Pascal #E3F171 - 14,756 - 3,832 - 2 + 4,329 + 931 + 1 - https://avatars.githubusercontent.com/u/578406?s=40&v=4 - binarymaster - https://github.com/binarymaster + https://avatars.githubusercontent.com/u/1092369?s=40&v=4 + martijnlaan + https://github.com/martijnlaan - https://avatars.githubusercontent.com/u/5298618?s=40&v=4 - WisdomWolf - https://github.com/WisdomWolf + https://avatars.githubusercontent.com/u/1570593?s=40&v=4 + jordanrussell + https://github.com/jordanrussell - https://avatars.githubusercontent.com/u/3249612?s=40&v=4 - DerekL0576 - https://github.com/DerekL0576 + https://avatars.githubusercontent.com/u/4701169?s=40&v=4 + jogo- + https://github.com/jogo- - https://avatars.githubusercontent.com/u/3586205?s=40&v=4 - galeksandrp - https://github.com/galeksandrp + https://avatars.githubusercontent.com/u/127790?s=40&v=4 + dscho + https://github.com/dscho - https://avatars.githubusercontent.com/u/1047813?s=40&v=4 - ksmyth - https://github.com/ksmyth + https://avatars.githubusercontent.com/u/1308555?s=40&v=4 + KngStr + https://github.com/KngStr - peazip/PeaZip - https://github.com/peazip/PeaZip - Free Zip / Unzip software and Rar file extractor. Cross-platform file and archive manager. Features volume spanning, compression, authenticated encryption. Supports 7Z, 7-Zip sfx, ACE, ARJ, Brotli, BZ2, CAB, CHM, CPIO, DEB, GZ, ISO, JAR, LHA/LZH, NSIS, OOo, PAQ/LPAQ, PEA, QUAD, RAR, RPM, split, TAR, Z, ZIP, ZIPX, Zstandard. - https://github.com/peazip/PeaZip + FrankBijnen/ExifToolGui + https://github.com/FrankBijnen/ExifToolGui + A GUI for ExifTool + https://github.com/FrankBijnen/ExifToolGui Pascal #E3F171 - 4,472 - 257 + 382 + 18 + 2 + + + https://avatars.githubusercontent.com/u/63312605?s=40&v=4 + FrankBijnen + https://github.com/FrankBijnen + + + https://avatars.githubusercontent.com/u/86864914?s=40&v=4 + wcxu21 + https://github.com/wcxu21 + + + + + red-prig/fpPS4 + https://github.com/red-prig/fpPS4 + PS4 compatibility layer (emulator) on Free Pascal + https://github.com/red-prig/fpPS4 + Pascal + #E3F171 + 2,043 + 131 0 - https://avatars.githubusercontent.com/u/479850?s=40&v=4 - peazip - https://github.com/peazip + https://avatars.githubusercontent.com/u/68122101?s=40&v=4 + red-prig + https://github.com/red-prig - https://avatars.githubusercontent.com/u/63919734?s=40&v=4 - ViktorOn - https://github.com/ViktorOn + https://avatars.githubusercontent.com/u/125112932?s=40&v=4 + Ordinary205 + https://github.com/Ordinary205 - https://avatars.githubusercontent.com/u/49920661?s=40&v=4 - marc-romu - https://github.com/marc-romu + https://avatars.githubusercontent.com/u/7451778?s=40&v=4 + Kagamma + https://github.com/Kagamma - https://avatars.githubusercontent.com/u/16929048?s=40&v=4 - hugok79 - https://github.com/hugok79 + https://avatars.githubusercontent.com/u/24764335?s=40&v=4 + gandalfthewhite19890404 + https://github.com/gandalfthewhite19890404 - https://avatars.githubusercontent.com/u/88106043?s=40&v=4 - mesubasi - https://github.com/mesubasi + https://avatars.githubusercontent.com/u/125815038?s=40&v=4 + kr1spy2115 + https://github.com/kr1spy2115 - transmission-remote-gui/transgui - https://github.com/transmission-remote-gui/transgui - 🧲 A feature rich cross platform Transmission BitTorrent client. Faster and has more functionality than the built-in web GUI. - https://github.com/transmission-remote-gui/transgui + HeidiSQL/HeidiSQL + https://github.com/HeidiSQL/HeidiSQL + A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi + https://github.com/HeidiSQL/HeidiSQL Pascal #E3F171 - 3,257 - 282 - 0 + 4,826 + 458 + 3 - https://avatars.githubusercontent.com/u/3691490?s=40&v=4 - PeterDaveHello - https://github.com/PeterDaveHello + https://avatars.githubusercontent.com/u/7986591?s=40&v=4 + ansgarbecker + https://github.com/ansgarbecker - https://avatars.githubusercontent.com/u/11468577?s=40&v=4 - leonsoft-kras - https://github.com/leonsoft-kras + https://avatars.githubusercontent.com/u/1563399?s=40&v=4 + netinhoteixeira + https://github.com/netinhoteixeira - https://avatars.githubusercontent.com/u/24402722?s=40&v=4 - antekgla - https://github.com/antekgla + https://avatars.githubusercontent.com/u/145854?s=40&v=4 + igitur + https://github.com/igitur - https://avatars.githubusercontent.com/u/24721923?s=40&v=4 - uniss2209 - https://github.com/uniss2209 + https://avatars.githubusercontent.com/u/421851?s=40&v=4 + rentalhost + https://github.com/rentalhost - https://avatars.githubusercontent.com/u/127875?s=40&v=4 - lighterowl - https://github.com/lighterowl + https://avatars.githubusercontent.com/u/11897521?s=40&v=4 + tgoncuoglu + https://github.com/tgoncuoglu - UltraStar-Deluxe/USDX - https://github.com/UltraStar-Deluxe/USDX - The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™ - https://github.com/UltraStar-Deluxe/USDX + doublecmd/doublecmd + https://github.com/doublecmd/doublecmd + Double Commander is a free cross platform open source file manager with two panels side by side. + https://github.com/doublecmd/doublecmd Pascal #E3F171 - 872 - 162 - 0 + 2,776 + 207 + 3 - https://avatars.githubusercontent.com/u/10870269?s=40&v=4 - basisbit - https://github.com/basisbit + https://avatars.githubusercontent.com/u/13401398?s=40&v=4 + alexx2000 + https://github.com/alexx2000 - https://avatars.githubusercontent.com/u/10702429?s=40&v=4 - s09bQ5 - https://github.com/s09bQ5 + https://avatars.githubusercontent.com/u/102933068?s=40&v=4 + rich2014 + https://github.com/rich2014 - https://avatars.githubusercontent.com/u/5775429?s=40&v=4 - barbeque-squared - https://github.com/barbeque-squared + https://avatars.githubusercontent.com/u/16710801?s=40&v=4 + denis-bisson + https://github.com/denis-bisson - https://avatars.githubusercontent.com/u/6833006?s=40&v=4 - RattleSN4K3 - https://github.com/RattleSN4K3 + https://avatars.githubusercontent.com/u/6333198?s=40&v=4 + Skif-off + https://github.com/Skif-off - https://avatars.githubusercontent.com/u/1264014?s=40&v=4 - daniel-j - https://github.com/daniel-j + https://avatars.githubusercontent.com/u/30291994?s=40&v=4 + j2969719 + https://github.com/j2969719 - red-prig/fpPS4 - https://github.com/red-prig/fpPS4 - PS4 compatibility layer (emulator) on Free Pascal - https://github.com/red-prig/fpPS4 + nodemcu/nodemcu-flasher + https://github.com/nodemcu/nodemcu-flasher + A firmware Flash tool for nodemcu + https://github.com/nodemcu/nodemcu-flasher Pascal #E3F171 - 2,043 - 131 + 2,061 + 791 0 - https://avatars.githubusercontent.com/u/68122101?s=40&v=4 - red-prig - https://github.com/red-prig + https://avatars.githubusercontent.com/u/394260?s=40&v=4 + vowstar + https://github.com/vowstar + + + + Embarcadero/Dev-Cpp + https://github.com/Embarcadero/Dev-Cpp + A fast, portable, simple, and free C/C++ IDE + https://github.com/Embarcadero/Dev-Cpp + Pascal + #E3F171 + 2,607 + 280 + 5 + - https://avatars.githubusercontent.com/u/125112932?s=40&v=4 - Ordinary205 - https://github.com/Ordinary205 + https://avatars.githubusercontent.com/u/7482023?s=40&v=4 + FMXExpress + https://github.com/FMXExpress - https://avatars.githubusercontent.com/u/7451778?s=40&v=4 - Kagamma - https://github.com/Kagamma + https://avatars.githubusercontent.com/u/24489025?s=40&v=4 + skylee03 + https://github.com/skylee03 - https://avatars.githubusercontent.com/u/24764335?s=40&v=4 - gandalfthewhite19890404 - https://github.com/gandalfthewhite19890404 + https://avatars.githubusercontent.com/u/11953157?s=40&v=4 + pmcgee69 + https://github.com/pmcgee69 - https://avatars.githubusercontent.com/u/125815038?s=40&v=4 - kr1spy2115 - https://github.com/kr1spy2115 + https://avatars.githubusercontent.com/u/8079116?s=40&v=4 + thusharaabc + https://github.com/thusharaabc + + + https://avatars.githubusercontent.com/u/2126394?s=40&v=4 + checkdigits + https://github.com/checkdigits @@ -271,40 +312,116 @@ - HeidiSQL/HeidiSQL - https://github.com/HeidiSQL/HeidiSQL - A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi - https://github.com/HeidiSQL/HeidiSQL + UltraStar-Deluxe/USDX + https://github.com/UltraStar-Deluxe/USDX + The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™ + https://github.com/UltraStar-Deluxe/USDX Pascal #E3F171 - 4,825 - 458 - 3 + 873 + 162 + 0 - https://avatars.githubusercontent.com/u/7986591?s=40&v=4 - ansgarbecker - https://github.com/ansgarbecker + https://avatars.githubusercontent.com/u/10870269?s=40&v=4 + basisbit + https://github.com/basisbit - https://avatars.githubusercontent.com/u/1563399?s=40&v=4 - netinhoteixeira - https://github.com/netinhoteixeira + https://avatars.githubusercontent.com/u/10702429?s=40&v=4 + s09bQ5 + https://github.com/s09bQ5 - https://avatars.githubusercontent.com/u/145854?s=40&v=4 - igitur - https://github.com/igitur + https://avatars.githubusercontent.com/u/5775429?s=40&v=4 + barbeque-squared + https://github.com/barbeque-squared - https://avatars.githubusercontent.com/u/421851?s=40&v=4 - rentalhost - https://github.com/rentalhost + https://avatars.githubusercontent.com/u/6833006?s=40&v=4 + RattleSN4K3 + https://github.com/RattleSN4K3 - https://avatars.githubusercontent.com/u/11897521?s=40&v=4 - tgoncuoglu - https://github.com/tgoncuoglu + https://avatars.githubusercontent.com/u/1264014?s=40&v=4 + daniel-j + https://github.com/daniel-j + + + + + transmission-remote-gui/transgui + https://github.com/transmission-remote-gui/transgui + 🧲 A feature rich cross platform Transmission BitTorrent client. Faster and has more functionality than the built-in web GUI. + https://github.com/transmission-remote-gui/transgui + Pascal + #E3F171 + 3,257 + 282 + 0 + + + https://avatars.githubusercontent.com/u/3691490?s=40&v=4 + PeterDaveHello + https://github.com/PeterDaveHello + + + https://avatars.githubusercontent.com/u/11468577?s=40&v=4 + leonsoft-kras + https://github.com/leonsoft-kras + + + https://avatars.githubusercontent.com/u/24402722?s=40&v=4 + antekgla + https://github.com/antekgla + + + https://avatars.githubusercontent.com/u/24721923?s=40&v=4 + uniss2209 + https://github.com/uniss2209 + + + https://avatars.githubusercontent.com/u/127875?s=40&v=4 + lighterowl + https://github.com/lighterowl + + + + + viniciussanchez/dataset-serialize + https://github.com/viniciussanchez/dataset-serialize + JSON to DataSet and DataSet to JSON converter for Delphi and Lazarus (FPC) + https://github.com/viniciussanchez/dataset-serialize + Pascal + #E3F171 + 654 + 159 + 1 + + + https://avatars.githubusercontent.com/u/16382981?s=40&v=4 + viniciussanchez + https://github.com/viniciussanchez + + + https://avatars.githubusercontent.com/u/50463556?s=40&v=4 + mateusvicente100 + https://github.com/mateusvicente100 + + + https://avatars.githubusercontent.com/u/9283712?s=40&v=4 + igorbastosib + https://github.com/igorbastosib + + + https://avatars.githubusercontent.com/u/54585337?s=40&v=4 + dliocode + https://github.com/dliocode + + + https://avatars.githubusercontent.com/u/36713791?s=40&v=4 + juliosenha + https://github.com/juliosenha @@ -347,119 +464,68 @@ - doublecmd/doublecmd - https://github.com/doublecmd/doublecmd - Double Commander is a free cross platform open source file manager with two panels side by side. - https://github.com/doublecmd/doublecmd + pyscripter/pyscripter + https://github.com/pyscripter/pyscripter + Pyscripter is a feature-rich but lightweight Python IDE + https://github.com/pyscripter/pyscripter Pascal #E3F171 - 2,776 - 207 - 3 + 994 + 306 + 0 - https://avatars.githubusercontent.com/u/13401398?s=40&v=4 - alexx2000 - https://github.com/alexx2000 + https://avatars.githubusercontent.com/u/1311616?s=40&v=4 + pyscripter + https://github.com/pyscripter - https://avatars.githubusercontent.com/u/102933068?s=40&v=4 - rich2014 - https://github.com/rich2014 - - - https://avatars.githubusercontent.com/u/16710801?s=40&v=4 - denis-bisson - https://github.com/denis-bisson - - - https://avatars.githubusercontent.com/u/6333198?s=40&v=4 - Skif-off - https://github.com/Skif-off - - - https://avatars.githubusercontent.com/u/30291994?s=40&v=4 - j2969719 - https://github.com/j2969719 + https://avatars.githubusercontent.com/u/3872576?s=40&v=4 + luebbe + https://github.com/luebbe - - - - FrankBijnen/ExifToolGui - https://github.com/FrankBijnen/ExifToolGui - A GUI for ExifTool - https://github.com/FrankBijnen/ExifToolGui - Pascal - #E3F171 - 382 - 18 - 2 - - https://avatars.githubusercontent.com/u/63312605?s=40&v=4 - FrankBijnen - https://github.com/FrankBijnen + https://avatars.githubusercontent.com/u/1262554?s=40&v=4 + bovirus + https://github.com/bovirus - https://avatars.githubusercontent.com/u/86864914?s=40&v=4 - wcxu21 - https://github.com/wcxu21 + https://avatars.githubusercontent.com/u/26684644?s=40&v=4 + sk-Prime + https://github.com/sk-Prime - nofeletru/UsbAsp-flash - https://github.com/nofeletru/UsbAsp-flash - Program allow you to program flash memory on the protocols spi, I2C, MicroWire. Supports CH341a, CH347, UsbAsp, AVRISP(LUFA), Arduino, FT232H. - https://github.com/nofeletru/UsbAsp-flash + viniciussanchez/RESTRequest4Delphi + https://github.com/viniciussanchez/RESTRequest4Delphi + API to consume REST services written in any programming language with support to Lazarus and Delphi + https://github.com/viniciussanchez/RESTRequest4Delphi Pascal #E3F171 - 926 - 192 + 541 + 158 1 - https://avatars.githubusercontent.com/u/12898237?s=40&v=4 - nofeletru - https://github.com/nofeletru - - - https://avatars.githubusercontent.com/u/56371107?s=40&v=4 - direstraits96 - https://github.com/direstraits96 + https://avatars.githubusercontent.com/u/16382981?s=40&v=4 + viniciussanchez + https://github.com/viniciussanchez - https://avatars.githubusercontent.com/u/74053663?s=40&v=4 - wc7086 - https://github.com/wc7086 + https://avatars.githubusercontent.com/u/50463556?s=40&v=4 + mateusvicente100 + https://github.com/mateusvicente100 - https://avatars.githubusercontent.com/u/647633?s=40&v=4 - systemcrash - https://github.com/systemcrash + https://avatars.githubusercontent.com/u/1155199?s=40&v=4 + EdZava + https://github.com/EdZava - https://avatars.githubusercontent.com/u/1040116?s=40&v=4 - MELERIX - https://github.com/MELERIX - - - - - nodemcu/nodemcu-flasher - https://github.com/nodemcu/nodemcu-flasher - A firmware Flash tool for nodemcu - https://github.com/nodemcu/nodemcu-flasher - Pascal - #E3F171 - 2,061 - 791 - 0 - - - https://avatars.githubusercontent.com/u/394260?s=40&v=4 - vowstar - https://github.com/vowstar + https://avatars.githubusercontent.com/u/56116443?s=40&v=4 + tiagoistuque + https://github.com/tiagoistuque diff --git a/data/daily/pawn.json b/data/daily/pawn.json index e7abe0699a21..bc37bece6f62 100644 --- a/data/daily/pawn.json +++ b/data/daily/pawn.json @@ -2,6 +2,6 @@ "title": "GitHub Pawn Languages Daily Trending", "description": "Daily Trending of Pawn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pawn.xml b/data/daily/pawn.xml index ff3ac513909c..f81bc3f087df 100644 --- a/data/daily/pawn.xml +++ b/data/daily/pawn.xml @@ -3,6 +3,6 @@ GitHub Pawn Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pawn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pddl.json b/data/daily/pddl.json index f2995f34e42c..1599c27d4d14 100644 --- a/data/daily/pddl.json +++ b/data/daily/pddl.json @@ -2,6 +2,6 @@ "title": "GitHub Pddl Languages Daily Trending", "description": "Daily Trending of Pddl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pddl.xml b/data/daily/pddl.xml index b1c6db39728d..f4d2a084da87 100644 --- a/data/daily/pddl.xml +++ b/data/daily/pddl.xml @@ -3,6 +3,6 @@ GitHub Pddl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pddl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/peg.js.json b/data/daily/peg.js.json index e29387c3be0b..725fafb3843e 100644 --- a/data/daily/peg.js.json +++ b/data/daily/peg.js.json @@ -2,6 +2,6 @@ "title": "GitHub Peg.js Languages Daily Trending", "description": "Daily Trending of Peg.js Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/peg.js.xml b/data/daily/peg.js.xml index 8e969a09555d..01645836280f 100644 --- a/data/daily/peg.js.xml +++ b/data/daily/peg.js.xml @@ -3,6 +3,6 @@ GitHub Peg.js Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Peg.js Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pep8.json b/data/daily/pep8.json index 474aeff652a4..1746ed46ea54 100644 --- a/data/daily/pep8.json +++ b/data/daily/pep8.json @@ -2,6 +2,6 @@ "title": "GitHub Pep8 Languages Daily Trending", "description": "Daily Trending of Pep8 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pep8.xml b/data/daily/pep8.xml index 908d1a054eff..e473a9460bda 100644 --- a/data/daily/pep8.xml +++ b/data/daily/pep8.xml @@ -3,6 +3,6 @@ GitHub Pep8 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pep8 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/perl.json b/data/daily/perl.json index d2141807f107..7b86a52ecc3f 100644 --- a/data/daily/perl.json +++ b/data/daily/perl.json @@ -2,7 +2,7 @@ "title": "GitHub Perl Languages Daily Trending", "description": "Daily Trending of Perl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "cplusplus/papers", @@ -26,117 +26,6 @@ } ] }, - { - "title": "sullo/nikto", - "url": "https://github.com/sullo/nikto", - "description": "Nikto web server scanner", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "8,646", - "forks": "1,249", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1474884?s=40&v=4", - "name": "sullo", - "url": "https://github.com/sullo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3503697?s=40&v=4", - "name": "tautology0", - "url": "https://github.com/tautology0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/192655?s=40&v=4", - "name": "opt9", - "url": "https://github.com/opt9" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1476340?s=40&v=4", - "name": "pvdl", - "url": "https://github.com/pvdl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/92534?s=40&v=4", - "name": "wireghoul", - "url": "https://github.com/wireghoul" - } - ] - }, - { - "title": "holzschu/a-shell", - "url": "https://github.com/holzschu/a-shell", - "description": "A terminal for iOS, with multiple windows", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "2,729", - "forks": "117", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1814380?s=40&v=4", - "name": "N-Holzschuch", - "url": "https://github.com/N-Holzschuch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/46334387?s=40&v=4", - "name": "personalizedrefrigerator", - "url": "https://github.com/personalizedrefrigerator" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8070736?s=40&v=4", - "name": "holzschu", - "url": "https://github.com/holzschu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/601636?s=40&v=4", - "name": "kkebo", - "url": "https://github.com/kkebo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54460050?s=40&v=4", - "name": "HeavySnowJakarta", - "url": "https://github.com/HeavySnowJakarta" - } - ] - }, - { - "title": "ddclient/ddclient", - "url": "https://github.com/ddclient/ddclient", - "description": "Ddclient updates dynamic DNS entries for accounts on a wide range of dynamic DNS services.", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "2,480", - "forks": "342", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1612291?s=40&v=4", - "name": "rhansen", - "url": "https://github.com/rhansen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", - "name": "SuperSandro2000", - "url": "https://github.com/SuperSandro2000" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/46162223?s=40&v=4", - "name": "LenardHess", - "url": "https://github.com/LenardHess" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17837612?s=40&v=4", - "name": "dkerr64", - "url": "https://github.com/dkerr64" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/345877?s=40&v=4", - "name": "wimpunk", - "url": "https://github.com/wimpunk" - } - ] - }, { "title": "kazuho/p5-Server-Starter", "url": "https://github.com/kazuho/p5-Server-Starter", @@ -174,13 +63,50 @@ } ] }, + { + "title": "adrienverge/openfortivpn", + "url": "https://github.com/adrienverge/openfortivpn", + "description": "Client for PPP+TLS VPN tunnel services", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "2,746", + "forks": "322", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3234522?s=40&v=4", + "name": "DimitriPapadopoulos", + "url": "https://github.com/DimitriPapadopoulos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11367971?s=40&v=4", + "name": "mrbaseman", + "url": "https://github.com/mrbaseman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5244945?s=40&v=4", + "name": "adrienverge", + "url": "https://github.com/adrienverge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/92695?s=40&v=4", + "name": "lkundrak", + "url": "https://github.com/lkundrak" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1729331?s=40&v=4", + "name": "martinetd", + "url": "https://github.com/martinetd" + } + ] + }, { "title": "Difegue/LANraragi", "url": "https://github.com/Difegue/LANraragi", "description": "Web application for archival and reading of manga/doujinshi. Lightweight and Docker-ready for NAS/servers.", "language": "Perl", "languageColor": "#0298c3", - "stars": "2,269", + "stars": "2,270", "forks": "160", "addStars": "4", "contributors": [ @@ -211,6 +137,43 @@ } ] }, + { + "title": "movabletype/movabletype", + "url": "https://github.com/movabletype/movabletype", + "description": "Movable Type", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "406", + "forks": "111", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1443490?s=40&v=4", + "name": "masiuchi", + "url": "https://github.com/masiuchi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36517?s=40&v=4", + "name": "charsbar", + "url": "https://github.com/charsbar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70859?s=40&v=4", + "name": "yuji", + "url": "https://github.com/yuji" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2461418?s=40&v=4", + "name": "taitetsu", + "url": "https://github.com/taitetsu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30598?s=40&v=4", + "name": "usualoma", + "url": "https://github.com/usualoma" + } + ] + }, { "title": "yaml/yaml-test-suite", "url": "https://github.com/yaml/yaml-test-suite", @@ -249,39 +212,39 @@ ] }, { - "title": "Perl/perl5", - "url": "https://github.com/Perl/perl5", - "description": "🐪 The Perl programming language", + "title": "ddclient/ddclient", + "url": "https://github.com/ddclient/ddclient", + "description": "Ddclient updates dynamic DNS entries for accounts on a wide range of dynamic DNS services.", "language": "Perl", "languageColor": "#0298c3", - "stars": "1,984", - "forks": "559", - "addStars": "1", + "stars": "2,480", + "forks": "342", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/223441?s=40&v=4", - "name": "jhi", - "url": "https://github.com/jhi" + "avatar": "https://avatars.githubusercontent.com/u/1612291?s=40&v=4", + "name": "rhansen", + "url": "https://github.com/rhansen" }, { - "avatar": "https://avatars.githubusercontent.com/u/106911?s=40&v=4", - "name": "khwilliamson", - "url": "https://github.com/khwilliamson" + "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", + "name": "SuperSandro2000", + "url": "https://github.com/SuperSandro2000" }, { - "avatar": "https://avatars.githubusercontent.com/u/56631196?s=40&v=4", - "name": "nwc10", - "url": "https://github.com/nwc10" + "avatar": "https://avatars.githubusercontent.com/u/46162223?s=40&v=4", + "name": "LenardHess", + "url": "https://github.com/LenardHess" }, { - "avatar": "https://avatars.githubusercontent.com/u/8632853?s=40&v=4", - "name": "iabyn", - "url": "https://github.com/iabyn" + "avatar": "https://avatars.githubusercontent.com/u/17837612?s=40&v=4", + "name": "dkerr64", + "url": "https://github.com/dkerr64" }, { - "avatar": "https://avatars.githubusercontent.com/u/51701?s=40&v=4", - "name": "rgs", - "url": "https://github.com/rgs" + "avatar": "https://avatars.githubusercontent.com/u/345877?s=40&v=4", + "name": "wimpunk", + "url": "https://github.com/wimpunk" } ] }, @@ -322,6 +285,154 @@ } ] }, + { + "title": "major/MySQLTuner-perl", + "url": "https://github.com/major/MySQLTuner-perl", + "description": "MySQLTuner is a script written in Perl that will assist you with your MySQL configuration and make recommendations for increased performance and stability.", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "8,982", + "forks": "1,287", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/620907?s=40&v=4", + "name": "jmrenouard", + "url": "https://github.com/jmrenouard" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89910?s=40&v=4", + "name": "major", + "url": "https://github.com/major" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/462287?s=40&v=4", + "name": "grooverdan", + "url": "https://github.com/grooverdan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/165430?s=40&v=4", + "name": "netsandbox", + "url": "https://github.com/netsandbox" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74498097?s=40&v=4", + "name": "longradix", + "url": "https://github.com/longradix" + } + ] + }, + { + "title": "so-fancy/diff-so-fancy", + "url": "https://github.com/so-fancy/diff-so-fancy", + "description": "Good-lookin' diffs. Actually… nah… The best-lookin' diffs. 🎉", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "17,393", + "forks": "335", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3429760?s=40&v=4", + "name": "scottchiefbaker", + "url": "https://github.com/scottchiefbaker" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39191?s=40&v=4", + "name": "paulirish", + "url": "https://github.com/paulirish" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6316590?s=40&v=4", + "name": "stevemao", + "url": "https://github.com/stevemao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3946014?s=40&v=4", + "name": "OJFord", + "url": "https://github.com/OJFord" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8596965?s=40&v=4", + "name": "GenieTim", + "url": "https://github.com/GenieTim" + } + ] + }, + { + "title": "imapsync/imapsync", + "url": "https://github.com/imapsync/imapsync", + "description": "Imapsync is an IMAP transfers tool. The purpose of imapsync is to migrate IMAP accounts or to backup IMAP accounts. IMAP is one of the three current standard protocols to access mailboxes, the two others are POP3 and HTTP with webmails, webmails are often tied to an IMAP server. Upstream website is", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "3,395", + "forks": "472", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/111256?s=40&v=4", + "name": "nbebout", + "url": "https://github.com/nbebout" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3310469?s=40&v=4", + "name": "gilleslamiral", + "url": "https://github.com/gilleslamiral" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5670510?s=40&v=4", + "name": "danielwagn3r", + "url": "https://github.com/danielwagn3r" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89133?s=40&v=4", + "name": "rzr", + "url": "https://github.com/rzr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/290626?s=40&v=4", + "name": "jazzzz", + "url": "https://github.com/jazzzz" + } + ] + }, + { + "title": "jimsalterjrs/sanoid", + "url": "https://github.com/jimsalterjrs/sanoid", + "description": "These are policy-driven snapshot management and replication tools which use OpenZFS for underlying next-gen storage. (Btrfs support plans are shelved unless and until btrfs becomes reliable.)", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "3,141", + "forks": "308", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1280796?s=40&v=4", + "name": "jimsalterjrs", + "url": "https://github.com/jimsalterjrs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12198141?s=40&v=4", + "name": "phreaker0", + "url": "https://github.com/phreaker0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13137622?s=40&v=4", + "name": "shodanshok", + "url": "https://github.com/shodanshok" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4007992?s=40&v=4", + "name": "LordAro", + "url": "https://github.com/LordAro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/113383?s=40&v=4", + "name": "rlaager", + "url": "https://github.com/rlaager" + } + ] + }, { "title": "liske/needrestart", "url": "https://github.com/liske/needrestart", @@ -359,6 +470,43 @@ } ] }, + { + "title": "sullo/nikto", + "url": "https://github.com/sullo/nikto", + "description": "Nikto web server scanner", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "8,647", + "forks": "1,249", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1474884?s=40&v=4", + "name": "sullo", + "url": "https://github.com/sullo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3503697?s=40&v=4", + "name": "tautology0", + "url": "https://github.com/tautology0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/192655?s=40&v=4", + "name": "opt9", + "url": "https://github.com/opt9" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1476340?s=40&v=4", + "name": "pvdl", + "url": "https://github.com/pvdl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/92534?s=40&v=4", + "name": "wireghoul", + "url": "https://github.com/wireghoul" + } + ] + }, { "title": "LMS-Community/slimserver", "url": "https://github.com/LMS-Community/slimserver", @@ -396,43 +544,6 @@ } ] }, - { - "title": "adrienverge/openfortivpn", - "url": "https://github.com/adrienverge/openfortivpn", - "description": "Client for PPP+TLS VPN tunnel services", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "2,746", - "forks": "322", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3234522?s=40&v=4", - "name": "DimitriPapadopoulos", - "url": "https://github.com/DimitriPapadopoulos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11367971?s=40&v=4", - "name": "mrbaseman", - "url": "https://github.com/mrbaseman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5244945?s=40&v=4", - "name": "adrienverge", - "url": "https://github.com/adrienverge" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/92695?s=40&v=4", - "name": "lkundrak", - "url": "https://github.com/lkundrak" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1729331?s=40&v=4", - "name": "martinetd", - "url": "https://github.com/martinetd" - } - ] - }, { "title": "ThePrimeagen/.dotfiles", "url": "https://github.com/ThePrimeagen/.dotfiles", @@ -465,43 +576,6 @@ } ] }, - { - "title": "Zimbra/zm-build", - "url": "https://github.com/Zimbra/zm-build", - "description": "zm-build for Zimbra Collaboration Suite, FOSS Edition", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "185", - "forks": "87", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1577679?s=40&v=4", - "name": "zimbra-build", - "url": "https://github.com/zimbra-build" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6829212?s=40&v=4", - "name": "conraddamon", - "url": "https://github.com/conraddamon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7876006?s=40&v=4", - "name": "shri314", - "url": "https://github.com/shri314" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18736701?s=40&v=4", - "name": "djpitt", - "url": "https://github.com/djpitt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1310940?s=40&v=4", - "name": "grishick", - "url": "https://github.com/grishick" - } - ] - }, { "title": "OpenKore/openkore", "url": "https://github.com/OpenKore/openkore", @@ -540,76 +614,150 @@ ] }, { - "title": "AlDanial/cloc", - "url": "https://github.com/AlDanial/cloc", - "description": "cloc counts blank lines, comment lines, and physical lines of source code in many programming languages.", + "title": "brendangregg/FlameGraph", + "url": "https://github.com/brendangregg/FlameGraph", + "description": "Stack trace visualizer", "language": "Perl", "languageColor": "#0298c3", - "stars": "19,730", - "forks": "1,022", - "addStars": "6", + "stars": "17,427", + "forks": "1,971", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1459933?s=40&v=4", - "name": "AlDanial", - "url": "https://github.com/AlDanial" + "avatar": "https://avatars.githubusercontent.com/u/1101211?s=40&v=4", + "name": "brendangregg", + "url": "https://github.com/brendangregg" }, { - "avatar": "https://avatars.githubusercontent.com/u/7748385?s=40&v=4", - "name": "stsnel", - "url": "https://github.com/stsnel" + "avatar": "https://avatars.githubusercontent.com/u/4646630?s=40&v=4", + "name": "versable", + "url": "https://github.com/versable" }, { - "avatar": "https://avatars.githubusercontent.com/u/1958551?s=40&v=4", - "name": "wonderbird", - "url": "https://github.com/wonderbird" + "avatar": "https://avatars.githubusercontent.com/u/62403?s=40&v=4", + "name": "timbunce", + "url": "https://github.com/timbunce" }, { - "avatar": "https://avatars.githubusercontent.com/u/3129230?s=40&v=4", - "name": "jolkdarr", - "url": "https://github.com/jolkdarr" + "avatar": "https://avatars.githubusercontent.com/u/994100?s=40&v=4", + "name": "shawn-sterling", + "url": "https://github.com/shawn-sterling" }, { - "avatar": "https://avatars.githubusercontent.com/u/745595?s=40&v=4", - "name": "cbek", - "url": "https://github.com/cbek" + "avatar": "https://avatars.githubusercontent.com/u/2149217?s=40&v=4", + "name": "knittl", + "url": "https://github.com/knittl" } ] }, { - "title": "shutter-project/shutter", - "url": "https://github.com/shutter-project/shutter", - "description": "Screenshot tool for Linux", + "title": "lm-sensors/lm-sensors", + "url": "https://github.com/lm-sensors/lm-sensors", + "description": "lm-sensors repository", "language": "Perl", "languageColor": "#0298c3", - "stars": "538", - "forks": "35", - "addStars": "0", + "stars": "928", + "forks": "272", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1030448?s=40&v=4", + "name": "groeck", + "url": "https://github.com/groeck" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14074934?s=40&v=4", + "name": "olysonek", + "url": "https://github.com/olysonek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18614180?s=40&v=4", + "name": "jschwender", + "url": "https://github.com/jschwender" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/882626?s=40&v=4", + "name": "rfdougherty", + "url": "https://github.com/rfdougherty" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5486063?s=40&v=4", + "name": "sibeream", + "url": "https://github.com/sibeream" + } + ] + }, + { + "title": "Perl/perl5", + "url": "https://github.com/Perl/perl5", + "description": "🐪 The Perl programming language", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "1,984", + "forks": "559", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/325092?s=40&v=4", - "name": "DarthGandalf", - "url": "https://github.com/DarthGandalf" + "avatar": "https://avatars.githubusercontent.com/u/223441?s=40&v=4", + "name": "jhi", + "url": "https://github.com/jhi" }, { - "avatar": "https://avatars.githubusercontent.com/u/1191480?s=40&v=4", - "name": "Photon89", - "url": "https://github.com/Photon89" + "avatar": "https://avatars.githubusercontent.com/u/106911?s=40&v=4", + "name": "khwilliamson", + "url": "https://github.com/khwilliamson" }, { - "avatar": "https://avatars.githubusercontent.com/u/986210?s=40&v=4", - "name": "ruzhnikov", - "url": "https://github.com/ruzhnikov" + "avatar": "https://avatars.githubusercontent.com/u/56631196?s=40&v=4", + "name": "nwc10", + "url": "https://github.com/nwc10" }, { - "avatar": "https://avatars.githubusercontent.com/u/110988?s=40&v=4", - "name": "vadi2", - "url": "https://github.com/vadi2" + "avatar": "https://avatars.githubusercontent.com/u/8632853?s=40&v=4", + "name": "iabyn", + "url": "https://github.com/iabyn" }, { - "avatar": "https://avatars.githubusercontent.com/u/1110441?s=40&v=4", - "name": "dod38fr", - "url": "https://github.com/dod38fr" + "avatar": "https://avatars.githubusercontent.com/u/51701?s=40&v=4", + "name": "rgs", + "url": "https://github.com/rgs" + } + ] + }, + { + "title": "holzschu/a-shell", + "url": "https://github.com/holzschu/a-shell", + "description": "A terminal for iOS, with multiple windows", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "2,729", + "forks": "117", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1814380?s=40&v=4", + "name": "N-Holzschuch", + "url": "https://github.com/N-Holzschuch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46334387?s=40&v=4", + "name": "personalizedrefrigerator", + "url": "https://github.com/personalizedrefrigerator" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8070736?s=40&v=4", + "name": "holzschu", + "url": "https://github.com/holzschu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/601636?s=40&v=4", + "name": "kkebo", + "url": "https://github.com/kkebo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54460050?s=40&v=4", + "name": "HeavySnowJakarta", + "url": "https://github.com/HeavySnowJakarta" } ] } diff --git a/data/daily/perl.xml b/data/daily/perl.xml index abf8a5bc6274..17005a6a8ac0 100644 --- a/data/daily/perl.xml +++ b/data/daily/perl.xml @@ -3,7 +3,7 @@ GitHub Perl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Perl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT cplusplus/papers https://github.com/cplusplus/papers @@ -27,120 +27,6 @@ - - sullo/nikto - https://github.com/sullo/nikto - Nikto web server scanner - https://github.com/sullo/nikto - Perl - #0298c3 - 8,646 - 1,249 - 3 - - - https://avatars.githubusercontent.com/u/1474884?s=40&v=4 - sullo - https://github.com/sullo - - - https://avatars.githubusercontent.com/u/3503697?s=40&v=4 - tautology0 - https://github.com/tautology0 - - - https://avatars.githubusercontent.com/u/192655?s=40&v=4 - opt9 - https://github.com/opt9 - - - https://avatars.githubusercontent.com/u/1476340?s=40&v=4 - pvdl - https://github.com/pvdl - - - https://avatars.githubusercontent.com/u/92534?s=40&v=4 - wireghoul - https://github.com/wireghoul - - - - - holzschu/a-shell - https://github.com/holzschu/a-shell - A terminal for iOS, with multiple windows - https://github.com/holzschu/a-shell - Perl - #0298c3 - 2,729 - 117 - 1 - - - https://avatars.githubusercontent.com/u/1814380?s=40&v=4 - N-Holzschuch - https://github.com/N-Holzschuch - - - https://avatars.githubusercontent.com/u/46334387?s=40&v=4 - personalizedrefrigerator - https://github.com/personalizedrefrigerator - - - https://avatars.githubusercontent.com/u/8070736?s=40&v=4 - holzschu - https://github.com/holzschu - - - https://avatars.githubusercontent.com/u/601636?s=40&v=4 - kkebo - https://github.com/kkebo - - - https://avatars.githubusercontent.com/u/54460050?s=40&v=4 - HeavySnowJakarta - https://github.com/HeavySnowJakarta - - - - - ddclient/ddclient - https://github.com/ddclient/ddclient - Ddclient updates dynamic DNS entries for accounts on a wide range of dynamic DNS services. - https://github.com/ddclient/ddclient - Perl - #0298c3 - 2,480 - 342 - 0 - - - https://avatars.githubusercontent.com/u/1612291?s=40&v=4 - rhansen - https://github.com/rhansen - - - https://avatars.githubusercontent.com/u/7258858?s=40&v=4 - SuperSandro2000 - https://github.com/SuperSandro2000 - - - https://avatars.githubusercontent.com/u/46162223?s=40&v=4 - LenardHess - https://github.com/LenardHess - - - https://avatars.githubusercontent.com/u/17837612?s=40&v=4 - dkerr64 - https://github.com/dkerr64 - - - https://avatars.githubusercontent.com/u/345877?s=40&v=4 - wimpunk - https://github.com/wimpunk - - - kazuho/p5-Server-Starter https://github.com/kazuho/p5-Server-Starter @@ -179,6 +65,44 @@ + + adrienverge/openfortivpn + https://github.com/adrienverge/openfortivpn + Client for PPP+TLS VPN tunnel services + https://github.com/adrienverge/openfortivpn + Perl + #0298c3 + 2,746 + 322 + 3 + + + https://avatars.githubusercontent.com/u/3234522?s=40&v=4 + DimitriPapadopoulos + https://github.com/DimitriPapadopoulos + + + https://avatars.githubusercontent.com/u/11367971?s=40&v=4 + mrbaseman + https://github.com/mrbaseman + + + https://avatars.githubusercontent.com/u/5244945?s=40&v=4 + adrienverge + https://github.com/adrienverge + + + https://avatars.githubusercontent.com/u/92695?s=40&v=4 + lkundrak + https://github.com/lkundrak + + + https://avatars.githubusercontent.com/u/1729331?s=40&v=4 + martinetd + https://github.com/martinetd + + + Difegue/LANraragi https://github.com/Difegue/LANraragi @@ -186,7 +110,7 @@ https://github.com/Difegue/LANraragi Perl #0298c3 - 2,269 + 2,270 160 4 @@ -217,6 +141,44 @@ + + movabletype/movabletype + https://github.com/movabletype/movabletype + Movable Type + https://github.com/movabletype/movabletype + Perl + #0298c3 + 406 + 111 + 0 + + + https://avatars.githubusercontent.com/u/1443490?s=40&v=4 + masiuchi + https://github.com/masiuchi + + + https://avatars.githubusercontent.com/u/36517?s=40&v=4 + charsbar + https://github.com/charsbar + + + https://avatars.githubusercontent.com/u/70859?s=40&v=4 + yuji + https://github.com/yuji + + + https://avatars.githubusercontent.com/u/2461418?s=40&v=4 + taitetsu + https://github.com/taitetsu + + + https://avatars.githubusercontent.com/u/30598?s=40&v=4 + usualoma + https://github.com/usualoma + + + yaml/yaml-test-suite https://github.com/yaml/yaml-test-suite @@ -256,40 +218,40 @@ - Perl/perl5 - https://github.com/Perl/perl5 - 🐪 The Perl programming language - https://github.com/Perl/perl5 + ddclient/ddclient + https://github.com/ddclient/ddclient + Ddclient updates dynamic DNS entries for accounts on a wide range of dynamic DNS services. + https://github.com/ddclient/ddclient Perl #0298c3 - 1,984 - 559 - 1 + 2,480 + 342 + 0 - https://avatars.githubusercontent.com/u/223441?s=40&v=4 - jhi - https://github.com/jhi + https://avatars.githubusercontent.com/u/1612291?s=40&v=4 + rhansen + https://github.com/rhansen - https://avatars.githubusercontent.com/u/106911?s=40&v=4 - khwilliamson - https://github.com/khwilliamson + https://avatars.githubusercontent.com/u/7258858?s=40&v=4 + SuperSandro2000 + https://github.com/SuperSandro2000 - https://avatars.githubusercontent.com/u/56631196?s=40&v=4 - nwc10 - https://github.com/nwc10 + https://avatars.githubusercontent.com/u/46162223?s=40&v=4 + LenardHess + https://github.com/LenardHess - https://avatars.githubusercontent.com/u/8632853?s=40&v=4 - iabyn - https://github.com/iabyn + https://avatars.githubusercontent.com/u/17837612?s=40&v=4 + dkerr64 + https://github.com/dkerr64 - https://avatars.githubusercontent.com/u/51701?s=40&v=4 - rgs - https://github.com/rgs + https://avatars.githubusercontent.com/u/345877?s=40&v=4 + wimpunk + https://github.com/wimpunk @@ -331,6 +293,158 @@ + + major/MySQLTuner-perl + https://github.com/major/MySQLTuner-perl + MySQLTuner is a script written in Perl that will assist you with your MySQL configuration and make recommendations for increased performance and stability. + https://github.com/major/MySQLTuner-perl + Perl + #0298c3 + 8,982 + 1,287 + 2 + + + https://avatars.githubusercontent.com/u/620907?s=40&v=4 + jmrenouard + https://github.com/jmrenouard + + + https://avatars.githubusercontent.com/u/89910?s=40&v=4 + major + https://github.com/major + + + https://avatars.githubusercontent.com/u/462287?s=40&v=4 + grooverdan + https://github.com/grooverdan + + + https://avatars.githubusercontent.com/u/165430?s=40&v=4 + netsandbox + https://github.com/netsandbox + + + https://avatars.githubusercontent.com/u/74498097?s=40&v=4 + longradix + https://github.com/longradix + + + + + so-fancy/diff-so-fancy + https://github.com/so-fancy/diff-so-fancy + Good-lookin' diffs. Actually… nah… The best-lookin' diffs. 🎉 + https://github.com/so-fancy/diff-so-fancy + Perl + #0298c3 + 17,393 + 335 + 1 + + + https://avatars.githubusercontent.com/u/3429760?s=40&v=4 + scottchiefbaker + https://github.com/scottchiefbaker + + + https://avatars.githubusercontent.com/u/39191?s=40&v=4 + paulirish + https://github.com/paulirish + + + https://avatars.githubusercontent.com/u/6316590?s=40&v=4 + stevemao + https://github.com/stevemao + + + https://avatars.githubusercontent.com/u/3946014?s=40&v=4 + OJFord + https://github.com/OJFord + + + https://avatars.githubusercontent.com/u/8596965?s=40&v=4 + GenieTim + https://github.com/GenieTim + + + + + imapsync/imapsync + https://github.com/imapsync/imapsync + Imapsync is an IMAP transfers tool. The purpose of imapsync is to migrate IMAP accounts or to backup IMAP accounts. IMAP is one of the three current standard protocols to access mailboxes, the two others are POP3 and HTTP with webmails, webmails are often tied to an IMAP server. Upstream website is + https://github.com/imapsync/imapsync + Perl + #0298c3 + 3,395 + 472 + 1 + + + https://avatars.githubusercontent.com/u/111256?s=40&v=4 + nbebout + https://github.com/nbebout + + + https://avatars.githubusercontent.com/u/3310469?s=40&v=4 + gilleslamiral + https://github.com/gilleslamiral + + + https://avatars.githubusercontent.com/u/5670510?s=40&v=4 + danielwagn3r + https://github.com/danielwagn3r + + + https://avatars.githubusercontent.com/u/89133?s=40&v=4 + rzr + https://github.com/rzr + + + https://avatars.githubusercontent.com/u/290626?s=40&v=4 + jazzzz + https://github.com/jazzzz + + + + + jimsalterjrs/sanoid + https://github.com/jimsalterjrs/sanoid + These are policy-driven snapshot management and replication tools which use OpenZFS for underlying next-gen storage. (Btrfs support plans are shelved unless and until btrfs becomes reliable.) + https://github.com/jimsalterjrs/sanoid + Perl + #0298c3 + 3,141 + 308 + 1 + + + https://avatars.githubusercontent.com/u/1280796?s=40&v=4 + jimsalterjrs + https://github.com/jimsalterjrs + + + https://avatars.githubusercontent.com/u/12198141?s=40&v=4 + phreaker0 + https://github.com/phreaker0 + + + https://avatars.githubusercontent.com/u/13137622?s=40&v=4 + shodanshok + https://github.com/shodanshok + + + https://avatars.githubusercontent.com/u/4007992?s=40&v=4 + LordAro + https://github.com/LordAro + + + https://avatars.githubusercontent.com/u/113383?s=40&v=4 + rlaager + https://github.com/rlaager + + + liske/needrestart https://github.com/liske/needrestart @@ -369,6 +483,44 @@ + + sullo/nikto + https://github.com/sullo/nikto + Nikto web server scanner + https://github.com/sullo/nikto + Perl + #0298c3 + 8,647 + 1,249 + 3 + + + https://avatars.githubusercontent.com/u/1474884?s=40&v=4 + sullo + https://github.com/sullo + + + https://avatars.githubusercontent.com/u/3503697?s=40&v=4 + tautology0 + https://github.com/tautology0 + + + https://avatars.githubusercontent.com/u/192655?s=40&v=4 + opt9 + https://github.com/opt9 + + + https://avatars.githubusercontent.com/u/1476340?s=40&v=4 + pvdl + https://github.com/pvdl + + + https://avatars.githubusercontent.com/u/92534?s=40&v=4 + wireghoul + https://github.com/wireghoul + + + LMS-Community/slimserver https://github.com/LMS-Community/slimserver @@ -407,44 +559,6 @@ - - adrienverge/openfortivpn - https://github.com/adrienverge/openfortivpn - Client for PPP+TLS VPN tunnel services - https://github.com/adrienverge/openfortivpn - Perl - #0298c3 - 2,746 - 322 - 3 - - - https://avatars.githubusercontent.com/u/3234522?s=40&v=4 - DimitriPapadopoulos - https://github.com/DimitriPapadopoulos - - - https://avatars.githubusercontent.com/u/11367971?s=40&v=4 - mrbaseman - https://github.com/mrbaseman - - - https://avatars.githubusercontent.com/u/5244945?s=40&v=4 - adrienverge - https://github.com/adrienverge - - - https://avatars.githubusercontent.com/u/92695?s=40&v=4 - lkundrak - https://github.com/lkundrak - - - https://avatars.githubusercontent.com/u/1729331?s=40&v=4 - martinetd - https://github.com/martinetd - - - ThePrimeagen/.dotfiles https://github.com/ThePrimeagen/.dotfiles @@ -478,44 +592,6 @@ - - Zimbra/zm-build - https://github.com/Zimbra/zm-build - zm-build for Zimbra Collaboration Suite, FOSS Edition - https://github.com/Zimbra/zm-build - Perl - #0298c3 - 185 - 87 - 0 - - - https://avatars.githubusercontent.com/u/1577679?s=40&v=4 - zimbra-build - https://github.com/zimbra-build - - - https://avatars.githubusercontent.com/u/6829212?s=40&v=4 - conraddamon - https://github.com/conraddamon - - - https://avatars.githubusercontent.com/u/7876006?s=40&v=4 - shri314 - https://github.com/shri314 - - - https://avatars.githubusercontent.com/u/18736701?s=40&v=4 - djpitt - https://github.com/djpitt - - - https://avatars.githubusercontent.com/u/1310940?s=40&v=4 - grishick - https://github.com/grishick - - - OpenKore/openkore https://github.com/OpenKore/openkore @@ -555,78 +631,154 @@ - AlDanial/cloc - https://github.com/AlDanial/cloc - cloc counts blank lines, comment lines, and physical lines of source code in many programming languages. - https://github.com/AlDanial/cloc + brendangregg/FlameGraph + https://github.com/brendangregg/FlameGraph + Stack trace visualizer + https://github.com/brendangregg/FlameGraph Perl #0298c3 - 19,730 - 1,022 - 6 + 17,427 + 1,971 + 0 - https://avatars.githubusercontent.com/u/1459933?s=40&v=4 - AlDanial - https://github.com/AlDanial + https://avatars.githubusercontent.com/u/1101211?s=40&v=4 + brendangregg + https://github.com/brendangregg - https://avatars.githubusercontent.com/u/7748385?s=40&v=4 - stsnel - https://github.com/stsnel + https://avatars.githubusercontent.com/u/4646630?s=40&v=4 + versable + https://github.com/versable - https://avatars.githubusercontent.com/u/1958551?s=40&v=4 - wonderbird - https://github.com/wonderbird + https://avatars.githubusercontent.com/u/62403?s=40&v=4 + timbunce + https://github.com/timbunce - https://avatars.githubusercontent.com/u/3129230?s=40&v=4 - jolkdarr - https://github.com/jolkdarr + https://avatars.githubusercontent.com/u/994100?s=40&v=4 + shawn-sterling + https://github.com/shawn-sterling - https://avatars.githubusercontent.com/u/745595?s=40&v=4 - cbek - https://github.com/cbek + https://avatars.githubusercontent.com/u/2149217?s=40&v=4 + knittl + https://github.com/knittl - shutter-project/shutter - https://github.com/shutter-project/shutter - Screenshot tool for Linux - https://github.com/shutter-project/shutter + lm-sensors/lm-sensors + https://github.com/lm-sensors/lm-sensors + lm-sensors repository + https://github.com/lm-sensors/lm-sensors Perl #0298c3 - 538 - 35 - 0 + 928 + 272 + 2 - https://avatars.githubusercontent.com/u/325092?s=40&v=4 - DarthGandalf - https://github.com/DarthGandalf + https://avatars.githubusercontent.com/u/1030448?s=40&v=4 + groeck + https://github.com/groeck + + + https://avatars.githubusercontent.com/u/14074934?s=40&v=4 + olysonek + https://github.com/olysonek - https://avatars.githubusercontent.com/u/1191480?s=40&v=4 - Photon89 - https://github.com/Photon89 + https://avatars.githubusercontent.com/u/18614180?s=40&v=4 + jschwender + https://github.com/jschwender - https://avatars.githubusercontent.com/u/986210?s=40&v=4 - ruzhnikov - https://github.com/ruzhnikov + https://avatars.githubusercontent.com/u/882626?s=40&v=4 + rfdougherty + https://github.com/rfdougherty - https://avatars.githubusercontent.com/u/110988?s=40&v=4 - vadi2 - https://github.com/vadi2 + https://avatars.githubusercontent.com/u/5486063?s=40&v=4 + sibeream + https://github.com/sibeream + + + + + Perl/perl5 + https://github.com/Perl/perl5 + 🐪 The Perl programming language + https://github.com/Perl/perl5 + Perl + #0298c3 + 1,984 + 559 + 1 + + + https://avatars.githubusercontent.com/u/223441?s=40&v=4 + jhi + https://github.com/jhi - https://avatars.githubusercontent.com/u/1110441?s=40&v=4 - dod38fr - https://github.com/dod38fr + https://avatars.githubusercontent.com/u/106911?s=40&v=4 + khwilliamson + https://github.com/khwilliamson + + + https://avatars.githubusercontent.com/u/56631196?s=40&v=4 + nwc10 + https://github.com/nwc10 + + + https://avatars.githubusercontent.com/u/8632853?s=40&v=4 + iabyn + https://github.com/iabyn + + + https://avatars.githubusercontent.com/u/51701?s=40&v=4 + rgs + https://github.com/rgs + + + + + holzschu/a-shell + https://github.com/holzschu/a-shell + A terminal for iOS, with multiple windows + https://github.com/holzschu/a-shell + Perl + #0298c3 + 2,729 + 117 + 1 + + + https://avatars.githubusercontent.com/u/1814380?s=40&v=4 + N-Holzschuch + https://github.com/N-Holzschuch + + + https://avatars.githubusercontent.com/u/46334387?s=40&v=4 + personalizedrefrigerator + https://github.com/personalizedrefrigerator + + + https://avatars.githubusercontent.com/u/8070736?s=40&v=4 + holzschu + https://github.com/holzschu + + + https://avatars.githubusercontent.com/u/601636?s=40&v=4 + kkebo + https://github.com/kkebo + + + https://avatars.githubusercontent.com/u/54460050?s=40&v=4 + HeavySnowJakarta + https://github.com/HeavySnowJakarta diff --git a/data/daily/php.json b/data/daily/php.json index 8e658f3b8183..55f09758248b 100644 --- a/data/daily/php.json +++ b/data/daily/php.json @@ -2,7 +2,7 @@ "title": "GitHub Php Languages Daily Trending", "description": "Daily Trending of Php Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "firefly-iii/firefly-iii", @@ -10,7 +10,7 @@ "description": "Firefly III: a personal finances manager", "language": "PHP", "languageColor": "#4F5D95", - "stars": "16,357", + "stars": "16,360", "forks": "1,483", "addStars": "11", "contributors": [ @@ -27,347 +27,357 @@ ] }, { - "title": "WordPress/wordpress-develop", - "url": "https://github.com/WordPress/wordpress-develop", - "description": "WordPress Develop, Git-ified. Synced from git://develop.git.wordpress.org/, including branches and tags! This repository is just a mirror of the WordPress subversion repository. Please include a link to a pre-existing ticket on https://core.trac.wordpress.org/ with every pull request.", + "title": "barryvdh/laravel-ide-helper", + "url": "https://github.com/barryvdh/laravel-ide-helper", + "description": "IDE Helper for Laravel", "language": "PHP", "languageColor": "#4F5D95", - "stars": "2,601", - "forks": "2,643", - "addStars": "0", + "stars": "14,248", + "forks": "1,167", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2047747?s=40&v=4", - "name": "ryanboren", - "url": "https://github.com/ryanboren" + "avatar": "https://avatars.githubusercontent.com/u/973269?s=40&v=4", + "name": "barryvdh", + "url": "https://github.com/barryvdh" }, { - "avatar": "https://avatars.githubusercontent.com/u/144512?s=40&v=4", - "name": "SergeyBiryukov", - "url": "https://github.com/SergeyBiryukov" + "avatar": "https://avatars.githubusercontent.com/u/87493?s=40&v=4", + "name": "mfn", + "url": "https://github.com/mfn" }, { - "avatar": "https://avatars.githubusercontent.com/u/272784?s=40&v=4", - "name": "nacin", - "url": "https://github.com/nacin" + "avatar": "https://avatars.githubusercontent.com/u/6945600?s=40&v=4", + "name": "netpok", + "url": "https://github.com/netpok" }, { - "avatar": "https://avatars.githubusercontent.com/u/743931?s=40&v=4", - "name": "azaozz", - "url": "https://github.com/azaozz" + "avatar": "https://avatars.githubusercontent.com/u/1913807?s=40&v=4", + "name": "JeppeKnockaert", + "url": "https://github.com/JeppeKnockaert" }, { - "avatar": "https://avatars.githubusercontent.com/u/52932?s=40&v=4", - "name": "staylor", - "url": "https://github.com/staylor" + "avatar": "https://avatars.githubusercontent.com/u/127468?s=40&v=4", + "name": "ellisio", + "url": "https://github.com/ellisio" } ] }, { - "title": "nextcloud/all-in-one", - "url": "https://github.com/nextcloud/all-in-one", - "description": "📦 The official Nextcloud installation method. Provides easy deployment and maintenance with most features included in this one Nextcloud instance.", + "title": "coollabsio/coolify", + "url": "https://github.com/coollabsio/coolify", + "description": "An open-source & self-hostable Heroku / Netlify / Vercel alternative.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "5,653", - "forks": "656", - "addStars": "5", + "stars": "34,712", + "forks": "1,897", + "addStars": "31", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42591237?s=40&v=4", - "name": "szaimen", - "url": "https://github.com/szaimen" + "avatar": "https://avatars.githubusercontent.com/u/5845193?s=40&v=4", + "name": "andrasbacsai", + "url": "https://github.com/andrasbacsai" }, { - "avatar": "https://avatars.githubusercontent.com/u/75573284?s=40&v=4", - "name": "Zoey2936", - "url": "https://github.com/Zoey2936" + "avatar": "https://avatars.githubusercontent.com/u/122374094?s=40&v=4", + "name": "peaklabs-dev", + "url": "https://github.com/peaklabs-dev" }, { - "avatar": "https://avatars.githubusercontent.com/u/88102737?s=40&v=4", - "name": "nextcloud-command", - "url": "https://github.com/nextcloud-command" + "avatar": "https://avatars.githubusercontent.com/u/26031459?s=40&v=4", + "name": "ijpatricio", + "url": "https://github.com/ijpatricio" }, { - "avatar": "https://avatars.githubusercontent.com/u/7360784?s=40&v=4", - "name": "docjyJ", - "url": "https://github.com/docjyJ" + "avatar": "https://avatars.githubusercontent.com/u/56084970?s=40&v=4", + "name": "sawa-ko", + "url": "https://github.com/sawa-ko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/69685986?s=40&v=4", + "name": "theh2so4", + "url": "https://github.com/theh2so4" } ] }, { - "title": "solstice23/argon-theme", - "url": "https://github.com/solstice23/argon-theme", - "description": "📖 Argon - 一个轻盈、简洁的 WordPress 主题", + "title": "pterodactyl/panel", + "url": "https://github.com/pterodactyl/panel", + "description": "Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,808", - "forks": "560", + "stars": "6,828", + "forks": "1,743", "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23134847?s=40&v=4", - "name": "solstice23", - "url": "https://github.com/solstice23" + "avatar": "https://avatars.githubusercontent.com/u/418376?s=40&v=4", + "name": "DaneEveritt", + "url": "https://github.com/DaneEveritt" }, { - "avatar": "https://avatars.githubusercontent.com/u/46484956?s=40&v=4", - "name": "yzxoi", - "url": "https://github.com/yzxoi" + "avatar": "https://avatars.githubusercontent.com/u/26559841?s=40&v=4", + "name": "matthewpi", + "url": "https://github.com/matthewpi" }, { - "avatar": "https://avatars.githubusercontent.com/u/51253685?s=40&v=4", - "name": "AH-dark", - "url": "https://github.com/AH-dark" + "avatar": "https://avatars.githubusercontent.com/u/1710904?s=40&v=4", + "name": "schrej", + "url": "https://github.com/schrej" }, { - "avatar": "https://avatars.githubusercontent.com/u/14857984?s=40&v=4", - "name": "Jiaocz", - "url": "https://github.com/Jiaocz" + "avatar": "https://avatars.githubusercontent.com/u/1757840?s=40&v=4", + "name": "notAreYouScared", + "url": "https://github.com/notAreYouScared" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1296882?s=40&v=4", + "name": "lancepioch", + "url": "https://github.com/lancepioch" } ] }, { - "title": "ellite/Wallos", - "url": "https://github.com/ellite/Wallos", - "description": "Wallos: Open-Source Personal Subscription Tracker", + "title": "RaspAP/raspap-webgui", + "url": "https://github.com/RaspAP/raspap-webgui", + "description": "Simple wireless AP setup & management for Debian-based devices", "language": "PHP", "languageColor": "#4F5D95", - "stars": "3,133", - "forks": "140", - "addStars": "9", + "stars": "4,481", + "forks": "794", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3831180?s=40&v=4", - "name": "ellite", - "url": "https://github.com/ellite" + "avatar": "https://avatars.githubusercontent.com/u/229399?s=40&v=4", + "name": "billz", + "url": "https://github.com/billz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/201135?s=40&v=4", + "name": "glaszig", + "url": "https://github.com/glaszig" }, { - "avatar": "https://avatars.githubusercontent.com/u/25246962?s=40&v=4", - "name": "devzwf", - "url": "https://github.com/devzwf" + "avatar": "https://avatars.githubusercontent.com/u/33725910?s=40&v=4", + "name": "zbchristian", + "url": "https://github.com/zbchristian" }, { - "avatar": "https://avatars.githubusercontent.com/u/177120?s=40&v=4", - "name": "ttam", - "url": "https://github.com/ttam" + "avatar": "https://avatars.githubusercontent.com/u/4415912?s=40&v=4", + "name": "jrmhaig", + "url": "https://github.com/jrmhaig" }, { - "avatar": "https://avatars.githubusercontent.com/u/39442192?s=40&v=4", - "name": "RoguedBear", - "url": "https://github.com/RoguedBear" + "avatar": "https://avatars.githubusercontent.com/u/4788268?s=40&v=4", + "name": "SirLagz", + "url": "https://github.com/SirLagz" } ] }, { - "title": "Dolibarr/dolibarr", - "url": "https://github.com/Dolibarr/dolibarr", - "description": "Dolibarr ERP CRM is a modern software package to manage your company or foundation's activity (contacts, suppliers, invoices, orders, stocks, agenda, accounting, ...). it's an open source Web application (written in PHP) designed for businesses of any sizes, foundations and freelancers.", + "title": "pmmp/PocketMine-MP", + "url": "https://github.com/pmmp/PocketMine-MP", + "description": "A server software for Minecraft: Bedrock Edition in PHP", "language": "PHP", "languageColor": "#4F5D95", - "stars": "5,492", - "forks": "2,798", - "addStars": "5", + "stars": "3,283", + "forks": "1,560", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/883887?s=40&v=4", - "name": "eldy", - "url": "https://github.com/eldy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/454387?s=40&v=4", - "name": "hregis", - "url": "https://github.com/hregis" + "avatar": "https://avatars.githubusercontent.com/u/14214667?s=40&v=4", + "name": "dktapps", + "url": "https://github.com/dktapps" }, { - "avatar": "https://avatars.githubusercontent.com/u/85827?s=40&v=4", - "name": "rodo", - "url": "https://github.com/rodo" + "avatar": "https://avatars.githubusercontent.com/u/516482?s=40&v=4", + "name": "shoghicp", + "url": "https://github.com/shoghicp" }, { - "avatar": "https://avatars.githubusercontent.com/u/3624836?s=40&v=4", - "name": "frederic34", - "url": "https://github.com/frederic34" + "avatar": "https://avatars.githubusercontent.com/u/5618466?s=40&v=4", + "name": "PEMapModder", + "url": "https://github.com/PEMapModder" }, { - "avatar": "https://avatars.githubusercontent.com/u/2341395?s=40&v=4", - "name": "aspangaro", - "url": "https://github.com/aspangaro" + "avatar": "https://avatars.githubusercontent.com/u/1076578?s=40&v=4", + "name": "Intyre", + "url": "https://github.com/Intyre" } ] }, { - "title": "RSS-Bridge/rss-bridge", - "url": "https://github.com/RSS-Bridge/rss-bridge", - "description": "The RSS feed for websites missing it", + "title": "guzzle/guzzle", + "url": "https://github.com/guzzle/guzzle", + "description": "Guzzle, an extensible PHP HTTP client", "language": "PHP", "languageColor": "#4F5D95", - "stars": "7,364", - "forks": "1,042", - "addStars": "1", + "stars": "23,217", + "forks": "2,400", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5776685?s=40&v=4", - "name": "logmanoriginal", - "url": "https://github.com/logmanoriginal" + "avatar": "https://avatars.githubusercontent.com/u/190930?s=40&v=4", + "name": "mtdowling", + "url": "https://github.com/mtdowling" }, { - "avatar": "https://avatars.githubusercontent.com/u/546570?s=40&v=4", - "name": "dvikan", - "url": "https://github.com/dvikan" + "avatar": "https://avatars.githubusercontent.com/u/1226384?s=40&v=4", + "name": "sagikazarmark", + "url": "https://github.com/sagikazarmark" }, { - "avatar": "https://avatars.githubusercontent.com/u/1032597?s=40&v=4", - "name": "pmaziere", - "url": "https://github.com/pmaziere" + "avatar": "https://avatars.githubusercontent.com/u/2829600?s=40&v=4", + "name": "GrahamCampbell", + "url": "https://github.com/GrahamCampbell" }, { - "avatar": "https://avatars.githubusercontent.com/u/7746332?s=40&v=4", - "name": "teromene", - "url": "https://github.com/teromene" + "avatar": "https://avatars.githubusercontent.com/u/1275206?s=40&v=4", + "name": "Nyholm", + "url": "https://github.com/Nyholm" }, { - "avatar": "https://avatars.githubusercontent.com/u/3343784?s=40&v=4", - "name": "mitsukarenai", - "url": "https://github.com/mitsukarenai" + "avatar": "https://avatars.githubusercontent.com/u/5675248?s=40&v=4", + "name": "gmponos", + "url": "https://github.com/gmponos" } ] }, { - "title": "cedar2025/Xboard", - "url": "https://github.com/cedar2025/Xboard", - "description": "基于V2board二次开发支持新协议新特性的高性能面板", + "title": "barryvdh/laravel-debugbar", + "url": "https://github.com/barryvdh/laravel-debugbar", + "description": "Debugbar for Laravel (Integrates PHP Debug Bar)", "language": "PHP", "languageColor": "#4F5D95", - "stars": "2,069", - "forks": "517", - "addStars": "2", + "stars": "17,465", + "forks": "1,563", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/147830212?s=40&v=4", - "name": "cedar2025", - "url": "https://github.com/cedar2025" + "avatar": "https://avatars.githubusercontent.com/u/973269?s=40&v=4", + "name": "barryvdh", + "url": "https://github.com/barryvdh" }, { - "avatar": "https://avatars.githubusercontent.com/u/15796229?s=40&v=4", - "name": "rebecca554owen", - "url": "https://github.com/rebecca554owen" + "avatar": "https://avatars.githubusercontent.com/u/4933954?s=40&v=4", + "name": "erikn69", + "url": "https://github.com/erikn69" }, { - "avatar": "https://avatars.githubusercontent.com/u/19740260?s=40&v=4", - "name": "ishkong", - "url": "https://github.com/ishkong" + "avatar": "https://avatars.githubusercontent.com/u/2829600?s=40&v=4", + "name": "GrahamCampbell", + "url": "https://github.com/GrahamCampbell" }, { - "avatar": "https://avatars.githubusercontent.com/u/43591953?s=40&v=4", - "name": "mercury7720", - "url": "https://github.com/mercury7720" + "avatar": "https://avatars.githubusercontent.com/u/667144?s=40&v=4", + "name": "sebdesign", + "url": "https://github.com/sebdesign" }, { - "avatar": "https://avatars.githubusercontent.com/u/6984599?s=40&v=4", - "name": "greatbody", - "url": "https://github.com/greatbody" + "avatar": "https://avatars.githubusercontent.com/u/5232313?s=40&v=4", + "name": "browner12", + "url": "https://github.com/browner12" } ] }, { - "title": "typecho/typecho", - "url": "https://github.com/typecho/typecho", - "description": "A PHP Blogging Platform. Simple and Powerful.", + "title": "MlgmXyysd/Xiaomi-HyperOS-BootLoader-Bypass", + "url": "https://github.com/MlgmXyysd/Xiaomi-HyperOS-BootLoader-Bypass", + "description": "A PoC that exploits a vulnerability to bypass the Xiaomi HyperOS community restrictions of BootLoader unlocked account bindings.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "11,464", - "forks": "2,044", - "addStars": "4", + "stars": "2,974", + "forks": "322", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/59437?s=40&v=4", - "name": "joyqi", - "url": "https://github.com/joyqi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33931153?s=40&v=4", - "name": "sy-records", - "url": "https://github.com/sy-records" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/360618?s=40&v=4", - "name": "fenbox", - "url": "https://github.com/fenbox" + "avatar": "https://avatars.githubusercontent.com/u/17919858?s=40&v=4", + "name": "MlgmXyysd", + "url": "https://github.com/MlgmXyysd" }, { - "avatar": "https://avatars.githubusercontent.com/u/1904614?s=40&v=4", - "name": "shingchi", - "url": "https://github.com/shingchi" + "avatar": "https://avatars.githubusercontent.com/u/52069677?s=40&v=4", + "name": "s1204IT", + "url": "https://github.com/s1204IT" }, { - "avatar": "https://avatars.githubusercontent.com/u/16165576?s=40&v=4", - "name": "jrotty", - "url": "https://github.com/jrotty" + "avatar": "https://avatars.githubusercontent.com/u/2287220?s=40&v=4", + "name": "stevleibelt", + "url": "https://github.com/stevleibelt" } ] }, { - "title": "danielmiessler/SecLists", - "url": "https://github.com/danielmiessler/SecLists", - "description": "SecLists is the security tester's companion. It's a collection of multiple types of lists used during security assessments, collected in one place. List types include usernames, passwords, URLs, sensitive data patterns, fuzzing payloads, web shells, and many more.", + "title": "invoiceninja/invoiceninja", + "url": "https://github.com/invoiceninja/invoiceninja", + "description": "A source-available invoice, quote, project and time-tracking app built with Laravel", "language": "PHP", "languageColor": "#4F5D95", - "stars": "58,819", - "forks": "23,945", - "addStars": "21", + "stars": "8,284", + "forks": "2,282", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/535942?s=40&v=4", - "name": "g0tmi1k", - "url": "https://github.com/g0tmi1k" + "avatar": "https://avatars.githubusercontent.com/u/5827962?s=40&v=4", + "name": "turbo124", + "url": "https://github.com/turbo124" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4629496?s=40&v=4", + "name": "hillelcoren", + "url": "https://github.com/hillelcoren" }, { - "avatar": "https://avatars.githubusercontent.com/u/12743076?s=40&v=4", - "name": "throwaway-people", - "url": "https://github.com/throwaway-people" + "avatar": "https://avatars.githubusercontent.com/u/13711415?s=40&v=4", + "name": "beganovich", + "url": "https://github.com/beganovich" }, { - "avatar": "https://avatars.githubusercontent.com/u/50654?s=40&v=4", - "name": "danielmiessler", - "url": "https://github.com/danielmiessler" + "avatar": "https://avatars.githubusercontent.com/u/28981528?s=40&v=4", + "name": "LarsK1", + "url": "https://github.com/LarsK1" }, { - "avatar": "https://avatars.githubusercontent.com/u/133737702?s=40&v=4", - "name": "molangning", - "url": "https://github.com/molangning" + "avatar": "https://avatars.githubusercontent.com/u/52678724?s=40&v=4", + "name": "paulwer", + "url": "https://github.com/paulwer" } ] }, { - "title": "pmmp/PocketMine-MP", - "url": "https://github.com/pmmp/PocketMine-MP", - "description": "A server software for Minecraft: Bedrock Edition in PHP", + "title": "FreshRSS/FreshRSS", + "url": "https://github.com/FreshRSS/FreshRSS", + "description": "A free, self-hostable news aggregator…", "language": "PHP", "languageColor": "#4F5D95", - "stars": "3,283", - "forks": "1,560", - "addStars": "0", + "stars": "10,028", + "forks": "855", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/14214667?s=40&v=4", - "name": "dktapps", - "url": "https://github.com/dktapps" + "avatar": "https://avatars.githubusercontent.com/u/1008324?s=40&v=4", + "name": "Alkarex", + "url": "https://github.com/Alkarex" }, { - "avatar": "https://avatars.githubusercontent.com/u/516482?s=40&v=4", - "name": "shoghicp", - "url": "https://github.com/shoghicp" + "avatar": "https://avatars.githubusercontent.com/u/1436309?s=40&v=4", + "name": "marienfressinaud", + "url": "https://github.com/marienfressinaud" }, { - "avatar": "https://avatars.githubusercontent.com/u/5618466?s=40&v=4", - "name": "PEMapModder", - "url": "https://github.com/PEMapModder" + "avatar": "https://avatars.githubusercontent.com/u/1645099?s=40&v=4", + "name": "math-GH", + "url": "https://github.com/math-GH" }, { - "avatar": "https://avatars.githubusercontent.com/u/1076578?s=40&v=4", - "name": "Intyre", - "url": "https://github.com/Intyre" + "avatar": "https://avatars.githubusercontent.com/u/3056148?s=40&v=4", + "name": "aledeg", + "url": "https://github.com/aledeg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/202757?s=40&v=4", + "name": "Frenzie", + "url": "https://github.com/Frenzie" } ] }, @@ -377,8 +387,8 @@ "description": "A collection of beautiful full-stack components for Laravel. The perfect starting point for your next app. Using Livewire, Alpine.js and Tailwind CSS.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "19,456", - "forks": "2,972", + "stars": "19,460", + "forks": "2,971", "addStars": "29", "contributors": [ { @@ -409,436 +419,379 @@ ] }, { - "title": "nextcloud/server", - "url": "https://github.com/nextcloud/server", - "description": "☁️ Nextcloud server, a safe home for all your data", + "title": "ZoneMinder/zoneminder", + "url": "https://github.com/ZoneMinder/zoneminder", + "description": "ZoneMinder is a free, open source Closed-circuit television software application developed for Linux which supports IP, USB and Analog cameras.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "27,505", - "forks": "4,075", - "addStars": "5", + "stars": "5,190", + "forks": "1,227", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45821?s=40&v=4", - "name": "rullzer", - "url": "https://github.com/rullzer" + "avatar": "https://avatars.githubusercontent.com/u/925519?s=40&v=4", + "name": "connortechnology", + "url": "https://github.com/connortechnology" }, { - "avatar": "https://avatars.githubusercontent.com/u/245432?s=40&v=4", - "name": "MorrisJobke", - "url": "https://github.com/MorrisJobke" + "avatar": "https://avatars.githubusercontent.com/u/5150042?s=40&v=4", + "name": "knight-of-ni", + "url": "https://github.com/knight-of-ni" }, { - "avatar": "https://avatars.githubusercontent.com/u/1005065?s=40&v=4", - "name": "DeepDiver1975", - "url": "https://github.com/DeepDiver1975" + "avatar": "https://avatars.githubusercontent.com/u/114193?s=40&v=4", + "name": "kylejohnson", + "url": "https://github.com/kylejohnson" }, { - "avatar": "https://avatars.githubusercontent.com/u/213943?s=40&v=4", - "name": "nickvergessen", - "url": "https://github.com/nickvergessen" + "avatar": "https://avatars.githubusercontent.com/u/5006170?s=40&v=4", + "name": "IgorA100", + "url": "https://github.com/IgorA100" }, { - "avatar": "https://avatars.githubusercontent.com/u/1283854?s=40&v=4", - "name": "icewind1991", - "url": "https://github.com/icewind1991" + "avatar": "https://avatars.githubusercontent.com/u/4116654?s=40&v=4", + "name": "pliablepixels", + "url": "https://github.com/pliablepixels" } ] }, { - "title": "pterodactyl/panel", - "url": "https://github.com/pterodactyl/panel", - "description": "Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users.", + "title": "opencart/opencart", + "url": "https://github.com/opencart/opencart", + "description": "A free shopping cart system. OpenCart is an open source PHP-based online e-commerce solution.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "6,828", - "forks": "1,741", - "addStars": "7", + "stars": "7,488", + "forks": "4,841", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/418376?s=40&v=4", - "name": "DaneEveritt", - "url": "https://github.com/DaneEveritt" + "avatar": "https://avatars.githubusercontent.com/u/5079756?s=40&v=4", + "name": "danielkerr", + "url": "https://github.com/danielkerr" }, { - "avatar": "https://avatars.githubusercontent.com/u/26559841?s=40&v=4", - "name": "matthewpi", - "url": "https://github.com/matthewpi" + "avatar": "https://avatars.githubusercontent.com/u/2026129?s=40&v=4", + "name": "jamesallsup", + "url": "https://github.com/jamesallsup" }, { - "avatar": "https://avatars.githubusercontent.com/u/1710904?s=40&v=4", - "name": "schrej", - "url": "https://github.com/schrej" + "avatar": "https://avatars.githubusercontent.com/u/77865573?s=40&v=4", + "name": "TheCartpenter", + "url": "https://github.com/TheCartpenter" }, { - "avatar": "https://avatars.githubusercontent.com/u/1757840?s=40&v=4", - "name": "notAreYouScared", - "url": "https://github.com/notAreYouScared" + "avatar": "https://avatars.githubusercontent.com/u/5789807?s=40&v=4", + "name": "eka7a", + "url": "https://github.com/eka7a" }, { - "avatar": "https://avatars.githubusercontent.com/u/1296882?s=40&v=4", - "name": "lancepioch", - "url": "https://github.com/lancepioch" + "avatar": "https://avatars.githubusercontent.com/u/204594?s=40&v=4", + "name": "AJenbo", + "url": "https://github.com/AJenbo" } ] }, { - "title": "statamic/cms", - "url": "https://github.com/statamic/cms", - "description": "The core Laravel CMS Composer package", + "title": "solstice23/argon-theme", + "url": "https://github.com/solstice23/argon-theme", + "description": "📖 Argon - 一个轻盈、简洁的 WordPress 主题", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,099", - "forks": "536", - "addStars": "4", + "stars": "4,809", + "forks": "560", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/105211?s=40&v=4", - "name": "jasonvarga", - "url": "https://github.com/jasonvarga" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5187394?s=40&v=4", - "name": "jesseleite", - "url": "https://github.com/jesseleite" + "avatar": "https://avatars.githubusercontent.com/u/23134847?s=40&v=4", + "name": "solstice23", + "url": "https://github.com/solstice23" }, { - "avatar": "https://avatars.githubusercontent.com/u/44739?s=40&v=4", - "name": "jackmcdade", - "url": "https://github.com/jackmcdade" + "avatar": "https://avatars.githubusercontent.com/u/46484956?s=40&v=4", + "name": "yzxoi", + "url": "https://github.com/yzxoi" }, { - "avatar": "https://avatars.githubusercontent.com/u/19637309?s=40&v=4", - "name": "duncanmcclean", - "url": "https://github.com/duncanmcclean" + "avatar": "https://avatars.githubusercontent.com/u/51253685?s=40&v=4", + "name": "AH-dark", + "url": "https://github.com/AH-dark" }, { - "avatar": "https://avatars.githubusercontent.com/u/51899?s=40&v=4", - "name": "ryanmitchell", - "url": "https://github.com/ryanmitchell" + "avatar": "https://avatars.githubusercontent.com/u/14857984?s=40&v=4", + "name": "Jiaocz", + "url": "https://github.com/Jiaocz" } ] }, { - "title": "mautic/mautic", - "url": "https://github.com/mautic/mautic", - "description": "Mautic: Open Source Marketing Automation Software.", + "title": "cedar2025/Xboard", + "url": "https://github.com/cedar2025/Xboard", + "description": "基于V2board二次开发支持新协议新特性的高性能面板", "language": "PHP", "languageColor": "#4F5D95", - "stars": "7,384", - "forks": "2,636", - "addStars": "8", + "stars": "2,070", + "forks": "518", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/63312?s=40&v=4", - "name": "alanhartless", - "url": "https://github.com/alanhartless" + "avatar": "https://avatars.githubusercontent.com/u/147830212?s=40&v=4", + "name": "cedar2025", + "url": "https://github.com/cedar2025" }, { - "avatar": "https://avatars.githubusercontent.com/u/1235442?s=40&v=4", - "name": "escopecz", - "url": "https://github.com/escopecz" + "avatar": "https://avatars.githubusercontent.com/u/15796229?s=40&v=4", + "name": "rebecca554owen", + "url": "https://github.com/rebecca554owen" }, { - "avatar": "https://avatars.githubusercontent.com/u/462477?s=40&v=4", - "name": "kuzmany", - "url": "https://github.com/kuzmany" + "avatar": "https://avatars.githubusercontent.com/u/19740260?s=40&v=4", + "name": "ishkong", + "url": "https://github.com/ishkong" }, { - "avatar": "https://avatars.githubusercontent.com/u/718028?s=40&v=4", - "name": "dongilbert", - "url": "https://github.com/dongilbert" + "avatar": "https://avatars.githubusercontent.com/u/43591953?s=40&v=4", + "name": "mercury7720", + "url": "https://github.com/mercury7720" }, { - "avatar": "https://avatars.githubusercontent.com/u/1496976?s=40&v=4", - "name": "mqueme", - "url": "https://github.com/mqueme" + "avatar": "https://avatars.githubusercontent.com/u/6984599?s=40&v=4", + "name": "greatbody", + "url": "https://github.com/greatbody" } ] }, { - "title": "magento/magento2", - "url": "https://github.com/magento/magento2", - "description": "Prior to making any Submission(s), you must sign an Adobe Contributor License Agreement, available here at: https://opensource.adobe.com/cla.html. All Submissions you make to Adobe Inc. and its affiliates, assigns and subsidiaries (collectively “Adobe”) are subject to the terms of the Adobe Contributor License Agreement.", + "title": "DenverCoder1/readme-typing-svg", + "url": "https://github.com/DenverCoder1/readme-typing-svg", + "description": "⚡ Dynamically generated, customizable SVG that gives the appearance of typing and deleting text for use on your profile page, repositories, or website.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "11,573", - "forks": "9,319", - "addStars": "1", + "stars": "5,917", + "forks": "944", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/31669971?s=40&v=4", - "name": "magento-engcom-team", - "url": "https://github.com/magento-engcom-team" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9741905?s=40&v=4", - "name": "slavvka", - "url": "https://github.com/slavvka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12795788?s=40&v=4", - "name": "zakdma", - "url": "https://github.com/zakdma" + "avatar": "https://avatars.githubusercontent.com/u/20955511?s=40&v=4", + "name": "DenverCoder1", + "url": "https://github.com/DenverCoder1" }, { - "avatar": "https://avatars.githubusercontent.com/u/7325075?s=40&v=4", - "name": "okorshenko", - "url": "https://github.com/okorshenko" + "avatar": "https://avatars.githubusercontent.com/u/32591853?s=40&v=4", + "name": "8BitJonny", + "url": "https://github.com/8BitJonny" }, { - "avatar": "https://avatars.githubusercontent.com/u/1119622?s=40&v=4", - "name": "rganin", - "url": "https://github.com/rganin" + "avatar": "https://avatars.githubusercontent.com/u/14985050?s=40&v=4", + "name": "R055A", + "url": "https://github.com/R055A" } ] }, { - "title": "HiEventsDev/Hi.Events", - "url": "https://github.com/HiEventsDev/Hi.Events", - "description": "Open-source event management and ticket selling platform. Perfect for concerts, conferences, and everything in between 🎟️", + "title": "nextcloud/all-in-one", + "url": "https://github.com/nextcloud/all-in-one", + "description": "📦 The official Nextcloud installation method. Provides easy deployment and maintenance with most features included in this one Nextcloud instance.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "2,240", - "forks": "199", - "addStars": "2", + "stars": "5,658", + "forks": "656", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/166798?s=40&v=4", - "name": "daveearley", - "url": "https://github.com/daveearley" + "avatar": "https://avatars.githubusercontent.com/u/42591237?s=40&v=4", + "name": "szaimen", + "url": "https://github.com/szaimen" }, { - "avatar": "https://avatars.githubusercontent.com/u/60230221?s=40&v=4", - "name": "zarevskaya", - "url": "https://github.com/zarevskaya" + "avatar": "https://avatars.githubusercontent.com/u/75573284?s=40&v=4", + "name": "Zoey2936", + "url": "https://github.com/Zoey2936" }, { - "avatar": "https://avatars.githubusercontent.com/u/1018784?s=40&v=4", - "name": "grablair", - "url": "https://github.com/grablair" + "avatar": "https://avatars.githubusercontent.com/u/88102737?s=40&v=4", + "name": "nextcloud-command", + "url": "https://github.com/nextcloud-command" }, { - "avatar": "https://avatars.githubusercontent.com/u/101149675?s=40&v=4", - "name": "tasnim0tantawi", - "url": "https://github.com/tasnim0tantawi" + "avatar": "https://avatars.githubusercontent.com/u/7360784?s=40&v=4", + "name": "docjyJ", + "url": "https://github.com/docjyJ" } ] }, { - "title": "Sylius/Sylius", - "url": "https://github.com/Sylius/Sylius", - "description": "Open Source eCommerce Framework on Symfony", + "title": "Dolibarr/dolibarr", + "url": "https://github.com/Dolibarr/dolibarr", + "description": "Dolibarr ERP CRM is a modern software package to manage your company or foundation's activity (contacts, suppliers, invoices, orders, stocks, agenda, accounting, ...). it's an open source Web application (written in PHP) designed for businesses of any sizes, foundations and freelancers.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "7,942", - "forks": "2,097", - "addStars": "2", + "stars": "5,499", + "forks": "2,798", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6140884?s=40&v=4", - "name": "GSadee", - "url": "https://github.com/GSadee" + "avatar": "https://avatars.githubusercontent.com/u/883887?s=40&v=4", + "name": "eldy", + "url": "https://github.com/eldy" }, { - "avatar": "https://avatars.githubusercontent.com/u/1897953?s=40&v=4", - "name": "pamil", - "url": "https://github.com/pamil" + "avatar": "https://avatars.githubusercontent.com/u/454387?s=40&v=4", + "name": "hregis", + "url": "https://github.com/hregis" }, { - "avatar": "https://avatars.githubusercontent.com/u/6212718?s=40&v=4", - "name": "Zales0123", - "url": "https://github.com/Zales0123" + "avatar": "https://avatars.githubusercontent.com/u/85827?s=40&v=4", + "name": "rodo", + "url": "https://github.com/rodo" }, { - "avatar": "https://avatars.githubusercontent.com/u/6213903?s=40&v=4", - "name": "lchrusciel", - "url": "https://github.com/lchrusciel" + "avatar": "https://avatars.githubusercontent.com/u/3624836?s=40&v=4", + "name": "frederic34", + "url": "https://github.com/frederic34" }, { - "avatar": "https://avatars.githubusercontent.com/u/9448101?s=40&v=4", - "name": "NoResponseMate", - "url": "https://github.com/NoResponseMate" + "avatar": "https://avatars.githubusercontent.com/u/2341395?s=40&v=4", + "name": "aspangaro", + "url": "https://github.com/aspangaro" } ] }, { - "title": "Licoy/wordpress-theme-puock", - "url": "https://github.com/Licoy/wordpress-theme-puock", - "description": "🎨 一款基于WordPress开发的高颜值的自适应主题,支持白天与黑夜模式/无刷新加载/第三方登录等众多功能 | A high-value adaptive theme based on WordPress, supports light and dark modes, no refresh loading, etc.", + "title": "endroid/qr-code", + "url": "https://github.com/endroid/qr-code", + "description": "QR Code Generator", "language": "PHP", "languageColor": "#4F5D95", - "stars": "2,471", - "forks": "415", + "stars": "4,454", + "forks": "727", "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20410697?s=40&v=4", - "name": "Licoy", - "url": "https://github.com/Licoy" + "avatar": "https://avatars.githubusercontent.com/u/537253?s=40&v=4", + "name": "endroid", + "url": "https://github.com/endroid" }, { - "avatar": "https://avatars.githubusercontent.com/u/41245552?s=40&v=4", - "name": "Lvshujun0918", - "url": "https://github.com/Lvshujun0918" + "avatar": "https://avatars.githubusercontent.com/u/260361?s=40&v=4", + "name": "sprain", + "url": "https://github.com/sprain" }, { - "avatar": "https://avatars.githubusercontent.com/u/48707773?s=40&v=4", - "name": "wuyueerhao", - "url": "https://github.com/wuyueerhao" + "avatar": "https://avatars.githubusercontent.com/u/545671?s=40&v=4", + "name": "MaximilianKresse", + "url": "https://github.com/MaximilianKresse" }, { - "avatar": "https://avatars.githubusercontent.com/u/107761088?s=40&v=4", - "name": "happykl-cn", - "url": "https://github.com/happykl-cn" + "avatar": "https://avatars.githubusercontent.com/u/1054469?s=40&v=4", + "name": "ThomasLandauer", + "url": "https://github.com/ThomasLandauer" }, { - "avatar": "https://avatars.githubusercontent.com/u/14000053?s=40&v=4", - "name": "miniwater", - "url": "https://github.com/miniwater" + "avatar": "https://avatars.githubusercontent.com/u/1260026?s=40&v=4", + "name": "Trismegiste", + "url": "https://github.com/Trismegiste" } ] }, { - "title": "PrestaShop/autoupgrade", - "url": "https://github.com/PrestaShop/autoupgrade", - "description": "Upgrade module for PrestaShop", + "title": "joomla/joomla-cms", + "url": "https://github.com/joomla/joomla-cms", + "description": "Home of the Joomla! Content Management System", "language": "PHP", "languageColor": "#4F5D95", - "stars": "117", - "forks": "118", - "addStars": "0", + "stars": "4,781", + "forks": "3,650", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6768917?s=40&v=4", - "name": "Quetzacoalt91", - "url": "https://github.com/Quetzacoalt91" + "avatar": "https://avatars.githubusercontent.com/u/1296369?s=40&v=4", + "name": "brianteeman", + "url": "https://github.com/brianteeman" }, { - "avatar": "https://avatars.githubusercontent.com/u/52718717?s=40&v=4", - "name": "ga-devfront", - "url": "https://github.com/ga-devfront" + "avatar": "https://avatars.githubusercontent.com/u/1986000?s=40&v=4", + "name": "wilsonge", + "url": "https://github.com/wilsonge" }, { - "avatar": "https://avatars.githubusercontent.com/u/15106407?s=40&v=4", - "name": "ps-jarvis", - "url": "https://github.com/ps-jarvis" + "avatar": "https://avatars.githubusercontent.com/u/869724?s=40&v=4", + "name": "infograf768", + "url": "https://github.com/infograf768" }, { - "avatar": "https://avatars.githubusercontent.com/u/24647495?s=40&v=4", - "name": "nesrineabdmouleh", - "url": "https://github.com/nesrineabdmouleh" + "avatar": "https://avatars.githubusercontent.com/u/368545?s=40&v=4", + "name": "mbabker", + "url": "https://github.com/mbabker" }, { - "avatar": "https://avatars.githubusercontent.com/u/6097524?s=40&v=4", - "name": "Hlavtox", - "url": "https://github.com/Hlavtox" + "avatar": "https://avatars.githubusercontent.com/u/700871?s=40&v=4", + "name": "eddieajau", + "url": "https://github.com/eddieajau" } ] }, { - "title": "librenms/librenms", - "url": "https://github.com/librenms/librenms", - "description": "Community-based GPL-licensed network monitoring system", + "title": "monicahq/monica", + "url": "https://github.com/monicahq/monica", + "description": "Personal CRM. Remember everything about your friends, family and business relationships.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "3,947", - "forks": "2,310", - "addStars": "1", + "stars": "21,778", + "forks": "2,181", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3941142?s=40&v=4", - "name": "laf", - "url": "https://github.com/laf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39462?s=40&v=4", - "name": "murrant", - "url": "https://github.com/murrant" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1656377?s=40&v=4", - "name": "f0o", - "url": "https://github.com/f0o" + "avatar": "https://avatars.githubusercontent.com/u/61099?s=40&v=4", + "name": "djaiss", + "url": "https://github.com/djaiss" }, { - "avatar": "https://avatars.githubusercontent.com/u/107222?s=40&v=4", - "name": "paulgear", - "url": "https://github.com/paulgear" + "avatar": "https://avatars.githubusercontent.com/u/25419741?s=40&v=4", + "name": "asbiin", + "url": "https://github.com/asbiin" }, { - "avatar": "https://avatars.githubusercontent.com/u/393086?s=40&v=4", - "name": "danzel", - "url": "https://github.com/danzel" + "avatar": "https://avatars.githubusercontent.com/u/952007?s=40&v=4", + "name": "szepeviktor", + "url": "https://github.com/szepeviktor" } ] }, { - "title": "barryvdh/laravel-debugbar", - "url": "https://github.com/barryvdh/laravel-debugbar", - "description": "Debugbar for Laravel (Integrates PHP Debug Bar)", + "title": "dompdf/dompdf", + "url": "https://github.com/dompdf/dompdf", + "description": "HTML to PDF converter for PHP", "language": "PHP", "languageColor": "#4F5D95", - "stars": "17,462", - "forks": "1,563", + "stars": "10,558", + "forks": "1,798", "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/973269?s=40&v=4", - "name": "barryvdh", - "url": "https://github.com/barryvdh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4933954?s=40&v=4", - "name": "erikn69", - "url": "https://github.com/erikn69" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2829600?s=40&v=4", - "name": "GrahamCampbell", - "url": "https://github.com/GrahamCampbell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/667144?s=40&v=4", - "name": "sebdesign", - "url": "https://github.com/sebdesign" + "avatar": "https://avatars.githubusercontent.com/u/485897?s=40&v=4", + "name": "bsweeney", + "url": "https://github.com/bsweeney" }, { - "avatar": "https://avatars.githubusercontent.com/u/5232313?s=40&v=4", - "name": "browner12", - "url": "https://github.com/browner12" - } - ] - }, - { - "title": "mitchmac/ServerlessWP", - "url": "https://github.com/mitchmac/ServerlessWP", - "description": "Host WordPress sites on Vercel, Netlify, or AWS Lambda", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "383", - "forks": "132", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/618212?s=40&v=4", - "name": "mitchmac", - "url": "https://github.com/mitchmac" + "avatar": "https://avatars.githubusercontent.com/u/5852189?s=40&v=4", + "name": "Mellthas", + "url": "https://github.com/Mellthas" }, { - "avatar": "https://avatars.githubusercontent.com/u/5773006?s=40&v=4", - "name": "aldosch", - "url": "https://github.com/aldosch" + "avatar": "https://avatars.githubusercontent.com/u/42170?s=40&v=4", + "name": "PhenX", + "url": "https://github.com/PhenX" }, { - "avatar": "https://avatars.githubusercontent.com/u/25358656?s=40&v=4", - "name": "oversuman", - "url": "https://github.com/oversuman" + "avatar": "https://avatars.githubusercontent.com/u/7163526?s=40&v=4", + "name": "simonberger", + "url": "https://github.com/simonberger" }, { - "avatar": "https://avatars.githubusercontent.com/u/64385706?s=40&v=4", - "name": "notio-ca", - "url": "https://github.com/notio-ca" + "avatar": "https://avatars.githubusercontent.com/u/671923?s=40&v=4", + "name": "gabrielbull", + "url": "https://github.com/gabrielbull" } ] } diff --git a/data/daily/php.xml b/data/daily/php.xml index 1f71f29ec5b2..1e607c2595a2 100644 --- a/data/daily/php.xml +++ b/data/daily/php.xml @@ -3,7 +3,7 @@ GitHub Php Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Php Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT firefly-iii/firefly-iii https://github.com/firefly-iii/firefly-iii @@ -11,7 +11,7 @@ https://github.com/firefly-iii/firefly-iii PHP #4F5D95 - 16,357 + 16,360 1,483 11 @@ -28,357 +28,367 @@ - WordPress/wordpress-develop - https://github.com/WordPress/wordpress-develop - WordPress Develop, Git-ified. Synced from git://develop.git.wordpress.org/, including branches and tags! This repository is just a mirror of the WordPress subversion repository. Please include a link to a pre-existing ticket on https://core.trac.wordpress.org/ with every pull request. - https://github.com/WordPress/wordpress-develop + barryvdh/laravel-ide-helper + https://github.com/barryvdh/laravel-ide-helper + IDE Helper for Laravel + https://github.com/barryvdh/laravel-ide-helper PHP #4F5D95 - 2,601 - 2,643 - 0 + 14,248 + 1,167 + 5 - https://avatars.githubusercontent.com/u/2047747?s=40&v=4 - ryanboren - https://github.com/ryanboren + https://avatars.githubusercontent.com/u/973269?s=40&v=4 + barryvdh + https://github.com/barryvdh - https://avatars.githubusercontent.com/u/144512?s=40&v=4 - SergeyBiryukov - https://github.com/SergeyBiryukov + https://avatars.githubusercontent.com/u/87493?s=40&v=4 + mfn + https://github.com/mfn - https://avatars.githubusercontent.com/u/272784?s=40&v=4 - nacin - https://github.com/nacin + https://avatars.githubusercontent.com/u/6945600?s=40&v=4 + netpok + https://github.com/netpok - https://avatars.githubusercontent.com/u/743931?s=40&v=4 - azaozz - https://github.com/azaozz + https://avatars.githubusercontent.com/u/1913807?s=40&v=4 + JeppeKnockaert + https://github.com/JeppeKnockaert - https://avatars.githubusercontent.com/u/52932?s=40&v=4 - staylor - https://github.com/staylor + https://avatars.githubusercontent.com/u/127468?s=40&v=4 + ellisio + https://github.com/ellisio - nextcloud/all-in-one - https://github.com/nextcloud/all-in-one - 📦 The official Nextcloud installation method. Provides easy deployment and maintenance with most features included in this one Nextcloud instance. - https://github.com/nextcloud/all-in-one + coollabsio/coolify + https://github.com/coollabsio/coolify + An open-source & self-hostable Heroku / Netlify / Vercel alternative. + https://github.com/coollabsio/coolify PHP #4F5D95 - 5,653 - 656 - 5 + 34,712 + 1,897 + 31 - https://avatars.githubusercontent.com/u/42591237?s=40&v=4 - szaimen - https://github.com/szaimen + https://avatars.githubusercontent.com/u/5845193?s=40&v=4 + andrasbacsai + https://github.com/andrasbacsai - https://avatars.githubusercontent.com/u/75573284?s=40&v=4 - Zoey2936 - https://github.com/Zoey2936 + https://avatars.githubusercontent.com/u/122374094?s=40&v=4 + peaklabs-dev + https://github.com/peaklabs-dev - https://avatars.githubusercontent.com/u/88102737?s=40&v=4 - nextcloud-command - https://github.com/nextcloud-command + https://avatars.githubusercontent.com/u/26031459?s=40&v=4 + ijpatricio + https://github.com/ijpatricio - https://avatars.githubusercontent.com/u/7360784?s=40&v=4 - docjyJ - https://github.com/docjyJ + https://avatars.githubusercontent.com/u/56084970?s=40&v=4 + sawa-ko + https://github.com/sawa-ko + + + https://avatars.githubusercontent.com/u/69685986?s=40&v=4 + theh2so4 + https://github.com/theh2so4 - solstice23/argon-theme - https://github.com/solstice23/argon-theme - 📖 Argon - 一个轻盈、简洁的 WordPress 主题 - https://github.com/solstice23/argon-theme + pterodactyl/panel + https://github.com/pterodactyl/panel + Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users. + https://github.com/pterodactyl/panel PHP #4F5D95 - 4,808 - 560 + 6,828 + 1,743 7 - https://avatars.githubusercontent.com/u/23134847?s=40&v=4 - solstice23 - https://github.com/solstice23 + https://avatars.githubusercontent.com/u/418376?s=40&v=4 + DaneEveritt + https://github.com/DaneEveritt - https://avatars.githubusercontent.com/u/46484956?s=40&v=4 - yzxoi - https://github.com/yzxoi + https://avatars.githubusercontent.com/u/26559841?s=40&v=4 + matthewpi + https://github.com/matthewpi - https://avatars.githubusercontent.com/u/51253685?s=40&v=4 - AH-dark - https://github.com/AH-dark + https://avatars.githubusercontent.com/u/1710904?s=40&v=4 + schrej + https://github.com/schrej - https://avatars.githubusercontent.com/u/14857984?s=40&v=4 - Jiaocz - https://github.com/Jiaocz + https://avatars.githubusercontent.com/u/1757840?s=40&v=4 + notAreYouScared + https://github.com/notAreYouScared + + + https://avatars.githubusercontent.com/u/1296882?s=40&v=4 + lancepioch + https://github.com/lancepioch - ellite/Wallos - https://github.com/ellite/Wallos - Wallos: Open-Source Personal Subscription Tracker - https://github.com/ellite/Wallos + RaspAP/raspap-webgui + https://github.com/RaspAP/raspap-webgui + Simple wireless AP setup & management for Debian-based devices + https://github.com/RaspAP/raspap-webgui PHP #4F5D95 - 3,133 - 140 - 9 + 4,481 + 794 + 1 - https://avatars.githubusercontent.com/u/3831180?s=40&v=4 - ellite - https://github.com/ellite + https://avatars.githubusercontent.com/u/229399?s=40&v=4 + billz + https://github.com/billz + + + https://avatars.githubusercontent.com/u/201135?s=40&v=4 + glaszig + https://github.com/glaszig - https://avatars.githubusercontent.com/u/25246962?s=40&v=4 - devzwf - https://github.com/devzwf + https://avatars.githubusercontent.com/u/33725910?s=40&v=4 + zbchristian + https://github.com/zbchristian - https://avatars.githubusercontent.com/u/177120?s=40&v=4 - ttam - https://github.com/ttam + https://avatars.githubusercontent.com/u/4415912?s=40&v=4 + jrmhaig + https://github.com/jrmhaig - https://avatars.githubusercontent.com/u/39442192?s=40&v=4 - RoguedBear - https://github.com/RoguedBear + https://avatars.githubusercontent.com/u/4788268?s=40&v=4 + SirLagz + https://github.com/SirLagz - Dolibarr/dolibarr - https://github.com/Dolibarr/dolibarr - Dolibarr ERP CRM is a modern software package to manage your company or foundation's activity (contacts, suppliers, invoices, orders, stocks, agenda, accounting, ...). it's an open source Web application (written in PHP) designed for businesses of any sizes, foundations and freelancers. - https://github.com/Dolibarr/dolibarr + pmmp/PocketMine-MP + https://github.com/pmmp/PocketMine-MP + A server software for Minecraft: Bedrock Edition in PHP + https://github.com/pmmp/PocketMine-MP PHP #4F5D95 - 5,492 - 2,798 - 5 + 3,283 + 1,560 + 0 - https://avatars.githubusercontent.com/u/883887?s=40&v=4 - eldy - https://github.com/eldy - - - https://avatars.githubusercontent.com/u/454387?s=40&v=4 - hregis - https://github.com/hregis + https://avatars.githubusercontent.com/u/14214667?s=40&v=4 + dktapps + https://github.com/dktapps - https://avatars.githubusercontent.com/u/85827?s=40&v=4 - rodo - https://github.com/rodo + https://avatars.githubusercontent.com/u/516482?s=40&v=4 + shoghicp + https://github.com/shoghicp - https://avatars.githubusercontent.com/u/3624836?s=40&v=4 - frederic34 - https://github.com/frederic34 + https://avatars.githubusercontent.com/u/5618466?s=40&v=4 + PEMapModder + https://github.com/PEMapModder - https://avatars.githubusercontent.com/u/2341395?s=40&v=4 - aspangaro - https://github.com/aspangaro + https://avatars.githubusercontent.com/u/1076578?s=40&v=4 + Intyre + https://github.com/Intyre - RSS-Bridge/rss-bridge - https://github.com/RSS-Bridge/rss-bridge - The RSS feed for websites missing it - https://github.com/RSS-Bridge/rss-bridge + guzzle/guzzle + https://github.com/guzzle/guzzle + Guzzle, an extensible PHP HTTP client + https://github.com/guzzle/guzzle PHP #4F5D95 - 7,364 - 1,042 - 1 + 23,217 + 2,400 + 6 - https://avatars.githubusercontent.com/u/5776685?s=40&v=4 - logmanoriginal - https://github.com/logmanoriginal + https://avatars.githubusercontent.com/u/190930?s=40&v=4 + mtdowling + https://github.com/mtdowling - https://avatars.githubusercontent.com/u/546570?s=40&v=4 - dvikan - https://github.com/dvikan + https://avatars.githubusercontent.com/u/1226384?s=40&v=4 + sagikazarmark + https://github.com/sagikazarmark - https://avatars.githubusercontent.com/u/1032597?s=40&v=4 - pmaziere - https://github.com/pmaziere + https://avatars.githubusercontent.com/u/2829600?s=40&v=4 + GrahamCampbell + https://github.com/GrahamCampbell - https://avatars.githubusercontent.com/u/7746332?s=40&v=4 - teromene - https://github.com/teromene + https://avatars.githubusercontent.com/u/1275206?s=40&v=4 + Nyholm + https://github.com/Nyholm - https://avatars.githubusercontent.com/u/3343784?s=40&v=4 - mitsukarenai - https://github.com/mitsukarenai + https://avatars.githubusercontent.com/u/5675248?s=40&v=4 + gmponos + https://github.com/gmponos - cedar2025/Xboard - https://github.com/cedar2025/Xboard - 基于V2board二次开发支持新协议新特性的高性能面板 - https://github.com/cedar2025/Xboard + barryvdh/laravel-debugbar + https://github.com/barryvdh/laravel-debugbar + Debugbar for Laravel (Integrates PHP Debug Bar) + https://github.com/barryvdh/laravel-debugbar PHP #4F5D95 - 2,069 - 517 - 2 + 17,465 + 1,563 + 5 - https://avatars.githubusercontent.com/u/147830212?s=40&v=4 - cedar2025 - https://github.com/cedar2025 + https://avatars.githubusercontent.com/u/973269?s=40&v=4 + barryvdh + https://github.com/barryvdh - https://avatars.githubusercontent.com/u/15796229?s=40&v=4 - rebecca554owen - https://github.com/rebecca554owen + https://avatars.githubusercontent.com/u/4933954?s=40&v=4 + erikn69 + https://github.com/erikn69 - https://avatars.githubusercontent.com/u/19740260?s=40&v=4 - ishkong - https://github.com/ishkong + https://avatars.githubusercontent.com/u/2829600?s=40&v=4 + GrahamCampbell + https://github.com/GrahamCampbell - https://avatars.githubusercontent.com/u/43591953?s=40&v=4 - mercury7720 - https://github.com/mercury7720 + https://avatars.githubusercontent.com/u/667144?s=40&v=4 + sebdesign + https://github.com/sebdesign - https://avatars.githubusercontent.com/u/6984599?s=40&v=4 - greatbody - https://github.com/greatbody + https://avatars.githubusercontent.com/u/5232313?s=40&v=4 + browner12 + https://github.com/browner12 - typecho/typecho - https://github.com/typecho/typecho - A PHP Blogging Platform. Simple and Powerful. - https://github.com/typecho/typecho + MlgmXyysd/Xiaomi-HyperOS-BootLoader-Bypass + https://github.com/MlgmXyysd/Xiaomi-HyperOS-BootLoader-Bypass + A PoC that exploits a vulnerability to bypass the Xiaomi HyperOS community restrictions of BootLoader unlocked account bindings. + https://github.com/MlgmXyysd/Xiaomi-HyperOS-BootLoader-Bypass PHP #4F5D95 - 11,464 - 2,044 - 4 + 2,974 + 322 + 7 - https://avatars.githubusercontent.com/u/59437?s=40&v=4 - joyqi - https://github.com/joyqi - - - https://avatars.githubusercontent.com/u/33931153?s=40&v=4 - sy-records - https://github.com/sy-records - - - https://avatars.githubusercontent.com/u/360618?s=40&v=4 - fenbox - https://github.com/fenbox + https://avatars.githubusercontent.com/u/17919858?s=40&v=4 + MlgmXyysd + https://github.com/MlgmXyysd - https://avatars.githubusercontent.com/u/1904614?s=40&v=4 - shingchi - https://github.com/shingchi + https://avatars.githubusercontent.com/u/52069677?s=40&v=4 + s1204IT + https://github.com/s1204IT - https://avatars.githubusercontent.com/u/16165576?s=40&v=4 - jrotty - https://github.com/jrotty + https://avatars.githubusercontent.com/u/2287220?s=40&v=4 + stevleibelt + https://github.com/stevleibelt - danielmiessler/SecLists - https://github.com/danielmiessler/SecLists - SecLists is the security tester's companion. It's a collection of multiple types of lists used during security assessments, collected in one place. List types include usernames, passwords, URLs, sensitive data patterns, fuzzing payloads, web shells, and many more. - https://github.com/danielmiessler/SecLists + invoiceninja/invoiceninja + https://github.com/invoiceninja/invoiceninja + A source-available invoice, quote, project and time-tracking app built with Laravel + https://github.com/invoiceninja/invoiceninja PHP #4F5D95 - 58,819 - 23,945 - 21 + 8,284 + 2,282 + 3 - https://avatars.githubusercontent.com/u/535942?s=40&v=4 - g0tmi1k - https://github.com/g0tmi1k + https://avatars.githubusercontent.com/u/5827962?s=40&v=4 + turbo124 + https://github.com/turbo124 + + + https://avatars.githubusercontent.com/u/4629496?s=40&v=4 + hillelcoren + https://github.com/hillelcoren - https://avatars.githubusercontent.com/u/12743076?s=40&v=4 - throwaway-people - https://github.com/throwaway-people + https://avatars.githubusercontent.com/u/13711415?s=40&v=4 + beganovich + https://github.com/beganovich - https://avatars.githubusercontent.com/u/50654?s=40&v=4 - danielmiessler - https://github.com/danielmiessler + https://avatars.githubusercontent.com/u/28981528?s=40&v=4 + LarsK1 + https://github.com/LarsK1 - https://avatars.githubusercontent.com/u/133737702?s=40&v=4 - molangning - https://github.com/molangning + https://avatars.githubusercontent.com/u/52678724?s=40&v=4 + paulwer + https://github.com/paulwer - pmmp/PocketMine-MP - https://github.com/pmmp/PocketMine-MP - A server software for Minecraft: Bedrock Edition in PHP - https://github.com/pmmp/PocketMine-MP + FreshRSS/FreshRSS + https://github.com/FreshRSS/FreshRSS + A free, self-hostable news aggregator… + https://github.com/FreshRSS/FreshRSS PHP #4F5D95 - 3,283 - 1,560 - 0 + 10,028 + 855 + 10 - https://avatars.githubusercontent.com/u/14214667?s=40&v=4 - dktapps - https://github.com/dktapps + https://avatars.githubusercontent.com/u/1008324?s=40&v=4 + Alkarex + https://github.com/Alkarex - https://avatars.githubusercontent.com/u/516482?s=40&v=4 - shoghicp - https://github.com/shoghicp + https://avatars.githubusercontent.com/u/1436309?s=40&v=4 + marienfressinaud + https://github.com/marienfressinaud - https://avatars.githubusercontent.com/u/5618466?s=40&v=4 - PEMapModder - https://github.com/PEMapModder + https://avatars.githubusercontent.com/u/1645099?s=40&v=4 + math-GH + https://github.com/math-GH - https://avatars.githubusercontent.com/u/1076578?s=40&v=4 - Intyre - https://github.com/Intyre + https://avatars.githubusercontent.com/u/3056148?s=40&v=4 + aledeg + https://github.com/aledeg + + + https://avatars.githubusercontent.com/u/202757?s=40&v=4 + Frenzie + https://github.com/Frenzie @@ -389,8 +399,8 @@ https://github.com/filamentphp/filament PHP #4F5D95 - 19,456 - 2,972 + 19,460 + 2,971 29 @@ -421,448 +431,390 @@ - nextcloud/server - https://github.com/nextcloud/server - ☁️ Nextcloud server, a safe home for all your data - https://github.com/nextcloud/server + ZoneMinder/zoneminder + https://github.com/ZoneMinder/zoneminder + ZoneMinder is a free, open source Closed-circuit television software application developed for Linux which supports IP, USB and Analog cameras. + https://github.com/ZoneMinder/zoneminder PHP #4F5D95 - 27,505 - 4,075 - 5 + 5,190 + 1,227 + 3 - https://avatars.githubusercontent.com/u/45821?s=40&v=4 - rullzer - https://github.com/rullzer + https://avatars.githubusercontent.com/u/925519?s=40&v=4 + connortechnology + https://github.com/connortechnology - https://avatars.githubusercontent.com/u/245432?s=40&v=4 - MorrisJobke - https://github.com/MorrisJobke + https://avatars.githubusercontent.com/u/5150042?s=40&v=4 + knight-of-ni + https://github.com/knight-of-ni - https://avatars.githubusercontent.com/u/1005065?s=40&v=4 - DeepDiver1975 - https://github.com/DeepDiver1975 + https://avatars.githubusercontent.com/u/114193?s=40&v=4 + kylejohnson + https://github.com/kylejohnson - https://avatars.githubusercontent.com/u/213943?s=40&v=4 - nickvergessen - https://github.com/nickvergessen + https://avatars.githubusercontent.com/u/5006170?s=40&v=4 + IgorA100 + https://github.com/IgorA100 - https://avatars.githubusercontent.com/u/1283854?s=40&v=4 - icewind1991 - https://github.com/icewind1991 + https://avatars.githubusercontent.com/u/4116654?s=40&v=4 + pliablepixels + https://github.com/pliablepixels - pterodactyl/panel - https://github.com/pterodactyl/panel - Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users. - https://github.com/pterodactyl/panel + opencart/opencart + https://github.com/opencart/opencart + A free shopping cart system. OpenCart is an open source PHP-based online e-commerce solution. + https://github.com/opencart/opencart PHP #4F5D95 - 6,828 - 1,741 - 7 + 7,488 + 4,841 + 1 - https://avatars.githubusercontent.com/u/418376?s=40&v=4 - DaneEveritt - https://github.com/DaneEveritt + https://avatars.githubusercontent.com/u/5079756?s=40&v=4 + danielkerr + https://github.com/danielkerr - https://avatars.githubusercontent.com/u/26559841?s=40&v=4 - matthewpi - https://github.com/matthewpi + https://avatars.githubusercontent.com/u/2026129?s=40&v=4 + jamesallsup + https://github.com/jamesallsup - https://avatars.githubusercontent.com/u/1710904?s=40&v=4 - schrej - https://github.com/schrej + https://avatars.githubusercontent.com/u/77865573?s=40&v=4 + TheCartpenter + https://github.com/TheCartpenter - https://avatars.githubusercontent.com/u/1757840?s=40&v=4 - notAreYouScared - https://github.com/notAreYouScared + https://avatars.githubusercontent.com/u/5789807?s=40&v=4 + eka7a + https://github.com/eka7a - https://avatars.githubusercontent.com/u/1296882?s=40&v=4 - lancepioch - https://github.com/lancepioch + https://avatars.githubusercontent.com/u/204594?s=40&v=4 + AJenbo + https://github.com/AJenbo - statamic/cms - https://github.com/statamic/cms - The core Laravel CMS Composer package - https://github.com/statamic/cms + solstice23/argon-theme + https://github.com/solstice23/argon-theme + 📖 Argon - 一个轻盈、简洁的 WordPress 主题 + https://github.com/solstice23/argon-theme PHP #4F5D95 - 4,099 - 536 - 4 + 4,809 + 560 + 7 - https://avatars.githubusercontent.com/u/105211?s=40&v=4 - jasonvarga - https://github.com/jasonvarga - - - https://avatars.githubusercontent.com/u/5187394?s=40&v=4 - jesseleite - https://github.com/jesseleite + https://avatars.githubusercontent.com/u/23134847?s=40&v=4 + solstice23 + https://github.com/solstice23 - https://avatars.githubusercontent.com/u/44739?s=40&v=4 - jackmcdade - https://github.com/jackmcdade + https://avatars.githubusercontent.com/u/46484956?s=40&v=4 + yzxoi + https://github.com/yzxoi - https://avatars.githubusercontent.com/u/19637309?s=40&v=4 - duncanmcclean - https://github.com/duncanmcclean + https://avatars.githubusercontent.com/u/51253685?s=40&v=4 + AH-dark + https://github.com/AH-dark - https://avatars.githubusercontent.com/u/51899?s=40&v=4 - ryanmitchell - https://github.com/ryanmitchell + https://avatars.githubusercontent.com/u/14857984?s=40&v=4 + Jiaocz + https://github.com/Jiaocz - mautic/mautic - https://github.com/mautic/mautic - Mautic: Open Source Marketing Automation Software. - https://github.com/mautic/mautic + cedar2025/Xboard + https://github.com/cedar2025/Xboard + 基于V2board二次开发支持新协议新特性的高性能面板 + https://github.com/cedar2025/Xboard PHP #4F5D95 - 7,384 - 2,636 - 8 + 2,070 + 518 + 2 - https://avatars.githubusercontent.com/u/63312?s=40&v=4 - alanhartless - https://github.com/alanhartless + https://avatars.githubusercontent.com/u/147830212?s=40&v=4 + cedar2025 + https://github.com/cedar2025 - https://avatars.githubusercontent.com/u/1235442?s=40&v=4 - escopecz - https://github.com/escopecz + https://avatars.githubusercontent.com/u/15796229?s=40&v=4 + rebecca554owen + https://github.com/rebecca554owen - https://avatars.githubusercontent.com/u/462477?s=40&v=4 - kuzmany - https://github.com/kuzmany + https://avatars.githubusercontent.com/u/19740260?s=40&v=4 + ishkong + https://github.com/ishkong - https://avatars.githubusercontent.com/u/718028?s=40&v=4 - dongilbert - https://github.com/dongilbert + https://avatars.githubusercontent.com/u/43591953?s=40&v=4 + mercury7720 + https://github.com/mercury7720 - https://avatars.githubusercontent.com/u/1496976?s=40&v=4 - mqueme - https://github.com/mqueme + https://avatars.githubusercontent.com/u/6984599?s=40&v=4 + greatbody + https://github.com/greatbody - magento/magento2 - https://github.com/magento/magento2 - Prior to making any Submission(s), you must sign an Adobe Contributor License Agreement, available here at: https://opensource.adobe.com/cla.html. All Submissions you make to Adobe Inc. and its affiliates, assigns and subsidiaries (collectively “Adobe”) are subject to the terms of the Adobe Contributor License Agreement. - https://github.com/magento/magento2 + DenverCoder1/readme-typing-svg + https://github.com/DenverCoder1/readme-typing-svg + ⚡ Dynamically generated, customizable SVG that gives the appearance of typing and deleting text for use on your profile page, repositories, or website. + https://github.com/DenverCoder1/readme-typing-svg PHP #4F5D95 - 11,573 - 9,319 - 1 + 5,917 + 944 + 4 - https://avatars.githubusercontent.com/u/31669971?s=40&v=4 - magento-engcom-team - https://github.com/magento-engcom-team - - - https://avatars.githubusercontent.com/u/9741905?s=40&v=4 - slavvka - https://github.com/slavvka - - - https://avatars.githubusercontent.com/u/12795788?s=40&v=4 - zakdma - https://github.com/zakdma + https://avatars.githubusercontent.com/u/20955511?s=40&v=4 + DenverCoder1 + https://github.com/DenverCoder1 - https://avatars.githubusercontent.com/u/7325075?s=40&v=4 - okorshenko - https://github.com/okorshenko + https://avatars.githubusercontent.com/u/32591853?s=40&v=4 + 8BitJonny + https://github.com/8BitJonny - https://avatars.githubusercontent.com/u/1119622?s=40&v=4 - rganin - https://github.com/rganin + https://avatars.githubusercontent.com/u/14985050?s=40&v=4 + R055A + https://github.com/R055A - HiEventsDev/Hi.Events - https://github.com/HiEventsDev/Hi.Events - Open-source event management and ticket selling platform. Perfect for concerts, conferences, and everything in between 🎟️ - https://github.com/HiEventsDev/Hi.Events + nextcloud/all-in-one + https://github.com/nextcloud/all-in-one + 📦 The official Nextcloud installation method. Provides easy deployment and maintenance with most features included in this one Nextcloud instance. + https://github.com/nextcloud/all-in-one PHP #4F5D95 - 2,240 - 199 - 2 + 5,658 + 656 + 5 - https://avatars.githubusercontent.com/u/166798?s=40&v=4 - daveearley - https://github.com/daveearley + https://avatars.githubusercontent.com/u/42591237?s=40&v=4 + szaimen + https://github.com/szaimen - https://avatars.githubusercontent.com/u/60230221?s=40&v=4 - zarevskaya - https://github.com/zarevskaya + https://avatars.githubusercontent.com/u/75573284?s=40&v=4 + Zoey2936 + https://github.com/Zoey2936 - https://avatars.githubusercontent.com/u/1018784?s=40&v=4 - grablair - https://github.com/grablair + https://avatars.githubusercontent.com/u/88102737?s=40&v=4 + nextcloud-command + https://github.com/nextcloud-command - https://avatars.githubusercontent.com/u/101149675?s=40&v=4 - tasnim0tantawi - https://github.com/tasnim0tantawi + https://avatars.githubusercontent.com/u/7360784?s=40&v=4 + docjyJ + https://github.com/docjyJ - Sylius/Sylius - https://github.com/Sylius/Sylius - Open Source eCommerce Framework on Symfony - https://github.com/Sylius/Sylius + Dolibarr/dolibarr + https://github.com/Dolibarr/dolibarr + Dolibarr ERP CRM is a modern software package to manage your company or foundation's activity (contacts, suppliers, invoices, orders, stocks, agenda, accounting, ...). it's an open source Web application (written in PHP) designed for businesses of any sizes, foundations and freelancers. + https://github.com/Dolibarr/dolibarr PHP #4F5D95 - 7,942 - 2,097 - 2 + 5,499 + 2,798 + 5 - https://avatars.githubusercontent.com/u/6140884?s=40&v=4 - GSadee - https://github.com/GSadee + https://avatars.githubusercontent.com/u/883887?s=40&v=4 + eldy + https://github.com/eldy - https://avatars.githubusercontent.com/u/1897953?s=40&v=4 - pamil - https://github.com/pamil + https://avatars.githubusercontent.com/u/454387?s=40&v=4 + hregis + https://github.com/hregis - https://avatars.githubusercontent.com/u/6212718?s=40&v=4 - Zales0123 - https://github.com/Zales0123 + https://avatars.githubusercontent.com/u/85827?s=40&v=4 + rodo + https://github.com/rodo - https://avatars.githubusercontent.com/u/6213903?s=40&v=4 - lchrusciel - https://github.com/lchrusciel + https://avatars.githubusercontent.com/u/3624836?s=40&v=4 + frederic34 + https://github.com/frederic34 - https://avatars.githubusercontent.com/u/9448101?s=40&v=4 - NoResponseMate - https://github.com/NoResponseMate + https://avatars.githubusercontent.com/u/2341395?s=40&v=4 + aspangaro + https://github.com/aspangaro - Licoy/wordpress-theme-puock - https://github.com/Licoy/wordpress-theme-puock - 🎨 一款基于WordPress开发的高颜值的自适应主题,支持白天与黑夜模式/无刷新加载/第三方登录等众多功能 | A high-value adaptive theme based on WordPress, supports light and dark modes, no refresh loading, etc. - https://github.com/Licoy/wordpress-theme-puock + endroid/qr-code + https://github.com/endroid/qr-code + QR Code Generator + https://github.com/endroid/qr-code PHP #4F5D95 - 2,471 - 415 + 4,454 + 727 3 - https://avatars.githubusercontent.com/u/20410697?s=40&v=4 - Licoy - https://github.com/Licoy + https://avatars.githubusercontent.com/u/537253?s=40&v=4 + endroid + https://github.com/endroid - https://avatars.githubusercontent.com/u/41245552?s=40&v=4 - Lvshujun0918 - https://github.com/Lvshujun0918 + https://avatars.githubusercontent.com/u/260361?s=40&v=4 + sprain + https://github.com/sprain - https://avatars.githubusercontent.com/u/48707773?s=40&v=4 - wuyueerhao - https://github.com/wuyueerhao + https://avatars.githubusercontent.com/u/545671?s=40&v=4 + MaximilianKresse + https://github.com/MaximilianKresse - https://avatars.githubusercontent.com/u/107761088?s=40&v=4 - happykl-cn - https://github.com/happykl-cn + https://avatars.githubusercontent.com/u/1054469?s=40&v=4 + ThomasLandauer + https://github.com/ThomasLandauer - https://avatars.githubusercontent.com/u/14000053?s=40&v=4 - miniwater - https://github.com/miniwater + https://avatars.githubusercontent.com/u/1260026?s=40&v=4 + Trismegiste + https://github.com/Trismegiste - PrestaShop/autoupgrade - https://github.com/PrestaShop/autoupgrade - Upgrade module for PrestaShop - https://github.com/PrestaShop/autoupgrade + joomla/joomla-cms + https://github.com/joomla/joomla-cms + Home of the Joomla! Content Management System + https://github.com/joomla/joomla-cms PHP #4F5D95 - 117 - 118 - 0 + 4,781 + 3,650 + 9 - https://avatars.githubusercontent.com/u/6768917?s=40&v=4 - Quetzacoalt91 - https://github.com/Quetzacoalt91 + https://avatars.githubusercontent.com/u/1296369?s=40&v=4 + brianteeman + https://github.com/brianteeman - https://avatars.githubusercontent.com/u/52718717?s=40&v=4 - ga-devfront - https://github.com/ga-devfront + https://avatars.githubusercontent.com/u/1986000?s=40&v=4 + wilsonge + https://github.com/wilsonge - https://avatars.githubusercontent.com/u/15106407?s=40&v=4 - ps-jarvis - https://github.com/ps-jarvis + https://avatars.githubusercontent.com/u/869724?s=40&v=4 + infograf768 + https://github.com/infograf768 - https://avatars.githubusercontent.com/u/24647495?s=40&v=4 - nesrineabdmouleh - https://github.com/nesrineabdmouleh + https://avatars.githubusercontent.com/u/368545?s=40&v=4 + mbabker + https://github.com/mbabker - https://avatars.githubusercontent.com/u/6097524?s=40&v=4 - Hlavtox - https://github.com/Hlavtox + https://avatars.githubusercontent.com/u/700871?s=40&v=4 + eddieajau + https://github.com/eddieajau - librenms/librenms - https://github.com/librenms/librenms - Community-based GPL-licensed network monitoring system - https://github.com/librenms/librenms + monicahq/monica + https://github.com/monicahq/monica + Personal CRM. Remember everything about your friends, family and business relationships. + https://github.com/monicahq/monica PHP #4F5D95 - 3,947 - 2,310 - 1 + 21,778 + 2,181 + 8 - https://avatars.githubusercontent.com/u/3941142?s=40&v=4 - laf - https://github.com/laf + https://avatars.githubusercontent.com/u/61099?s=40&v=4 + djaiss + https://github.com/djaiss - https://avatars.githubusercontent.com/u/39462?s=40&v=4 - murrant - https://github.com/murrant + https://avatars.githubusercontent.com/u/25419741?s=40&v=4 + asbiin + https://github.com/asbiin - https://avatars.githubusercontent.com/u/1656377?s=40&v=4 - f0o - https://github.com/f0o - - - https://avatars.githubusercontent.com/u/107222?s=40&v=4 - paulgear - https://github.com/paulgear - - - https://avatars.githubusercontent.com/u/393086?s=40&v=4 - danzel - https://github.com/danzel + https://avatars.githubusercontent.com/u/952007?s=40&v=4 + szepeviktor + https://github.com/szepeviktor - barryvdh/laravel-debugbar - https://github.com/barryvdh/laravel-debugbar - Debugbar for Laravel (Integrates PHP Debug Bar) - https://github.com/barryvdh/laravel-debugbar + dompdf/dompdf + https://github.com/dompdf/dompdf + HTML to PDF converter for PHP + https://github.com/dompdf/dompdf PHP #4F5D95 - 17,462 - 1,563 + 10,558 + 1,798 5 - https://avatars.githubusercontent.com/u/973269?s=40&v=4 - barryvdh - https://github.com/barryvdh - - - https://avatars.githubusercontent.com/u/4933954?s=40&v=4 - erikn69 - https://github.com/erikn69 - - - https://avatars.githubusercontent.com/u/2829600?s=40&v=4 - GrahamCampbell - https://github.com/GrahamCampbell + https://avatars.githubusercontent.com/u/485897?s=40&v=4 + bsweeney + https://github.com/bsweeney - https://avatars.githubusercontent.com/u/667144?s=40&v=4 - sebdesign - https://github.com/sebdesign - - - https://avatars.githubusercontent.com/u/5232313?s=40&v=4 - browner12 - https://github.com/browner12 - - - - - mitchmac/ServerlessWP - https://github.com/mitchmac/ServerlessWP - Host WordPress sites on Vercel, Netlify, or AWS Lambda - https://github.com/mitchmac/ServerlessWP - PHP - #4F5D95 - 383 - 132 - 8 - - - https://avatars.githubusercontent.com/u/618212?s=40&v=4 - mitchmac - https://github.com/mitchmac + https://avatars.githubusercontent.com/u/5852189?s=40&v=4 + Mellthas + https://github.com/Mellthas - https://avatars.githubusercontent.com/u/5773006?s=40&v=4 - aldosch - https://github.com/aldosch + https://avatars.githubusercontent.com/u/42170?s=40&v=4 + PhenX + https://github.com/PhenX - https://avatars.githubusercontent.com/u/25358656?s=40&v=4 - oversuman - https://github.com/oversuman + https://avatars.githubusercontent.com/u/7163526?s=40&v=4 + simonberger + https://github.com/simonberger - https://avatars.githubusercontent.com/u/64385706?s=40&v=4 - notio-ca - https://github.com/notio-ca + https://avatars.githubusercontent.com/u/671923?s=40&v=4 + gabrielbull + https://github.com/gabrielbull diff --git a/data/daily/pic.json b/data/daily/pic.json index 9fc40311ee6f..7bcce074b6b2 100644 --- a/data/daily/pic.json +++ b/data/daily/pic.json @@ -2,6 +2,6 @@ "title": "GitHub Pic Languages Daily Trending", "description": "Daily Trending of Pic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pic.xml b/data/daily/pic.xml index 55ee768b5467..4c4e4473259d 100644 --- a/data/daily/pic.xml +++ b/data/daily/pic.xml @@ -3,6 +3,6 @@ GitHub Pic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pickle.json b/data/daily/pickle.json index a8ecbbe304e7..0ad3e974086e 100644 --- a/data/daily/pickle.json +++ b/data/daily/pickle.json @@ -2,6 +2,6 @@ "title": "GitHub Pickle Languages Daily Trending", "description": "Daily Trending of Pickle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pickle.xml b/data/daily/pickle.xml index 6579ac1f83ab..337d2ce82fb7 100644 --- a/data/daily/pickle.xml +++ b/data/daily/pickle.xml @@ -3,6 +3,6 @@ GitHub Pickle Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pickle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/picolisp.json b/data/daily/picolisp.json index c0199f8f3f46..53829c8b05f6 100644 --- a/data/daily/picolisp.json +++ b/data/daily/picolisp.json @@ -2,6 +2,6 @@ "title": "GitHub Picolisp Languages Daily Trending", "description": "Daily Trending of Picolisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/picolisp.xml b/data/daily/picolisp.xml index f8c846f0dd62..e48fe80388bd 100644 --- a/data/daily/picolisp.xml +++ b/data/daily/picolisp.xml @@ -3,6 +3,6 @@ GitHub Picolisp Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Picolisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/piglatin.json b/data/daily/piglatin.json index fdd1d9b528c7..30d0c4f38b4a 100644 --- a/data/daily/piglatin.json +++ b/data/daily/piglatin.json @@ -2,6 +2,6 @@ "title": "GitHub Piglatin Languages Daily Trending", "description": "Daily Trending of Piglatin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/piglatin.xml b/data/daily/piglatin.xml index ac7a77b2af21..16d6d7c48c16 100644 --- a/data/daily/piglatin.xml +++ b/data/daily/piglatin.xml @@ -3,6 +3,6 @@ GitHub Piglatin Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Piglatin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pike.json b/data/daily/pike.json index cb109a3a7dd1..60001bd4f3d7 100644 --- a/data/daily/pike.json +++ b/data/daily/pike.json @@ -2,6 +2,6 @@ "title": "GitHub Pike Languages Daily Trending", "description": "Daily Trending of Pike Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pike.xml b/data/daily/pike.xml index 74d61e2dba6c..ee2a9361d308 100644 --- a/data/daily/pike.xml +++ b/data/daily/pike.xml @@ -3,6 +3,6 @@ GitHub Pike Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pike Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pip-requirements.json b/data/daily/pip-requirements.json index baeafd303212..6f90a25c99cb 100644 --- a/data/daily/pip-requirements.json +++ b/data/daily/pip-requirements.json @@ -2,6 +2,6 @@ "title": "GitHub Pip-requirements Languages Daily Trending", "description": "Daily Trending of Pip-requirements Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pip-requirements.xml b/data/daily/pip-requirements.xml index 515502efeb50..8e292eea1691 100644 --- a/data/daily/pip-requirements.xml +++ b/data/daily/pip-requirements.xml @@ -3,6 +3,6 @@ GitHub Pip-requirements Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pip-requirements Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pkl.json b/data/daily/pkl.json index 8540c2a84dbc..26665e6890d5 100644 --- a/data/daily/pkl.json +++ b/data/daily/pkl.json @@ -2,6 +2,6 @@ "title": "GitHub Pkl Languages Daily Trending", "description": "Daily Trending of Pkl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pkl.xml b/data/daily/pkl.xml index d5d9f7ef02c4..fbfc55f20c15 100644 --- a/data/daily/pkl.xml +++ b/data/daily/pkl.xml @@ -3,6 +3,6 @@ GitHub Pkl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pkl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/plantuml.json b/data/daily/plantuml.json index 5dd6357f3563..13cb115b0fe2 100644 --- a/data/daily/plantuml.json +++ b/data/daily/plantuml.json @@ -2,6 +2,6 @@ "title": "GitHub Plantuml Languages Daily Trending", "description": "Daily Trending of Plantuml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/plantuml.xml b/data/daily/plantuml.xml index 1c6eae5ad8b7..6c3d29e770de 100644 --- a/data/daily/plantuml.xml +++ b/data/daily/plantuml.xml @@ -3,6 +3,6 @@ GitHub Plantuml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Plantuml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/plpgsql.json b/data/daily/plpgsql.json index 312781ff2869..8c7a22c6ddd7 100644 --- a/data/daily/plpgsql.json +++ b/data/daily/plpgsql.json @@ -2,52 +2,15 @@ "title": "GitHub Plpgsql Languages Daily Trending", "description": "Daily Trending of Plpgsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "digoal/blog", - "url": "https://github.com/digoal/blog", - "description": "Opensource,Database,AI,Business,Minds. git clone --depth 1 https://github.com/digoal/blog", - "language": "PLpgSQL", - "languageColor": "#336790", - "stars": "8,104", - "forks": "1,895", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1920239?s=40&v=4", - "name": "digoal", - "url": "https://github.com/digoal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8612228?s=40&v=4", - "name": "EamonZhang", - "url": "https://github.com/EamonZhang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21381?s=40&v=4", - "name": "andrelaszlo", - "url": "https://github.com/andrelaszlo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17854123?s=40&v=4", - "name": "lonecloud", - "url": "https://github.com/lonecloud" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19792739?s=40&v=4", - "name": "DarkForcesX", - "url": "https://github.com/DarkForcesX" - } - ] - }, { "title": "timescale/pgai", "url": "https://github.com/timescale/pgai", "description": "A suite of tools to develop RAG, semantic search, and other AI applications more easily with PostgreSQL", "language": "PLpgSQL", "languageColor": "#336790", - "stars": "2,083", + "stars": "2,085", "forks": "97", "addStars": "9", "contributors": [ diff --git a/data/daily/plpgsql.xml b/data/daily/plpgsql.xml index deec13e97632..792dbfbd045c 100644 --- a/data/daily/plpgsql.xml +++ b/data/daily/plpgsql.xml @@ -3,45 +3,7 @@ GitHub Plpgsql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Plpgsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - digoal/blog - https://github.com/digoal/blog - Opensource,Database,AI,Business,Minds. git clone --depth 1 https://github.com/digoal/blog - https://github.com/digoal/blog - PLpgSQL - #336790 - 8,104 - 1,895 - 1 - - - https://avatars.githubusercontent.com/u/1920239?s=40&v=4 - digoal - https://github.com/digoal - - - https://avatars.githubusercontent.com/u/8612228?s=40&v=4 - EamonZhang - https://github.com/EamonZhang - - - https://avatars.githubusercontent.com/u/21381?s=40&v=4 - andrelaszlo - https://github.com/andrelaszlo - - - https://avatars.githubusercontent.com/u/17854123?s=40&v=4 - lonecloud - https://github.com/lonecloud - - - https://avatars.githubusercontent.com/u/19792739?s=40&v=4 - DarkForcesX - https://github.com/DarkForcesX - - - + Mon, 25 Nov 2024 13:30:36 GMT timescale/pgai https://github.com/timescale/pgai @@ -49,7 +11,7 @@ https://github.com/timescale/pgai PLpgSQL #336790 - 2,083 + 2,085 97 9 diff --git a/data/daily/plsql.json b/data/daily/plsql.json index 4335034d9562..fe3600089969 100644 --- a/data/daily/plsql.json +++ b/data/daily/plsql.json @@ -2,6 +2,6 @@ "title": "GitHub Plsql Languages Daily Trending", "description": "Daily Trending of Plsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/plsql.xml b/data/daily/plsql.xml index a97ea2badbc6..7b30cdd03b9f 100644 --- a/data/daily/plsql.xml +++ b/data/daily/plsql.xml @@ -3,6 +3,6 @@ GitHub Plsql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Plsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pod-6.json b/data/daily/pod-6.json index 05925da85556..6037f8b71f83 100644 --- a/data/daily/pod-6.json +++ b/data/daily/pod-6.json @@ -2,6 +2,6 @@ "title": "GitHub Pod-6 Languages Daily Trending", "description": "Daily Trending of Pod-6 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pod-6.xml b/data/daily/pod-6.xml index 271a92f2e054..46a064f59f9b 100644 --- a/data/daily/pod-6.xml +++ b/data/daily/pod-6.xml @@ -3,6 +3,6 @@ GitHub Pod-6 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pod-6 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pod.json b/data/daily/pod.json index 2889171f7454..3326ecd8d822 100644 --- a/data/daily/pod.json +++ b/data/daily/pod.json @@ -2,6 +2,6 @@ "title": "GitHub Pod Languages Daily Trending", "description": "Daily Trending of Pod Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pod.xml b/data/daily/pod.xml index e3d2fd5d2dc6..bf4867068fb9 100644 --- a/data/daily/pod.xml +++ b/data/daily/pod.xml @@ -3,6 +3,6 @@ GitHub Pod Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pod Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pogoscript.json b/data/daily/pogoscript.json index 9c8aa12df94c..d04dd5312b30 100644 --- a/data/daily/pogoscript.json +++ b/data/daily/pogoscript.json @@ -2,6 +2,6 @@ "title": "GitHub Pogoscript Languages Daily Trending", "description": "Daily Trending of Pogoscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pogoscript.xml b/data/daily/pogoscript.xml index c3625e6a64d6..fb3893269316 100644 --- a/data/daily/pogoscript.xml +++ b/data/daily/pogoscript.xml @@ -3,6 +3,6 @@ GitHub Pogoscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pogoscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/polar.json b/data/daily/polar.json index 9e9d796c4f00..fdb5df3a2cb6 100644 --- a/data/daily/polar.json +++ b/data/daily/polar.json @@ -2,6 +2,6 @@ "title": "GitHub Polar Languages Daily Trending", "description": "Daily Trending of Polar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/polar.xml b/data/daily/polar.xml index 0e9ba52727d7..a33308e02f4d 100644 --- a/data/daily/polar.xml +++ b/data/daily/polar.xml @@ -3,6 +3,6 @@ GitHub Polar Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Polar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pony.json b/data/daily/pony.json index 1daa93f5b940..a434b0d02d55 100644 --- a/data/daily/pony.json +++ b/data/daily/pony.json @@ -2,6 +2,6 @@ "title": "GitHub Pony Languages Daily Trending", "description": "Daily Trending of Pony Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pony.xml b/data/daily/pony.xml index 277909ea8c05..c0b26efc5225 100644 --- a/data/daily/pony.xml +++ b/data/daily/pony.xml @@ -3,6 +3,6 @@ GitHub Pony Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pony Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/portugol.json b/data/daily/portugol.json index 84eb8053b886..0af2c710af35 100644 --- a/data/daily/portugol.json +++ b/data/daily/portugol.json @@ -2,6 +2,6 @@ "title": "GitHub Portugol Languages Daily Trending", "description": "Daily Trending of Portugol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/portugol.xml b/data/daily/portugol.xml index bc606677b3f0..5d31c8debdb3 100644 --- a/data/daily/portugol.xml +++ b/data/daily/portugol.xml @@ -3,6 +3,6 @@ GitHub Portugol Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Portugol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/postcss.json b/data/daily/postcss.json index d5c4d2a5c894..9d67776bbd51 100644 --- a/data/daily/postcss.json +++ b/data/daily/postcss.json @@ -2,6 +2,6 @@ "title": "GitHub Postcss Languages Daily Trending", "description": "Daily Trending of Postcss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/postcss.xml b/data/daily/postcss.xml index e11537f8dec8..a0295275529e 100644 --- a/data/daily/postcss.xml +++ b/data/daily/postcss.xml @@ -3,6 +3,6 @@ GitHub Postcss Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Postcss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/postscript.json b/data/daily/postscript.json index 11cb17d5e6ca..a862e67f11a1 100644 --- a/data/daily/postscript.json +++ b/data/daily/postscript.json @@ -2,7 +2,7 @@ "title": "GitHub Postscript Languages Daily Trending", "description": "Daily Trending of Postscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "django/djangoproject.com", diff --git a/data/daily/postscript.xml b/data/daily/postscript.xml index 265af8bfb25d..f82c6166d6cd 100644 --- a/data/daily/postscript.xml +++ b/data/daily/postscript.xml @@ -3,7 +3,7 @@ GitHub Postscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Postscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT django/djangoproject.com https://github.com/django/djangoproject.com diff --git a/data/daily/pov-ray-sdl.json b/data/daily/pov-ray-sdl.json index 57bedcbc0aa7..a86dac566e6b 100644 --- a/data/daily/pov-ray-sdl.json +++ b/data/daily/pov-ray-sdl.json @@ -2,6 +2,6 @@ "title": "GitHub Pov-ray-sdl Languages Daily Trending", "description": "Daily Trending of Pov-ray-sdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pov-ray-sdl.xml b/data/daily/pov-ray-sdl.xml index 5fbc4ad5d415..66895e173cea 100644 --- a/data/daily/pov-ray-sdl.xml +++ b/data/daily/pov-ray-sdl.xml @@ -3,6 +3,6 @@ GitHub Pov-ray-sdl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pov-ray-sdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/powerbuilder.json b/data/daily/powerbuilder.json index 793136ecf7e1..7e866a2df12e 100644 --- a/data/daily/powerbuilder.json +++ b/data/daily/powerbuilder.json @@ -2,6 +2,6 @@ "title": "GitHub Powerbuilder Languages Daily Trending", "description": "Daily Trending of Powerbuilder Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/powerbuilder.xml b/data/daily/powerbuilder.xml index 46f1c298e602..d6b3db691aba 100644 --- a/data/daily/powerbuilder.xml +++ b/data/daily/powerbuilder.xml @@ -3,6 +3,6 @@ GitHub Powerbuilder Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Powerbuilder Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/powershell.json b/data/daily/powershell.json index 64ed10228661..0cd4d9c017fe 100644 --- a/data/daily/powershell.json +++ b/data/daily/powershell.json @@ -2,227 +2,106 @@ "title": "GitHub Powershell Languages Daily Trending", "description": "Daily Trending of Powershell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "Raphire/Win11Debloat", - "url": "https://github.com/Raphire/Win11Debloat", - "description": "A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11.", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "14,247", - "forks": "618", - "addStars": "16", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9938813?s=40&v=4", - "name": "Raphire", - "url": "https://github.com/Raphire" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8408603?s=40&v=4", - "name": "jasonpatrickellykrause", - "url": "https://github.com/jasonpatrickellykrause" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43364021?s=40&v=4", - "name": "JMacIV", - "url": "https://github.com/JMacIV" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/151682543?s=40&v=4", - "name": "DrbitsOFFICIAL", - "url": "https://github.com/DrbitsOFFICIAL" - } - ] - }, - { - "title": "Sycnex/Windows10Debloater", - "url": "https://github.com/Sycnex/Windows10Debloater", - "description": "Script to remove Windows 10 bloatware.", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "18,217", - "forks": "2,045", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7380192?s=40&v=4", - "name": "Sycnex", - "url": "https://github.com/Sycnex" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54670722?s=40&v=4", - "name": "Zoran-Jankov", - "url": "https://github.com/Zoran-Jankov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39830524?s=40&v=4", - "name": "Gray-0men", - "url": "https://github.com/Gray-0men" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2693534?s=40&v=4", - "name": "matt2005", - "url": "https://github.com/matt2005" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2172792?s=40&v=4", - "name": "danijeljw", - "url": "https://github.com/danijeljw" - } - ] - }, - { - "title": "LeDragoX/Win-Debloat-Tools", - "url": "https://github.com/LeDragoX/Win-Debloat-Tools", - "description": "Re-imagining Windows like a minimal OS install, already debloated with minimal impact for most functionality.", + "title": "microsoft/winget-pkgs", + "url": "https://github.com/microsoft/winget-pkgs", + "description": "The Microsoft community Windows Package Manager manifest repository", "language": "PowerShell", "languageColor": "#012456", - "stars": "5,429", - "forks": "269", - "addStars": "1", + "stars": "8,752", + "forks": "4,566", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27643047?s=40&v=4", - "name": "LeDragoX", - "url": "https://github.com/LeDragoX" + "avatar": "https://avatars.githubusercontent.com/u/83997633?s=40&v=4", + "name": "vedantmgoyal9", + "url": "https://github.com/vedantmgoyal9" }, { - "avatar": "https://avatars.githubusercontent.com/u/328798?s=40&v=4", - "name": "W4RH4WK", - "url": "https://github.com/W4RH4WK" + "avatar": "https://avatars.githubusercontent.com/u/56779163?s=40&v=4", + "name": "SpecterShell", + "url": "https://github.com/SpecterShell" }, { - "avatar": "https://avatars.githubusercontent.com/u/6723257?s=40&v=4", - "name": "basictheprogram", - "url": "https://github.com/basictheprogram" + "avatar": "https://avatars.githubusercontent.com/u/63816999?s=40&v=4", + "name": "wingetbot", + "url": "https://github.com/wingetbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/6218382?s=40&v=4", - "name": "tumpio", - "url": "https://github.com/tumpio" + "avatar": "https://avatars.githubusercontent.com/u/12611259?s=40&v=4", + "name": "Trenly", + "url": "https://github.com/Trenly" }, { - "avatar": "https://avatars.githubusercontent.com/u/15698835?s=40&v=4", - "name": "4oo4", - "url": "https://github.com/4oo4" + "avatar": "https://avatars.githubusercontent.com/u/15158490?s=40&v=4", + "name": "OfficialEsco", + "url": "https://github.com/OfficialEsco" } ] }, { - "title": "PowerShellMafia/PowerSploit", - "url": "https://github.com/PowerShellMafia/PowerSploit", - "description": "PowerSploit - A PowerShell Post-Exploitation Framework", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "11,942", - "forks": "4,616", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5504523?s=40&v=4", - "name": "HarmJ0y", - "url": "https://github.com/HarmJ0y" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4003012?s=40&v=4", - "name": "clymb3r", - "url": "https://github.com/clymb3r" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3047099?s=40&v=4", - "name": "obscuresec", - "url": "https://github.com/obscuresec" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1940826?s=40&v=4", - "name": "webstersprodigy", - "url": "https://github.com/webstersprodigy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10997340?s=40&v=4", - "name": "FuzzySecurity", - "url": "https://github.com/FuzzySecurity" - } - ] - }, - { - "title": "Azure/azure-rest-api-specs", - "url": "https://github.com/Azure/azure-rest-api-specs", - "description": "The source for REST API specifications for Microsoft Azure.", + "title": "I-Am-Jakoby/Flipper-Zero-BadUSB", + "url": "https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB", + "description": "Repository for my flipper zero badUSB payloads. Now almost entirely plug and play.", "language": "PowerShell", "languageColor": "#012456", - "stars": "2,692", - "forks": "5,115", - "addStars": "0", + "stars": "5,327", + "forks": "674", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1297909?s=40&v=4", - "name": "nschonni", - "url": "https://github.com/nschonni" + "avatar": "https://avatars.githubusercontent.com/u/20657601?s=40&v=4", + "name": "I-Am-Jakoby", + "url": "https://github.com/I-Am-Jakoby" }, { - "avatar": "https://avatars.githubusercontent.com/u/70930885?s=40&v=4", - "name": "msyyc", - "url": "https://github.com/msyyc" + "avatar": "https://avatars.githubusercontent.com/u/79380289?s=40&v=4", + "name": "Kavitate", + "url": "https://github.com/Kavitate" }, { - "avatar": "https://avatars.githubusercontent.com/u/4521223?s=40&v=4", - "name": "amarzavery", - "url": "https://github.com/amarzavery" + "avatar": "https://avatars.githubusercontent.com/u/57457139?s=40&v=4", + "name": "UberGuidoZ", + "url": "https://github.com/UberGuidoZ" }, { - "avatar": "https://avatars.githubusercontent.com/u/9459391?s=40&v=4", - "name": "mikeharder", - "url": "https://github.com/mikeharder" + "avatar": "https://avatars.githubusercontent.com/u/1720644?s=40&v=4", + "name": "nocomp", + "url": "https://github.com/nocomp" }, { - "avatar": "https://avatars.githubusercontent.com/u/10554446?s=40&v=4", - "name": "ArcturusZhang", - "url": "https://github.com/ArcturusZhang" + "avatar": "https://avatars.githubusercontent.com/u/108245223?s=40&v=4", + "name": "FalsePhilosopher", + "url": "https://github.com/FalsePhilosopher" } ] }, { - "title": "PSAppDeployToolkit/PSAppDeployToolkit", - "url": "https://github.com/PSAppDeployToolkit/PSAppDeployToolkit", - "description": "Project Homepage & Forums", + "title": "actions/runner-images", + "url": "https://github.com/actions/runner-images", + "description": "GitHub Actions runner images", "language": "PowerShell", "languageColor": "#012456", - "stars": "1,841", - "forks": "491", - "addStars": "0", + "stars": "10,235", + "forks": "3,075", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5581235?s=40&v=4", - "name": "mmashwani", - "url": "https://github.com/mmashwani" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11931441?s=40&v=4", - "name": "seanlillis", - "url": "https://github.com/seanlillis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20016096?s=40&v=4", - "name": "luki1412", - "url": "https://github.com/luki1412" + "avatar": "https://avatars.githubusercontent.com/u/72681142?s=40&v=4", + "name": "459680", + "url": "https://github.com/459680" }, { - "avatar": "https://avatars.githubusercontent.com/u/132217?s=40&v=4", - "name": "sintaxasn", - "url": "https://github.com/sintaxasn" + "avatar": "https://avatars.githubusercontent.com/u/47745270?s=40&v=4", + "name": "al-cheb", + "url": "https://github.com/al-cheb" }, { - "avatar": "https://avatars.githubusercontent.com/u/13512483?s=40&v=4", - "name": "amotaz", - "url": "https://github.com/amotaz" + "avatar": "https://avatars.githubusercontent.com/u/48208649?s=40&v=4", + "name": "miketimofeev", + "url": "https://github.com/miketimofeev" } ] }, @@ -232,8 +111,8 @@ "description": "Chris Titus Tech's Windows Utility - Install Programs, Tweaks, Fixes, and Updates", "language": "PowerShell", "languageColor": "#012456", - "stars": "24,673", - "forks": "1,499", + "stars": "24,687", + "forks": "1,500", "addStars": "32", "contributors": [ { @@ -264,103 +143,71 @@ ] }, { - "title": "actions/runner-images", - "url": "https://github.com/actions/runner-images", - "description": "GitHub Actions runner images", + "title": "ScoopInstaller/Main", + "url": "https://github.com/ScoopInstaller/Main", + "description": "📦 The default bucket for Scoop.", "language": "PowerShell", "languageColor": "#012456", - "stars": "10,231", - "forks": "3,075", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/72681142?s=40&v=4", - "name": "459680", - "url": "https://github.com/459680" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47745270?s=40&v=4", - "name": "al-cheb", - "url": "https://github.com/al-cheb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48208649?s=40&v=4", - "name": "miketimofeev", - "url": "https://github.com/miketimofeev" - } - ] - }, - { - "title": "Atlas-OS/Atlas", - "url": "https://github.com/Atlas-OS/Atlas", - "description": "🚀 An open and lightweight modification to Windows, designed to optimize performance, privacy and usability.", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "14,284", - "forks": "530", - "addStars": "5", + "stars": "1,603", + "forks": "971", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/65787561?s=40&v=4", - "name": "he3als", - "url": "https://github.com/he3als" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/101590573?s=40&v=4", - "name": "Xyueta", - "url": "https://github.com/Xyueta" + "avatar": "https://avatars.githubusercontent.com/u/432127?s=40&v=4", + "name": "r15ch13", + "url": "https://github.com/r15ch13" }, { - "avatar": "https://avatars.githubusercontent.com/u/46111482?s=40&v=4", - "name": "Zusier", - "url": "https://github.com/Zusier" + "avatar": "https://avatars.githubusercontent.com/u/13260377?s=40&v=4", + "name": "Ash258", + "url": "https://github.com/Ash258" }, { - "avatar": "https://avatars.githubusercontent.com/u/85282140?s=40&v=4", - "name": "PencilNavigator", - "url": "https://github.com/PencilNavigator" + "avatar": "https://avatars.githubusercontent.com/u/103446?s=40&v=4", + "name": "lukesampson", + "url": "https://github.com/lukesampson" }, { - "avatar": "https://avatars.githubusercontent.com/u/74987167?s=40&v=4", - "name": "jacksyrm", - "url": "https://github.com/jacksyrm" + "avatar": "https://avatars.githubusercontent.com/u/46838874?s=40&v=4", + "name": "rashil2000", + "url": "https://github.com/rashil2000" } ] }, { - "title": "hak5/usbrubberducky-payloads", - "url": "https://github.com/hak5/usbrubberducky-payloads", - "description": "The Official USB Rubber Ducky Payload Repository", + "title": "Raphire/Win11Debloat", + "url": "https://github.com/Raphire/Win11Debloat", + "description": "A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11.", "language": "PowerShell", "languageColor": "#012456", - "stars": "4,173", - "forks": "1,348", - "addStars": "3", + "stars": "14,252", + "forks": "618", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/58374531?s=40&v=4", - "name": "aleff-github", - "url": "https://github.com/aleff-github" + "avatar": "https://avatars.githubusercontent.com/u/9938813?s=40&v=4", + "name": "Raphire", + "url": "https://github.com/Raphire" }, { - "avatar": "https://avatars.githubusercontent.com/u/9642419?s=40&v=4", - "name": "dallaswinger", - "url": "https://github.com/dallaswinger" + "avatar": "https://avatars.githubusercontent.com/u/8408603?s=40&v=4", + "name": "jasonpatrickellykrause", + "url": "https://github.com/jasonpatrickellykrause" }, { - "avatar": "https://avatars.githubusercontent.com/u/1307248?s=40&v=4", - "name": "hak5darren", - "url": "https://github.com/hak5darren" + "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", + "name": "eltociear", + "url": "https://github.com/eltociear" }, { - "avatar": "https://avatars.githubusercontent.com/u/79219148?s=40&v=4", - "name": "0i41E", - "url": "https://github.com/0i41E" + "avatar": "https://avatars.githubusercontent.com/u/43364021?s=40&v=4", + "name": "JMacIV", + "url": "https://github.com/JMacIV" }, { - "avatar": "https://avatars.githubusercontent.com/u/115900893?s=40&v=4", - "name": "hak5peaks", - "url": "https://github.com/hak5peaks" + "avatar": "https://avatars.githubusercontent.com/u/151682543?s=40&v=4", + "name": "DrbitsOFFICIAL", + "url": "https://github.com/DrbitsOFFICIAL" } ] }, @@ -402,204 +249,278 @@ ] }, { - "title": "I-Am-Jakoby/Flipper-Zero-BadUSB", - "url": "https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB", - "description": "Repository for my flipper zero badUSB payloads. Now almost entirely plug and play.", + "title": "samratashok/nishang", + "url": "https://github.com/samratashok/nishang", + "description": "Nishang - Offensive PowerShell for red team, penetration testing and offensive security.", "language": "PowerShell", "languageColor": "#012456", - "stars": "5,326", - "forks": "674", - "addStars": "9", + "stars": "8,810", + "forks": "2,450", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20657601?s=40&v=4", - "name": "I-Am-Jakoby", - "url": "https://github.com/I-Am-Jakoby" + "avatar": "https://avatars.githubusercontent.com/u/1753291?s=40&v=4", + "name": "samratashok", + "url": "https://github.com/samratashok" }, { - "avatar": "https://avatars.githubusercontent.com/u/79380289?s=40&v=4", - "name": "Kavitate", - "url": "https://github.com/Kavitate" + "avatar": "https://avatars.githubusercontent.com/u/5989847?s=40&v=4", + "name": "sammbertram", + "url": "https://github.com/sammbertram" }, { - "avatar": "https://avatars.githubusercontent.com/u/57457139?s=40&v=4", - "name": "UberGuidoZ", - "url": "https://github.com/UberGuidoZ" + "avatar": "https://avatars.githubusercontent.com/u/4646697?s=40&v=4", + "name": "DrDinosaur", + "url": "https://github.com/DrDinosaur" }, { - "avatar": "https://avatars.githubusercontent.com/u/1720644?s=40&v=4", - "name": "nocomp", - "url": "https://github.com/nocomp" + "avatar": "https://avatars.githubusercontent.com/u/13077860?s=40&v=4", + "name": "Dliv3", + "url": "https://github.com/Dliv3" }, { - "avatar": "https://avatars.githubusercontent.com/u/108245223?s=40&v=4", - "name": "FalsePhilosopher", - "url": "https://github.com/FalsePhilosopher" + "avatar": "https://avatars.githubusercontent.com/u/17518559?s=40&v=4", + "name": "zer1t0", + "url": "https://github.com/zer1t0" } ] }, { - "title": "ScoopInstaller/Scoop", - "url": "https://github.com/ScoopInstaller/Scoop", - "description": "A command-line installer for Windows.", + "title": "Sycnex/Windows10Debloater", + "url": "https://github.com/Sycnex/Windows10Debloater", + "description": "Script to remove Windows 10 bloatware.", "language": "PowerShell", "languageColor": "#012456", - "stars": "21,330", - "forks": "1,410", - "addStars": "6", + "stars": "18,217", + "forks": "2,045", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/432127?s=40&v=4", - "name": "r15ch13", - "url": "https://github.com/r15ch13" + "avatar": "https://avatars.githubusercontent.com/u/7380192?s=40&v=4", + "name": "Sycnex", + "url": "https://github.com/Sycnex" }, { - "avatar": "https://avatars.githubusercontent.com/u/103446?s=40&v=4", - "name": "lukesampson", - "url": "https://github.com/lukesampson" + "avatar": "https://avatars.githubusercontent.com/u/54670722?s=40&v=4", + "name": "Zoran-Jankov", + "url": "https://github.com/Zoran-Jankov" }, { - "avatar": "https://avatars.githubusercontent.com/u/5832170?s=40&v=4", - "name": "niheaven", - "url": "https://github.com/niheaven" + "avatar": "https://avatars.githubusercontent.com/u/39830524?s=40&v=4", + "name": "Gray-0men", + "url": "https://github.com/Gray-0men" }, { - "avatar": "https://avatars.githubusercontent.com/u/856194?s=40&v=4", - "name": "deevus", - "url": "https://github.com/deevus" + "avatar": "https://avatars.githubusercontent.com/u/2693534?s=40&v=4", + "name": "matt2005", + "url": "https://github.com/matt2005" }, { - "avatar": "https://avatars.githubusercontent.com/u/220772?s=40&v=4", - "name": "rasa", - "url": "https://github.com/rasa" + "avatar": "https://avatars.githubusercontent.com/u/2172792?s=40&v=4", + "name": "danijeljw", + "url": "https://github.com/danijeljw" } ] }, { - "title": "YannickRe/azuredevops-buildagents", - "url": "https://github.com/YannickRe/azuredevops-buildagents", - "description": "Generate self-hosted build agents for Azure DevOps, just like Microsoft does.", + "title": "PSAppDeployToolkit/PSAppDeployToolkit", + "url": "https://github.com/PSAppDeployToolkit/PSAppDeployToolkit", + "description": "Project Homepage & Forums", "language": "PowerShell", "languageColor": "#012456", - "stars": "153", - "forks": "90", + "stars": "1,841", + "forks": "491", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9973962?s=40&v=4", - "name": "YannickRe", - "url": "https://github.com/YannickRe" + "avatar": "https://avatars.githubusercontent.com/u/5581235?s=40&v=4", + "name": "mmashwani", + "url": "https://github.com/mmashwani" }, { - "avatar": "https://avatars.githubusercontent.com/u/71345042?s=40&v=4", - "name": "erik-de-bont", - "url": "https://github.com/erik-de-bont" + "avatar": "https://avatars.githubusercontent.com/u/11931441?s=40&v=4", + "name": "seanlillis", + "url": "https://github.com/seanlillis" }, { - "avatar": "https://avatars.githubusercontent.com/u/5586283?s=40&v=4", - "name": "ddprince17", - "url": "https://github.com/ddprince17" + "avatar": "https://avatars.githubusercontent.com/u/20016096?s=40&v=4", + "name": "luki1412", + "url": "https://github.com/luki1412" }, { - "avatar": "https://avatars.githubusercontent.com/u/69143606?s=40&v=4", - "name": "drazkiewicz", - "url": "https://github.com/drazkiewicz" + "avatar": "https://avatars.githubusercontent.com/u/132217?s=40&v=4", + "name": "sintaxasn", + "url": "https://github.com/sintaxasn" }, { - "avatar": "https://avatars.githubusercontent.com/u/55616292?s=40&v=4", - "name": "CurlyBytes", - "url": "https://github.com/CurlyBytes" + "avatar": "https://avatars.githubusercontent.com/u/13512483?s=40&v=4", + "name": "amotaz", + "url": "https://github.com/amotaz" } ] }, { - "title": "mondoohq/installer", - "url": "https://github.com/mondoohq/installer", - "description": "Linux, macOS and Windows Install scripts for cnquery & cnspec", + "title": "Azure/azure-rest-api-specs", + "url": "https://github.com/Azure/azure-rest-api-specs", + "description": "The source for REST API specifications for Microsoft Azure.", "language": "PowerShell", "languageColor": "#012456", - "stars": "86", - "forks": "14", + "stars": "2,693", + "forks": "5,115", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1178413?s=40&v=4", - "name": "chris-rock", - "url": "https://github.com/chris-rock" + "avatar": "https://avatars.githubusercontent.com/u/1297909?s=40&v=4", + "name": "nschonni", + "url": "https://github.com/nschonni" }, { - "avatar": "https://avatars.githubusercontent.com/u/109996878?s=40&v=4", - "name": "mondoo-tools", - "url": "https://github.com/mondoo-tools" + "avatar": "https://avatars.githubusercontent.com/u/70930885?s=40&v=4", + "name": "msyyc", + "url": "https://github.com/msyyc" }, { - "avatar": "https://avatars.githubusercontent.com/u/14073?s=40&v=4", - "name": "benr", - "url": "https://github.com/benr" + "avatar": "https://avatars.githubusercontent.com/u/4521223?s=40&v=4", + "name": "amarzavery", + "url": "https://github.com/amarzavery" }, { - "avatar": "https://avatars.githubusercontent.com/u/27443?s=40&v=4", - "name": "jaym", - "url": "https://github.com/jaym" + "avatar": "https://avatars.githubusercontent.com/u/9459391?s=40&v=4", + "name": "mikeharder", + "url": "https://github.com/mikeharder" }, { - "avatar": "https://avatars.githubusercontent.com/u/7220740?s=40&v=4", - "name": "atomic111", - "url": "https://github.com/atomic111" + "avatar": "https://avatars.githubusercontent.com/u/10554446?s=40&v=4", + "name": "ArcturusZhang", + "url": "https://github.com/ArcturusZhang" } ] }, { - "title": "danielbohannon/Invoke-Obfuscation", - "url": "https://github.com/danielbohannon/Invoke-Obfuscation", - "description": "PowerShell Obfuscator", + "title": "jamesstringerparsec/Easy-GPU-PV", + "url": "https://github.com/jamesstringerparsec/Easy-GPU-PV", + "description": "A Project dedicated to making GPU Partitioning on Windows easier!", "language": "PowerShell", "languageColor": "#012456", - "stars": "3,756", - "forks": "767", - "addStars": "2", + "stars": "4,403", + "forks": "441", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8904306?s=40&v=4", - "name": "cobbr", - "url": "https://github.com/cobbr" + "avatar": "https://avatars.githubusercontent.com/u/38541878?s=40&v=4", + "name": "jamesstringerparsec", + "url": "https://github.com/jamesstringerparsec" }, { - "avatar": "https://avatars.githubusercontent.com/u/1427046?s=40&v=4", - "name": "4d4c", - "url": "https://github.com/4d4c" + "avatar": "https://avatars.githubusercontent.com/u/16600839?s=40&v=4", + "name": "Kodikuu", + "url": "https://github.com/Kodikuu" }, { - "avatar": "https://avatars.githubusercontent.com/u/9408668?s=40&v=4", - "name": "mvle", - "url": "https://github.com/mvle" + "avatar": "https://avatars.githubusercontent.com/u/39804469?s=40&v=4", + "name": "Borgotto", + "url": "https://github.com/Borgotto" }, { - "avatar": "https://avatars.githubusercontent.com/u/17295051?s=40&v=4", - "name": "danielbohannon", - "url": "https://github.com/danielbohannon" + "avatar": "https://avatars.githubusercontent.com/u/79947287?s=40&v=4", + "name": "CollinCodez", + "url": "https://github.com/CollinCodez" } ] }, { - "title": "dartraiden/NVIDIA-patcher", - "url": "https://github.com/dartraiden/NVIDIA-patcher", - "description": "Adds 3D acceleration support for P106-090 / P106-100 / P104-100 / P104-101 / P102-100 / CMP 30HX / CMP 40HX / CMP 50HX / CMP 70HX / CMP 90HX / CMP 170HX mining cards as well as RTX 3060 3840SP and RTX 3080 Ti 20GB.", + "title": "ScoopInstaller/Nonportable", + "url": "https://github.com/ScoopInstaller/Nonportable", + "description": "📦 Scoop bucket for non-portable applications.", "language": "PowerShell", "languageColor": "#012456", - "stars": "357", - "forks": "31", + "stars": "71", + "forks": "45", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5046855?s=40&v=4", - "name": "dartraiden", - "url": "https://github.com/dartraiden" + "avatar": "https://avatars.githubusercontent.com/u/16755704?s=40&v=4", + "name": "TheRandomLabs", + "url": "https://github.com/TheRandomLabs" }, { - "avatar": "https://avatars.githubusercontent.com/u/19232315?s=40&v=4", - "name": "operador777", - "url": "https://github.com/operador777" + "avatar": "https://avatars.githubusercontent.com/u/27724471?s=40&v=4", + "name": "issaclin32", + "url": "https://github.com/issaclin32" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1674229?s=40&v=4", + "name": "oltolm", + "url": "https://github.com/oltolm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6489925?s=40&v=4", + "name": "pakonda", + "url": "https://github.com/pakonda" + } + ] + }, + { + "title": "ScoopInstaller/Extras", + "url": "https://github.com/ScoopInstaller/Extras", + "description": "📦 The Extras bucket for Scoop.", + "language": "PowerShell", + "languageColor": "#012456", + "stars": "1,804", + "forks": "1,383", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/432127?s=40&v=4", + "name": "r15ch13", + "url": "https://github.com/r15ch13" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13260377?s=40&v=4", + "name": "Ash258", + "url": "https://github.com/Ash258" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27724471?s=40&v=4", + "name": "issaclin32", + "url": "https://github.com/issaclin32" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4353480?s=40&v=4", + "name": "HUMORCE", + "url": "https://github.com/HUMORCE" + } + ] + }, + { + "title": "Azure/arm-ttk", + "url": "https://github.com/Azure/arm-ttk", + "description": "Azure Resource Manager Template Toolkit", + "language": "PowerShell", + "languageColor": "#012456", + "stars": "443", + "forks": "190", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10090014?s=40&v=4", + "name": "bmoore-msft", + "url": "https://github.com/bmoore-msft" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1043665?s=40&v=4", + "name": "StartAutomating", + "url": "https://github.com/StartAutomating" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/114955590?s=40&v=4", + "name": "psah434", + "url": "https://github.com/psah434" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1752811?s=40&v=4", + "name": "bobbyangers", + "url": "https://github.com/bobbyangers" } ] }, @@ -634,6 +555,43 @@ "url": "https://github.com/rasa" } ] + }, + { + "title": "ScoopInstaller/Scoop", + "url": "https://github.com/ScoopInstaller/Scoop", + "description": "A command-line installer for Windows.", + "language": "PowerShell", + "languageColor": "#012456", + "stars": "21,335", + "forks": "1,410", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/432127?s=40&v=4", + "name": "r15ch13", + "url": "https://github.com/r15ch13" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/103446?s=40&v=4", + "name": "lukesampson", + "url": "https://github.com/lukesampson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5832170?s=40&v=4", + "name": "niheaven", + "url": "https://github.com/niheaven" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/856194?s=40&v=4", + "name": "deevus", + "url": "https://github.com/deevus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/220772?s=40&v=4", + "name": "rasa", + "url": "https://github.com/rasa" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/powershell.xml b/data/daily/powershell.xml index fc7d9e2fc500..e5fc2be9008e 100644 --- a/data/daily/powershell.xml +++ b/data/daily/powershell.xml @@ -3,232 +3,108 @@ GitHub Powershell Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Powershell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - Raphire/Win11Debloat - https://github.com/Raphire/Win11Debloat - A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11. - https://github.com/Raphire/Win11Debloat - PowerShell - #012456 - 14,247 - 618 - 16 - - - https://avatars.githubusercontent.com/u/9938813?s=40&v=4 - Raphire - https://github.com/Raphire - - - https://avatars.githubusercontent.com/u/8408603?s=40&v=4 - jasonpatrickellykrause - https://github.com/jasonpatrickellykrause - - - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear - - - https://avatars.githubusercontent.com/u/43364021?s=40&v=4 - JMacIV - https://github.com/JMacIV - - - https://avatars.githubusercontent.com/u/151682543?s=40&v=4 - DrbitsOFFICIAL - https://github.com/DrbitsOFFICIAL - - - - - Sycnex/Windows10Debloater - https://github.com/Sycnex/Windows10Debloater - Script to remove Windows 10 bloatware. - https://github.com/Sycnex/Windows10Debloater - PowerShell - #012456 - 18,217 - 2,045 - 2 - - - https://avatars.githubusercontent.com/u/7380192?s=40&v=4 - Sycnex - https://github.com/Sycnex - - - https://avatars.githubusercontent.com/u/54670722?s=40&v=4 - Zoran-Jankov - https://github.com/Zoran-Jankov - - - https://avatars.githubusercontent.com/u/39830524?s=40&v=4 - Gray-0men - https://github.com/Gray-0men - - - https://avatars.githubusercontent.com/u/2693534?s=40&v=4 - matt2005 - https://github.com/matt2005 - - - https://avatars.githubusercontent.com/u/2172792?s=40&v=4 - danijeljw - https://github.com/danijeljw - - - - - LeDragoX/Win-Debloat-Tools - https://github.com/LeDragoX/Win-Debloat-Tools - Re-imagining Windows like a minimal OS install, already debloated with minimal impact for most functionality. - https://github.com/LeDragoX/Win-Debloat-Tools + microsoft/winget-pkgs + https://github.com/microsoft/winget-pkgs + The Microsoft community Windows Package Manager manifest repository + https://github.com/microsoft/winget-pkgs PowerShell #012456 - 5,429 - 269 - 1 + 8,752 + 4,566 + 12 - https://avatars.githubusercontent.com/u/27643047?s=40&v=4 - LeDragoX - https://github.com/LeDragoX + https://avatars.githubusercontent.com/u/83997633?s=40&v=4 + vedantmgoyal9 + https://github.com/vedantmgoyal9 - https://avatars.githubusercontent.com/u/328798?s=40&v=4 - W4RH4WK - https://github.com/W4RH4WK + https://avatars.githubusercontent.com/u/56779163?s=40&v=4 + SpecterShell + https://github.com/SpecterShell - https://avatars.githubusercontent.com/u/6723257?s=40&v=4 - basictheprogram - https://github.com/basictheprogram + https://avatars.githubusercontent.com/u/63816999?s=40&v=4 + wingetbot + https://github.com/wingetbot - https://avatars.githubusercontent.com/u/6218382?s=40&v=4 - tumpio - https://github.com/tumpio + https://avatars.githubusercontent.com/u/12611259?s=40&v=4 + Trenly + https://github.com/Trenly - https://avatars.githubusercontent.com/u/15698835?s=40&v=4 - 4oo4 - https://github.com/4oo4 + https://avatars.githubusercontent.com/u/15158490?s=40&v=4 + OfficialEsco + https://github.com/OfficialEsco - PowerShellMafia/PowerSploit - https://github.com/PowerShellMafia/PowerSploit - PowerSploit - A PowerShell Post-Exploitation Framework - https://github.com/PowerShellMafia/PowerSploit - PowerShell - #012456 - 11,942 - 4,616 - 0 - - - https://avatars.githubusercontent.com/u/5504523?s=40&v=4 - HarmJ0y - https://github.com/HarmJ0y - - - https://avatars.githubusercontent.com/u/4003012?s=40&v=4 - clymb3r - https://github.com/clymb3r - - - https://avatars.githubusercontent.com/u/3047099?s=40&v=4 - obscuresec - https://github.com/obscuresec - - - https://avatars.githubusercontent.com/u/1940826?s=40&v=4 - webstersprodigy - https://github.com/webstersprodigy - - - https://avatars.githubusercontent.com/u/10997340?s=40&v=4 - FuzzySecurity - https://github.com/FuzzySecurity - - - - - Azure/azure-rest-api-specs - https://github.com/Azure/azure-rest-api-specs - The source for REST API specifications for Microsoft Azure. - https://github.com/Azure/azure-rest-api-specs + I-Am-Jakoby/Flipper-Zero-BadUSB + https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB + Repository for my flipper zero badUSB payloads. Now almost entirely plug and play. + https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB PowerShell #012456 - 2,692 - 5,115 - 0 + 5,327 + 674 + 9 - https://avatars.githubusercontent.com/u/1297909?s=40&v=4 - nschonni - https://github.com/nschonni + https://avatars.githubusercontent.com/u/20657601?s=40&v=4 + I-Am-Jakoby + https://github.com/I-Am-Jakoby - https://avatars.githubusercontent.com/u/70930885?s=40&v=4 - msyyc - https://github.com/msyyc + https://avatars.githubusercontent.com/u/79380289?s=40&v=4 + Kavitate + https://github.com/Kavitate - https://avatars.githubusercontent.com/u/4521223?s=40&v=4 - amarzavery - https://github.com/amarzavery + https://avatars.githubusercontent.com/u/57457139?s=40&v=4 + UberGuidoZ + https://github.com/UberGuidoZ - https://avatars.githubusercontent.com/u/9459391?s=40&v=4 - mikeharder - https://github.com/mikeharder + https://avatars.githubusercontent.com/u/1720644?s=40&v=4 + nocomp + https://github.com/nocomp - https://avatars.githubusercontent.com/u/10554446?s=40&v=4 - ArcturusZhang - https://github.com/ArcturusZhang + https://avatars.githubusercontent.com/u/108245223?s=40&v=4 + FalsePhilosopher + https://github.com/FalsePhilosopher - PSAppDeployToolkit/PSAppDeployToolkit - https://github.com/PSAppDeployToolkit/PSAppDeployToolkit - Project Homepage & Forums - https://github.com/PSAppDeployToolkit/PSAppDeployToolkit + actions/runner-images + https://github.com/actions/runner-images + GitHub Actions runner images + https://github.com/actions/runner-images PowerShell #012456 - 1,841 - 491 - 0 + 10,235 + 3,075 + 7 - https://avatars.githubusercontent.com/u/5581235?s=40&v=4 - mmashwani - https://github.com/mmashwani - - - https://avatars.githubusercontent.com/u/11931441?s=40&v=4 - seanlillis - https://github.com/seanlillis - - - https://avatars.githubusercontent.com/u/20016096?s=40&v=4 - luki1412 - https://github.com/luki1412 + https://avatars.githubusercontent.com/u/72681142?s=40&v=4 + 459680 + https://github.com/459680 - https://avatars.githubusercontent.com/u/132217?s=40&v=4 - sintaxasn - https://github.com/sintaxasn + https://avatars.githubusercontent.com/u/47745270?s=40&v=4 + al-cheb + https://github.com/al-cheb - https://avatars.githubusercontent.com/u/13512483?s=40&v=4 - amotaz - https://github.com/amotaz + https://avatars.githubusercontent.com/u/48208649?s=40&v=4 + miketimofeev + https://github.com/miketimofeev @@ -239,8 +115,8 @@ https://github.com/ChrisTitusTech/winutil PowerShell #012456 - 24,673 - 1,499 + 24,687 + 1,500 32 @@ -271,106 +147,73 @@ - actions/runner-images - https://github.com/actions/runner-images - GitHub Actions runner images - https://github.com/actions/runner-images - PowerShell - #012456 - 10,231 - 3,075 - 7 - - - https://avatars.githubusercontent.com/u/72681142?s=40&v=4 - 459680 - https://github.com/459680 - - - https://avatars.githubusercontent.com/u/47745270?s=40&v=4 - al-cheb - https://github.com/al-cheb - - - https://avatars.githubusercontent.com/u/48208649?s=40&v=4 - miketimofeev - https://github.com/miketimofeev - - - - - Atlas-OS/Atlas - https://github.com/Atlas-OS/Atlas - 🚀 An open and lightweight modification to Windows, designed to optimize performance, privacy and usability. - https://github.com/Atlas-OS/Atlas + ScoopInstaller/Main + https://github.com/ScoopInstaller/Main + 📦 The default bucket for Scoop. + https://github.com/ScoopInstaller/Main PowerShell #012456 - 14,284 - 530 - 5 + 1,603 + 971 + 0 - https://avatars.githubusercontent.com/u/65787561?s=40&v=4 - he3als - https://github.com/he3als - - - https://avatars.githubusercontent.com/u/101590573?s=40&v=4 - Xyueta - https://github.com/Xyueta + https://avatars.githubusercontent.com/u/432127?s=40&v=4 + r15ch13 + https://github.com/r15ch13 - https://avatars.githubusercontent.com/u/46111482?s=40&v=4 - Zusier - https://github.com/Zusier + https://avatars.githubusercontent.com/u/13260377?s=40&v=4 + Ash258 + https://github.com/Ash258 - https://avatars.githubusercontent.com/u/85282140?s=40&v=4 - PencilNavigator - https://github.com/PencilNavigator + https://avatars.githubusercontent.com/u/103446?s=40&v=4 + lukesampson + https://github.com/lukesampson - https://avatars.githubusercontent.com/u/74987167?s=40&v=4 - jacksyrm - https://github.com/jacksyrm + https://avatars.githubusercontent.com/u/46838874?s=40&v=4 + rashil2000 + https://github.com/rashil2000 - hak5/usbrubberducky-payloads - https://github.com/hak5/usbrubberducky-payloads - The Official USB Rubber Ducky Payload Repository - https://github.com/hak5/usbrubberducky-payloads + Raphire/Win11Debloat + https://github.com/Raphire/Win11Debloat + A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11. + https://github.com/Raphire/Win11Debloat PowerShell #012456 - 4,173 - 1,348 - 3 + 14,252 + 618 + 16 - https://avatars.githubusercontent.com/u/58374531?s=40&v=4 - aleff-github - https://github.com/aleff-github + https://avatars.githubusercontent.com/u/9938813?s=40&v=4 + Raphire + https://github.com/Raphire - https://avatars.githubusercontent.com/u/9642419?s=40&v=4 - dallaswinger - https://github.com/dallaswinger + https://avatars.githubusercontent.com/u/8408603?s=40&v=4 + jasonpatrickellykrause + https://github.com/jasonpatrickellykrause - https://avatars.githubusercontent.com/u/1307248?s=40&v=4 - hak5darren - https://github.com/hak5darren + https://avatars.githubusercontent.com/u/22633385?s=40&v=4 + eltociear + https://github.com/eltociear - https://avatars.githubusercontent.com/u/79219148?s=40&v=4 - 0i41E - https://github.com/0i41E + https://avatars.githubusercontent.com/u/43364021?s=40&v=4 + JMacIV + https://github.com/JMacIV - https://avatars.githubusercontent.com/u/115900893?s=40&v=4 - hak5peaks - https://github.com/hak5peaks + https://avatars.githubusercontent.com/u/151682543?s=40&v=4 + DrbitsOFFICIAL + https://github.com/DrbitsOFFICIAL @@ -413,210 +256,286 @@ - I-Am-Jakoby/Flipper-Zero-BadUSB - https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB - Repository for my flipper zero badUSB payloads. Now almost entirely plug and play. - https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB + samratashok/nishang + https://github.com/samratashok/nishang + Nishang - Offensive PowerShell for red team, penetration testing and offensive security. + https://github.com/samratashok/nishang PowerShell #012456 - 5,326 - 674 - 9 + 8,810 + 2,450 + 3 - https://avatars.githubusercontent.com/u/20657601?s=40&v=4 - I-Am-Jakoby - https://github.com/I-Am-Jakoby + https://avatars.githubusercontent.com/u/1753291?s=40&v=4 + samratashok + https://github.com/samratashok - https://avatars.githubusercontent.com/u/79380289?s=40&v=4 - Kavitate - https://github.com/Kavitate + https://avatars.githubusercontent.com/u/5989847?s=40&v=4 + sammbertram + https://github.com/sammbertram - https://avatars.githubusercontent.com/u/57457139?s=40&v=4 - UberGuidoZ - https://github.com/UberGuidoZ + https://avatars.githubusercontent.com/u/4646697?s=40&v=4 + DrDinosaur + https://github.com/DrDinosaur - https://avatars.githubusercontent.com/u/1720644?s=40&v=4 - nocomp - https://github.com/nocomp + https://avatars.githubusercontent.com/u/13077860?s=40&v=4 + Dliv3 + https://github.com/Dliv3 - https://avatars.githubusercontent.com/u/108245223?s=40&v=4 - FalsePhilosopher - https://github.com/FalsePhilosopher + https://avatars.githubusercontent.com/u/17518559?s=40&v=4 + zer1t0 + https://github.com/zer1t0 - ScoopInstaller/Scoop - https://github.com/ScoopInstaller/Scoop - A command-line installer for Windows. - https://github.com/ScoopInstaller/Scoop + Sycnex/Windows10Debloater + https://github.com/Sycnex/Windows10Debloater + Script to remove Windows 10 bloatware. + https://github.com/Sycnex/Windows10Debloater PowerShell #012456 - 21,330 - 1,410 - 6 + 18,217 + 2,045 + 2 - https://avatars.githubusercontent.com/u/432127?s=40&v=4 - r15ch13 - https://github.com/r15ch13 + https://avatars.githubusercontent.com/u/7380192?s=40&v=4 + Sycnex + https://github.com/Sycnex - https://avatars.githubusercontent.com/u/103446?s=40&v=4 - lukesampson - https://github.com/lukesampson + https://avatars.githubusercontent.com/u/54670722?s=40&v=4 + Zoran-Jankov + https://github.com/Zoran-Jankov - https://avatars.githubusercontent.com/u/5832170?s=40&v=4 - niheaven - https://github.com/niheaven + https://avatars.githubusercontent.com/u/39830524?s=40&v=4 + Gray-0men + https://github.com/Gray-0men - https://avatars.githubusercontent.com/u/856194?s=40&v=4 - deevus - https://github.com/deevus + https://avatars.githubusercontent.com/u/2693534?s=40&v=4 + matt2005 + https://github.com/matt2005 - https://avatars.githubusercontent.com/u/220772?s=40&v=4 - rasa - https://github.com/rasa + https://avatars.githubusercontent.com/u/2172792?s=40&v=4 + danijeljw + https://github.com/danijeljw - YannickRe/azuredevops-buildagents - https://github.com/YannickRe/azuredevops-buildagents - Generate self-hosted build agents for Azure DevOps, just like Microsoft does. - https://github.com/YannickRe/azuredevops-buildagents + PSAppDeployToolkit/PSAppDeployToolkit + https://github.com/PSAppDeployToolkit/PSAppDeployToolkit + Project Homepage & Forums + https://github.com/PSAppDeployToolkit/PSAppDeployToolkit PowerShell #012456 - 153 - 90 + 1,841 + 491 0 - https://avatars.githubusercontent.com/u/9973962?s=40&v=4 - YannickRe - https://github.com/YannickRe + https://avatars.githubusercontent.com/u/5581235?s=40&v=4 + mmashwani + https://github.com/mmashwani - https://avatars.githubusercontent.com/u/71345042?s=40&v=4 - erik-de-bont - https://github.com/erik-de-bont + https://avatars.githubusercontent.com/u/11931441?s=40&v=4 + seanlillis + https://github.com/seanlillis - https://avatars.githubusercontent.com/u/5586283?s=40&v=4 - ddprince17 - https://github.com/ddprince17 + https://avatars.githubusercontent.com/u/20016096?s=40&v=4 + luki1412 + https://github.com/luki1412 - https://avatars.githubusercontent.com/u/69143606?s=40&v=4 - drazkiewicz - https://github.com/drazkiewicz + https://avatars.githubusercontent.com/u/132217?s=40&v=4 + sintaxasn + https://github.com/sintaxasn - https://avatars.githubusercontent.com/u/55616292?s=40&v=4 - CurlyBytes - https://github.com/CurlyBytes + https://avatars.githubusercontent.com/u/13512483?s=40&v=4 + amotaz + https://github.com/amotaz - mondoohq/installer - https://github.com/mondoohq/installer - Linux, macOS and Windows Install scripts for cnquery & cnspec - https://github.com/mondoohq/installer + Azure/azure-rest-api-specs + https://github.com/Azure/azure-rest-api-specs + The source for REST API specifications for Microsoft Azure. + https://github.com/Azure/azure-rest-api-specs PowerShell #012456 - 86 - 14 + 2,693 + 5,115 0 - https://avatars.githubusercontent.com/u/1178413?s=40&v=4 - chris-rock - https://github.com/chris-rock + https://avatars.githubusercontent.com/u/1297909?s=40&v=4 + nschonni + https://github.com/nschonni - https://avatars.githubusercontent.com/u/109996878?s=40&v=4 - mondoo-tools - https://github.com/mondoo-tools + https://avatars.githubusercontent.com/u/70930885?s=40&v=4 + msyyc + https://github.com/msyyc - https://avatars.githubusercontent.com/u/14073?s=40&v=4 - benr - https://github.com/benr + https://avatars.githubusercontent.com/u/4521223?s=40&v=4 + amarzavery + https://github.com/amarzavery - https://avatars.githubusercontent.com/u/27443?s=40&v=4 - jaym - https://github.com/jaym + https://avatars.githubusercontent.com/u/9459391?s=40&v=4 + mikeharder + https://github.com/mikeharder - https://avatars.githubusercontent.com/u/7220740?s=40&v=4 - atomic111 - https://github.com/atomic111 + https://avatars.githubusercontent.com/u/10554446?s=40&v=4 + ArcturusZhang + https://github.com/ArcturusZhang - danielbohannon/Invoke-Obfuscation - https://github.com/danielbohannon/Invoke-Obfuscation - PowerShell Obfuscator - https://github.com/danielbohannon/Invoke-Obfuscation + jamesstringerparsec/Easy-GPU-PV + https://github.com/jamesstringerparsec/Easy-GPU-PV + A Project dedicated to making GPU Partitioning on Windows easier! + https://github.com/jamesstringerparsec/Easy-GPU-PV PowerShell #012456 - 3,756 - 767 - 2 + 4,403 + 441 + 3 - https://avatars.githubusercontent.com/u/8904306?s=40&v=4 - cobbr - https://github.com/cobbr + https://avatars.githubusercontent.com/u/38541878?s=40&v=4 + jamesstringerparsec + https://github.com/jamesstringerparsec - https://avatars.githubusercontent.com/u/1427046?s=40&v=4 - 4d4c - https://github.com/4d4c + https://avatars.githubusercontent.com/u/16600839?s=40&v=4 + Kodikuu + https://github.com/Kodikuu - https://avatars.githubusercontent.com/u/9408668?s=40&v=4 - mvle - https://github.com/mvle + https://avatars.githubusercontent.com/u/39804469?s=40&v=4 + Borgotto + https://github.com/Borgotto - https://avatars.githubusercontent.com/u/17295051?s=40&v=4 - danielbohannon - https://github.com/danielbohannon + https://avatars.githubusercontent.com/u/79947287?s=40&v=4 + CollinCodez + https://github.com/CollinCodez - dartraiden/NVIDIA-patcher - https://github.com/dartraiden/NVIDIA-patcher - Adds 3D acceleration support for P106-090 / P106-100 / P104-100 / P104-101 / P102-100 / CMP 30HX / CMP 40HX / CMP 50HX / CMP 70HX / CMP 90HX / CMP 170HX mining cards as well as RTX 3060 3840SP and RTX 3080 Ti 20GB. - https://github.com/dartraiden/NVIDIA-patcher + ScoopInstaller/Nonportable + https://github.com/ScoopInstaller/Nonportable + 📦 Scoop bucket for non-portable applications. + https://github.com/ScoopInstaller/Nonportable PowerShell #012456 - 357 - 31 + 71 + 45 0 - https://avatars.githubusercontent.com/u/5046855?s=40&v=4 - dartraiden - https://github.com/dartraiden + https://avatars.githubusercontent.com/u/16755704?s=40&v=4 + TheRandomLabs + https://github.com/TheRandomLabs + + + https://avatars.githubusercontent.com/u/27724471?s=40&v=4 + issaclin32 + https://github.com/issaclin32 + + + https://avatars.githubusercontent.com/u/1674229?s=40&v=4 + oltolm + https://github.com/oltolm + + + https://avatars.githubusercontent.com/u/6489925?s=40&v=4 + pakonda + https://github.com/pakonda + + + + + ScoopInstaller/Extras + https://github.com/ScoopInstaller/Extras + 📦 The Extras bucket for Scoop. + https://github.com/ScoopInstaller/Extras + PowerShell + #012456 + 1,804 + 1,383 + 0 + + + https://avatars.githubusercontent.com/u/432127?s=40&v=4 + r15ch13 + https://github.com/r15ch13 + + + https://avatars.githubusercontent.com/u/13260377?s=40&v=4 + Ash258 + https://github.com/Ash258 + + + https://avatars.githubusercontent.com/u/27724471?s=40&v=4 + issaclin32 + https://github.com/issaclin32 - https://avatars.githubusercontent.com/u/19232315?s=40&v=4 - operador777 - https://github.com/operador777 + https://avatars.githubusercontent.com/u/4353480?s=40&v=4 + HUMORCE + https://github.com/HUMORCE + + + + + Azure/arm-ttk + https://github.com/Azure/arm-ttk + Azure Resource Manager Template Toolkit + https://github.com/Azure/arm-ttk + PowerShell + #012456 + 443 + 190 + 0 + + + https://avatars.githubusercontent.com/u/10090014?s=40&v=4 + bmoore-msft + https://github.com/bmoore-msft + + + https://avatars.githubusercontent.com/u/1043665?s=40&v=4 + StartAutomating + https://github.com/StartAutomating + + + https://avatars.githubusercontent.com/u/114955590?s=40&v=4 + psah434 + https://github.com/psah434 + + + https://avatars.githubusercontent.com/u/1752811?s=40&v=4 + bobbyangers + https://github.com/bobbyangers @@ -653,5 +572,43 @@ + + ScoopInstaller/Scoop + https://github.com/ScoopInstaller/Scoop + A command-line installer for Windows. + https://github.com/ScoopInstaller/Scoop + PowerShell + #012456 + 21,335 + 1,410 + 6 + + + https://avatars.githubusercontent.com/u/432127?s=40&v=4 + r15ch13 + https://github.com/r15ch13 + + + https://avatars.githubusercontent.com/u/103446?s=40&v=4 + lukesampson + https://github.com/lukesampson + + + https://avatars.githubusercontent.com/u/5832170?s=40&v=4 + niheaven + https://github.com/niheaven + + + https://avatars.githubusercontent.com/u/856194?s=40&v=4 + deevus + https://github.com/deevus + + + https://avatars.githubusercontent.com/u/220772?s=40&v=4 + rasa + https://github.com/rasa + + + \ No newline at end of file diff --git a/data/daily/praat.json b/data/daily/praat.json index 3ca493a39022..f17d7988489b 100644 --- a/data/daily/praat.json +++ b/data/daily/praat.json @@ -2,6 +2,6 @@ "title": "GitHub Praat Languages Daily Trending", "description": "Daily Trending of Praat Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/praat.xml b/data/daily/praat.xml index f69c5c92e044..85ed73e54bd5 100644 --- a/data/daily/praat.xml +++ b/data/daily/praat.xml @@ -3,6 +3,6 @@ GitHub Praat Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Praat Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/prisma.json b/data/daily/prisma.json index 8613d5fa8ed1..910e75fa26a9 100644 --- a/data/daily/prisma.json +++ b/data/daily/prisma.json @@ -2,6 +2,6 @@ "title": "GitHub Prisma Languages Daily Trending", "description": "Daily Trending of Prisma Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/prisma.xml b/data/daily/prisma.xml index ca97fcda8bc7..65fed1f6780c 100644 --- a/data/daily/prisma.xml +++ b/data/daily/prisma.xml @@ -3,6 +3,6 @@ GitHub Prisma Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Prisma Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/processing.json b/data/daily/processing.json index 9e24cf883d06..d834658467b1 100644 --- a/data/daily/processing.json +++ b/data/daily/processing.json @@ -2,6 +2,6 @@ "title": "GitHub Processing Languages Daily Trending", "description": "Daily Trending of Processing Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/processing.xml b/data/daily/processing.xml index 6dc75f338e1c..fd4fedfde756 100644 --- a/data/daily/processing.xml +++ b/data/daily/processing.xml @@ -3,6 +3,6 @@ GitHub Processing Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Processing Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/procfile.json b/data/daily/procfile.json index db22c034655d..39d97c3b5871 100644 --- a/data/daily/procfile.json +++ b/data/daily/procfile.json @@ -2,6 +2,6 @@ "title": "GitHub Procfile Languages Daily Trending", "description": "Daily Trending of Procfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/procfile.xml b/data/daily/procfile.xml index e2b43bdd354e..243cfd934f15 100644 --- a/data/daily/procfile.xml +++ b/data/daily/procfile.xml @@ -3,6 +3,6 @@ GitHub Procfile Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Procfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/proguard.json b/data/daily/proguard.json index e8b6400b1cac..71a220acf254 100644 --- a/data/daily/proguard.json +++ b/data/daily/proguard.json @@ -2,6 +2,6 @@ "title": "GitHub Proguard Languages Daily Trending", "description": "Daily Trending of Proguard Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/proguard.xml b/data/daily/proguard.xml index 2e47bfd23fa2..0944d21d398a 100644 --- a/data/daily/proguard.xml +++ b/data/daily/proguard.xml @@ -3,6 +3,6 @@ GitHub Proguard Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Proguard Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/prolog.json b/data/daily/prolog.json index 629fa26947da..907ed6a76f24 100644 --- a/data/daily/prolog.json +++ b/data/daily/prolog.json @@ -2,44 +2,6 @@ "title": "GitHub Prolog Languages Daily Trending", "description": "Daily Trending of Prolog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "nasa-jpl/open-source-rover", - "url": "https://github.com/nasa-jpl/open-source-rover", - "description": "A build-it-yourself, 6-wheel rover based on the rovers on Mars!", - "language": "Prolog", - "languageColor": "#74283c", - "stars": "8,619", - "forks": "1,364", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/14060847?s=40&v=4", - "name": "Achllle", - "url": "https://github.com/Achllle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25470708?s=40&v=4", - "name": "ericjunkins", - "url": "https://github.com/ericjunkins" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28743166?s=40&v=4", - "name": "ejunkins", - "url": "https://github.com/ejunkins" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9410259?s=40&v=4", - "name": "apollokit", - "url": "https://github.com/apollokit" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2334865?s=40&v=4", - "name": "mikcox", - "url": "https://github.com/mikcox" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/prolog.xml b/data/daily/prolog.xml index bc22b9f973e7..588863a58f03 100644 --- a/data/daily/prolog.xml +++ b/data/daily/prolog.xml @@ -3,44 +3,6 @@ GitHub Prolog Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Prolog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - nasa-jpl/open-source-rover - https://github.com/nasa-jpl/open-source-rover - A build-it-yourself, 6-wheel rover based on the rovers on Mars! - https://github.com/nasa-jpl/open-source-rover - Prolog - #74283c - 8,619 - 1,364 - 0 - - - https://avatars.githubusercontent.com/u/14060847?s=40&v=4 - Achllle - https://github.com/Achllle - - - https://avatars.githubusercontent.com/u/25470708?s=40&v=4 - ericjunkins - https://github.com/ericjunkins - - - https://avatars.githubusercontent.com/u/28743166?s=40&v=4 - ejunkins - https://github.com/ejunkins - - - https://avatars.githubusercontent.com/u/9410259?s=40&v=4 - apollokit - https://github.com/apollokit - - - https://avatars.githubusercontent.com/u/2334865?s=40&v=4 - mikcox - https://github.com/mikcox - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/promela.json b/data/daily/promela.json index 1f3f43f93c0d..bf8fa20f232d 100644 --- a/data/daily/promela.json +++ b/data/daily/promela.json @@ -2,6 +2,6 @@ "title": "GitHub Promela Languages Daily Trending", "description": "Daily Trending of Promela Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/promela.xml b/data/daily/promela.xml index bcee2ea19c2a..271498996c8b 100644 --- a/data/daily/promela.xml +++ b/data/daily/promela.xml @@ -3,6 +3,6 @@ GitHub Promela Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Promela Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/propeller-spin.json b/data/daily/propeller-spin.json index aa86ea5b0cab..c0549017fc73 100644 --- a/data/daily/propeller-spin.json +++ b/data/daily/propeller-spin.json @@ -2,6 +2,6 @@ "title": "GitHub Propeller-spin Languages Daily Trending", "description": "Daily Trending of Propeller-spin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/propeller-spin.xml b/data/daily/propeller-spin.xml index 7ffb838010d6..1e532cf24e47 100644 --- a/data/daily/propeller-spin.xml +++ b/data/daily/propeller-spin.xml @@ -3,6 +3,6 @@ GitHub Propeller-spin Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Propeller-spin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/protocol-buffer-text-format.json b/data/daily/protocol-buffer-text-format.json index 936da9980fe6..cec78ad351da 100644 --- a/data/daily/protocol-buffer-text-format.json +++ b/data/daily/protocol-buffer-text-format.json @@ -2,6 +2,6 @@ "title": "GitHub Protocol-buffer-text-format Languages Daily Trending", "description": "Daily Trending of Protocol-buffer-text-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/protocol-buffer-text-format.xml b/data/daily/protocol-buffer-text-format.xml index 29cbe5830f1a..bc71cead8359 100644 --- a/data/daily/protocol-buffer-text-format.xml +++ b/data/daily/protocol-buffer-text-format.xml @@ -3,6 +3,6 @@ GitHub Protocol-buffer-text-format Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Protocol-buffer-text-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/protocol-buffer.json b/data/daily/protocol-buffer.json index 4770059b8d77..4cb56a9ab567 100644 --- a/data/daily/protocol-buffer.json +++ b/data/daily/protocol-buffer.json @@ -2,6 +2,6 @@ "title": "GitHub Protocol-buffer Languages Daily Trending", "description": "Daily Trending of Protocol-buffer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/protocol-buffer.xml b/data/daily/protocol-buffer.xml index 527d1f0559e7..24c618455cb2 100644 --- a/data/daily/protocol-buffer.xml +++ b/data/daily/protocol-buffer.xml @@ -3,6 +3,6 @@ GitHub Protocol-buffer Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Protocol-buffer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/public-key.json b/data/daily/public-key.json index cd9e66230856..edbefc71f21e 100644 --- a/data/daily/public-key.json +++ b/data/daily/public-key.json @@ -2,6 +2,6 @@ "title": "GitHub Public-key Languages Daily Trending", "description": "Daily Trending of Public-key Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/public-key.xml b/data/daily/public-key.xml index d1f4814d245f..517f771b7de4 100644 --- a/data/daily/public-key.xml +++ b/data/daily/public-key.xml @@ -3,6 +3,6 @@ GitHub Public-key Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Public-key Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/pug.json b/data/daily/pug.json index 154c59715b7a..efe8ec691a03 100644 --- a/data/daily/pug.json +++ b/data/daily/pug.json @@ -2,6 +2,39 @@ "title": "GitHub Pug Languages Daily Trending", "description": "Daily Trending of Pug Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "anders94/blockchain-demo", + "url": "https://github.com/anders94/blockchain-demo", + "description": "A web-based demonstration of blockchain concepts.", + "language": "Pug", + "languageColor": "#a86454", + "stars": "5,242", + "forks": "2,620", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25959?s=40&v=4", + "name": "anders94", + "url": "https://github.com/anders94" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/521164?s=40&v=4", + "name": "rolandguelle", + "url": "https://github.com/rolandguelle" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7278268?s=40&v=4", + "name": "Ajeet-Yadav", + "url": "https://github.com/Ajeet-Yadav" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10603822?s=40&v=4", + "name": "DaWe35", + "url": "https://github.com/DaWe35" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/pug.xml b/data/daily/pug.xml index 100536c4792b..82cffdde1b47 100644 --- a/data/daily/pug.xml +++ b/data/daily/pug.xml @@ -3,6 +3,39 @@ GitHub Pug Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pug Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + anders94/blockchain-demo + https://github.com/anders94/blockchain-demo + A web-based demonstration of blockchain concepts. + https://github.com/anders94/blockchain-demo + Pug + #a86454 + 5,242 + 2,620 + 1 + + + https://avatars.githubusercontent.com/u/25959?s=40&v=4 + anders94 + https://github.com/anders94 + + + https://avatars.githubusercontent.com/u/521164?s=40&v=4 + rolandguelle + https://github.com/rolandguelle + + + https://avatars.githubusercontent.com/u/7278268?s=40&v=4 + Ajeet-Yadav + https://github.com/Ajeet-Yadav + + + https://avatars.githubusercontent.com/u/10603822?s=40&v=4 + DaWe35 + https://github.com/DaWe35 + + + \ No newline at end of file diff --git a/data/daily/puppet.json b/data/daily/puppet.json index fa65a93fbc8d..f90a212b5cff 100644 --- a/data/daily/puppet.json +++ b/data/daily/puppet.json @@ -2,45 +2,8 @@ "title": "GitHub Puppet Languages Daily Trending", "description": "Daily Trending of Puppet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "voxpupuli/puppet-gitlab", - "url": "https://github.com/voxpupuli/puppet-gitlab", - "description": "Puppet module to manage Gitlab (Omnibus)", - "language": "Puppet", - "languageColor": "#302B6D", - "stars": "74", - "forks": "165", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1695957?s=40&v=4", - "name": "tobru", - "url": "https://github.com/tobru" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/341866?s=40&v=4", - "name": "bastelfreak", - "url": "https://github.com/bastelfreak" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3282305?s=40&v=4", - "name": "LongLiveCHIEF", - "url": "https://github.com/LongLiveCHIEF" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/148721?s=40&v=4", - "name": "smortex", - "url": "https://github.com/smortex" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2098878?s=40&v=4", - "name": "baurmatt", - "url": "https://github.com/baurmatt" - } - ] - }, { "title": "puppetlabs/puppetlabs-apache", "url": "https://github.com/puppetlabs/puppetlabs-apache", @@ -77,6 +40,43 @@ "url": "https://github.com/ekohl" } ] + }, + { + "title": "voxpupuli/puppet-gitlab", + "url": "https://github.com/voxpupuli/puppet-gitlab", + "description": "Puppet module to manage Gitlab (Omnibus)", + "language": "Puppet", + "languageColor": "#302B6D", + "stars": "74", + "forks": "165", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1695957?s=40&v=4", + "name": "tobru", + "url": "https://github.com/tobru" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/341866?s=40&v=4", + "name": "bastelfreak", + "url": "https://github.com/bastelfreak" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3282305?s=40&v=4", + "name": "LongLiveCHIEF", + "url": "https://github.com/LongLiveCHIEF" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/148721?s=40&v=4", + "name": "smortex", + "url": "https://github.com/smortex" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2098878?s=40&v=4", + "name": "baurmatt", + "url": "https://github.com/baurmatt" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/puppet.xml b/data/daily/puppet.xml index d7670fb317f7..4a2e7cbd7f61 100644 --- a/data/daily/puppet.xml +++ b/data/daily/puppet.xml @@ -3,45 +3,7 @@ GitHub Puppet Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Puppet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - voxpupuli/puppet-gitlab - https://github.com/voxpupuli/puppet-gitlab - Puppet module to manage Gitlab (Omnibus) - https://github.com/voxpupuli/puppet-gitlab - Puppet - #302B6D - 74 - 165 - 0 - - - https://avatars.githubusercontent.com/u/1695957?s=40&v=4 - tobru - https://github.com/tobru - - - https://avatars.githubusercontent.com/u/341866?s=40&v=4 - bastelfreak - https://github.com/bastelfreak - - - https://avatars.githubusercontent.com/u/3282305?s=40&v=4 - LongLiveCHIEF - https://github.com/LongLiveCHIEF - - - https://avatars.githubusercontent.com/u/148721?s=40&v=4 - smortex - https://github.com/smortex - - - https://avatars.githubusercontent.com/u/2098878?s=40&v=4 - baurmatt - https://github.com/baurmatt - - - + Mon, 25 Nov 2024 13:30:36 GMT puppetlabs/puppetlabs-apache https://github.com/puppetlabs/puppetlabs-apache @@ -80,5 +42,43 @@ + + voxpupuli/puppet-gitlab + https://github.com/voxpupuli/puppet-gitlab + Puppet module to manage Gitlab (Omnibus) + https://github.com/voxpupuli/puppet-gitlab + Puppet + #302B6D + 74 + 165 + 0 + + + https://avatars.githubusercontent.com/u/1695957?s=40&v=4 + tobru + https://github.com/tobru + + + https://avatars.githubusercontent.com/u/341866?s=40&v=4 + bastelfreak + https://github.com/bastelfreak + + + https://avatars.githubusercontent.com/u/3282305?s=40&v=4 + LongLiveCHIEF + https://github.com/LongLiveCHIEF + + + https://avatars.githubusercontent.com/u/148721?s=40&v=4 + smortex + https://github.com/smortex + + + https://avatars.githubusercontent.com/u/2098878?s=40&v=4 + baurmatt + https://github.com/baurmatt + + + \ No newline at end of file diff --git a/data/daily/pure-data.json b/data/daily/pure-data.json index 24b7087e6da3..d3ce29bf3ddc 100644 --- a/data/daily/pure-data.json +++ b/data/daily/pure-data.json @@ -2,6 +2,6 @@ "title": "GitHub Pure-data Languages Daily Trending", "description": "Daily Trending of Pure-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pure-data.xml b/data/daily/pure-data.xml index d1aa694b75f8..292e890be8f4 100644 --- a/data/daily/pure-data.xml +++ b/data/daily/pure-data.xml @@ -3,6 +3,6 @@ GitHub Pure-data Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pure-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/purebasic.json b/data/daily/purebasic.json index e0579ff94a8d..7d049824225a 100644 --- a/data/daily/purebasic.json +++ b/data/daily/purebasic.json @@ -2,6 +2,6 @@ "title": "GitHub Purebasic Languages Daily Trending", "description": "Daily Trending of Purebasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/purebasic.xml b/data/daily/purebasic.xml index af46288e5277..fab26241ba85 100644 --- a/data/daily/purebasic.xml +++ b/data/daily/purebasic.xml @@ -3,6 +3,6 @@ GitHub Purebasic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Purebasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/purescript.json b/data/daily/purescript.json index dc340147d5de..639ca2cfcce8 100644 --- a/data/daily/purescript.json +++ b/data/daily/purescript.json @@ -2,7 +2,7 @@ "title": "GitHub Purescript Languages Daily Trending", "description": "Daily Trending of Purescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "natefaubion/purescript-variant", @@ -42,76 +42,76 @@ ] }, { - "title": "purescript/purescript-transformers", - "url": "https://github.com/purescript/purescript-transformers", - "description": "Monad and comonad transformers", + "title": "nammayatri/nammayatri", + "url": "https://github.com/nammayatri/nammayatri", + "description": "A Direct-to-Driver open mobility platform powering the next-generation of mobility applications in India.", "language": "PureScript", "languageColor": "#1D222D", - "stars": "69", - "forks": "44", + "stars": "1,622", + "forks": "181", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/693642?s=40&v=4", - "name": "garyb", - "url": "https://github.com/garyb" + "avatar": "https://avatars.githubusercontent.com/u/62455315?s=40&v=4", + "name": "witcher-shailesh", + "url": "https://github.com/witcher-shailesh" }, { - "avatar": "https://avatars.githubusercontent.com/u/630306?s=40&v=4", - "name": "paf31", - "url": "https://github.com/paf31" + "avatar": "https://avatars.githubusercontent.com/u/15854798?s=40&v=4", + "name": "hkmangla", + "url": "https://github.com/hkmangla" }, { - "avatar": "https://avatars.githubusercontent.com/u/350411?s=40&v=4", - "name": "ethul", - "url": "https://github.com/ethul" + "avatar": "https://avatars.githubusercontent.com/u/38260510?s=40&v=4", + "name": "khuzema786", + "url": "https://github.com/khuzema786" }, { - "avatar": "https://avatars.githubusercontent.com/u/10245104?s=40&v=4", - "name": "thomashoneyman", - "url": "https://github.com/thomashoneyman" + "avatar": "https://avatars.githubusercontent.com/u/66685378?s=40&v=4", + "name": "vijaygupta18", + "url": "https://github.com/vijaygupta18" }, { - "avatar": "https://avatars.githubusercontent.com/u/1356417?s=40&v=4", - "name": "joneshf", - "url": "https://github.com/joneshf" + "avatar": "https://avatars.githubusercontent.com/u/33424495?s=40&v=4", + "name": "0utkarsh", + "url": "https://github.com/0utkarsh" } ] }, { - "title": "purescript/purescript-typelevel-prelude", - "url": "https://github.com/purescript/purescript-typelevel-prelude", - "description": "Types and kinds for basic type-level programming", + "title": "purescript/purescript-catenable-lists", + "url": "https://github.com/purescript/purescript-catenable-lists", + "description": "Catenable lists", "language": "PureScript", "languageColor": "#1D222D", - "stars": "63", - "forks": "21", + "stars": "20", + "forks": "10", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8413037?s=40&v=4", - "name": "JordanMartinez", - "url": "https://github.com/JordanMartinez" + "avatar": "https://avatars.githubusercontent.com/u/693642?s=40&v=4", + "name": "garyb", + "url": "https://github.com/garyb" }, { - "avatar": "https://avatars.githubusercontent.com/u/1189645?s=40&v=4", - "name": "LiamGoodacre", - "url": "https://github.com/LiamGoodacre" + "avatar": "https://avatars.githubusercontent.com/u/350411?s=40&v=4", + "name": "ethul", + "url": "https://github.com/ethul" }, { - "avatar": "https://avatars.githubusercontent.com/u/693642?s=40&v=4", - "name": "garyb", - "url": "https://github.com/garyb" + "avatar": "https://avatars.githubusercontent.com/u/278859?s=40&v=4", + "name": "matthewleon", + "url": "https://github.com/matthewleon" }, { - "avatar": "https://avatars.githubusercontent.com/u/1270186?s=40&v=4", - "name": "hdgarrood", - "url": "https://github.com/hdgarrood" + "avatar": "https://avatars.githubusercontent.com/u/8413037?s=40&v=4", + "name": "JordanMartinez", + "url": "https://github.com/JordanMartinez" }, { - "avatar": "https://avatars.githubusercontent.com/u/630306?s=40&v=4", - "name": "paf31", - "url": "https://github.com/paf31" + "avatar": "https://avatars.githubusercontent.com/u/10245104?s=40&v=4", + "name": "thomashoneyman", + "url": "https://github.com/thomashoneyman" } ] }, @@ -153,13 +153,13 @@ ] }, { - "title": "purescript/purescript-free", - "url": "https://github.com/purescript/purescript-free", - "description": "Free monads, Cofree comonads, Yoneda and Coyoneda functors, and the Trampoline monad.", + "title": "purescript/purescript-transformers", + "url": "https://github.com/purescript/purescript-transformers", + "description": "Monad and comonad transformers", "language": "PureScript", "languageColor": "#1D222D", - "stars": "93", - "forks": "27", + "stars": "69", + "forks": "44", "addStars": "0", "contributors": [ { @@ -167,73 +167,73 @@ "name": "garyb", "url": "https://github.com/garyb" }, - { - "avatar": "https://avatars.githubusercontent.com/u/350411?s=40&v=4", - "name": "ethul", - "url": "https://github.com/ethul" - }, { "avatar": "https://avatars.githubusercontent.com/u/630306?s=40&v=4", "name": "paf31", "url": "https://github.com/paf31" }, { - "avatar": "https://avatars.githubusercontent.com/u/156745?s=40&v=4", - "name": "jdegoes", - "url": "https://github.com/jdegoes" + "avatar": "https://avatars.githubusercontent.com/u/350411?s=40&v=4", + "name": "ethul", + "url": "https://github.com/ethul" }, { - "avatar": "https://avatars.githubusercontent.com/u/8413037?s=40&v=4", - "name": "JordanMartinez", - "url": "https://github.com/JordanMartinez" + "avatar": "https://avatars.githubusercontent.com/u/10245104?s=40&v=4", + "name": "thomashoneyman", + "url": "https://github.com/thomashoneyman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1356417?s=40&v=4", + "name": "joneshf", + "url": "https://github.com/joneshf" } ] }, { - "title": "purescript/purescript-lists", - "url": "https://github.com/purescript/purescript-lists", - "description": "Linked Lists", + "title": "purescript/purescript-typelevel-prelude", + "url": "https://github.com/purescript/purescript-typelevel-prelude", + "description": "Types and kinds for basic type-level programming", "language": "PureScript", "languageColor": "#1D222D", - "stars": "56", - "forks": "51", + "stars": "63", + "forks": "21", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/693642?s=40&v=4", - "name": "garyb", - "url": "https://github.com/garyb" + "avatar": "https://avatars.githubusercontent.com/u/8413037?s=40&v=4", + "name": "JordanMartinez", + "url": "https://github.com/JordanMartinez" }, { - "avatar": "https://avatars.githubusercontent.com/u/630306?s=40&v=4", - "name": "paf31", - "url": "https://github.com/paf31" + "avatar": "https://avatars.githubusercontent.com/u/1189645?s=40&v=4", + "name": "LiamGoodacre", + "url": "https://github.com/LiamGoodacre" }, { - "avatar": "https://avatars.githubusercontent.com/u/278859?s=40&v=4", - "name": "matthewleon", - "url": "https://github.com/matthewleon" + "avatar": "https://avatars.githubusercontent.com/u/693642?s=40&v=4", + "name": "garyb", + "url": "https://github.com/garyb" }, { - "avatar": "https://avatars.githubusercontent.com/u/156745?s=40&v=4", - "name": "jdegoes", - "url": "https://github.com/jdegoes" + "avatar": "https://avatars.githubusercontent.com/u/1270186?s=40&v=4", + "name": "hdgarrood", + "url": "https://github.com/hdgarrood" }, { - "avatar": "https://avatars.githubusercontent.com/u/8413037?s=40&v=4", - "name": "JordanMartinez", - "url": "https://github.com/JordanMartinez" + "avatar": "https://avatars.githubusercontent.com/u/630306?s=40&v=4", + "name": "paf31", + "url": "https://github.com/paf31" } ] }, { - "title": "purescript/purescript-catenable-lists", - "url": "https://github.com/purescript/purescript-catenable-lists", - "description": "Catenable lists", + "title": "purescript/purescript-free", + "url": "https://github.com/purescript/purescript-free", + "description": "Free monads, Cofree comonads, Yoneda and Coyoneda functors, and the Trampoline monad.", "language": "PureScript", "languageColor": "#1D222D", - "stars": "20", - "forks": "10", + "stars": "93", + "forks": "27", "addStars": "0", "contributors": [ { @@ -247,19 +247,19 @@ "url": "https://github.com/ethul" }, { - "avatar": "https://avatars.githubusercontent.com/u/278859?s=40&v=4", - "name": "matthewleon", - "url": "https://github.com/matthewleon" + "avatar": "https://avatars.githubusercontent.com/u/630306?s=40&v=4", + "name": "paf31", + "url": "https://github.com/paf31" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/156745?s=40&v=4", + "name": "jdegoes", + "url": "https://github.com/jdegoes" }, { "avatar": "https://avatars.githubusercontent.com/u/8413037?s=40&v=4", "name": "JordanMartinez", "url": "https://github.com/JordanMartinez" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10245104?s=40&v=4", - "name": "thomashoneyman", - "url": "https://github.com/thomashoneyman" } ] } diff --git a/data/daily/purescript.xml b/data/daily/purescript.xml index a941aafd8746..d2fa7bf0e6ff 100644 --- a/data/daily/purescript.xml +++ b/data/daily/purescript.xml @@ -3,7 +3,7 @@ GitHub Purescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Purescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT natefaubion/purescript-variant https://github.com/natefaubion/purescript-variant @@ -43,78 +43,78 @@ - purescript/purescript-transformers - https://github.com/purescript/purescript-transformers - Monad and comonad transformers - https://github.com/purescript/purescript-transformers + nammayatri/nammayatri + https://github.com/nammayatri/nammayatri + A Direct-to-Driver open mobility platform powering the next-generation of mobility applications in India. + https://github.com/nammayatri/nammayatri PureScript #1D222D - 69 - 44 + 1,622 + 181 0 - https://avatars.githubusercontent.com/u/693642?s=40&v=4 - garyb - https://github.com/garyb + https://avatars.githubusercontent.com/u/62455315?s=40&v=4 + witcher-shailesh + https://github.com/witcher-shailesh - https://avatars.githubusercontent.com/u/630306?s=40&v=4 - paf31 - https://github.com/paf31 + https://avatars.githubusercontent.com/u/15854798?s=40&v=4 + hkmangla + https://github.com/hkmangla - https://avatars.githubusercontent.com/u/350411?s=40&v=4 - ethul - https://github.com/ethul + https://avatars.githubusercontent.com/u/38260510?s=40&v=4 + khuzema786 + https://github.com/khuzema786 - https://avatars.githubusercontent.com/u/10245104?s=40&v=4 - thomashoneyman - https://github.com/thomashoneyman + https://avatars.githubusercontent.com/u/66685378?s=40&v=4 + vijaygupta18 + https://github.com/vijaygupta18 - https://avatars.githubusercontent.com/u/1356417?s=40&v=4 - joneshf - https://github.com/joneshf + https://avatars.githubusercontent.com/u/33424495?s=40&v=4 + 0utkarsh + https://github.com/0utkarsh - purescript/purescript-typelevel-prelude - https://github.com/purescript/purescript-typelevel-prelude - Types and kinds for basic type-level programming - https://github.com/purescript/purescript-typelevel-prelude + purescript/purescript-catenable-lists + https://github.com/purescript/purescript-catenable-lists + Catenable lists + https://github.com/purescript/purescript-catenable-lists PureScript #1D222D - 63 - 21 + 20 + 10 0 - https://avatars.githubusercontent.com/u/8413037?s=40&v=4 - JordanMartinez - https://github.com/JordanMartinez + https://avatars.githubusercontent.com/u/693642?s=40&v=4 + garyb + https://github.com/garyb - https://avatars.githubusercontent.com/u/1189645?s=40&v=4 - LiamGoodacre - https://github.com/LiamGoodacre + https://avatars.githubusercontent.com/u/350411?s=40&v=4 + ethul + https://github.com/ethul - https://avatars.githubusercontent.com/u/693642?s=40&v=4 - garyb - https://github.com/garyb + https://avatars.githubusercontent.com/u/278859?s=40&v=4 + matthewleon + https://github.com/matthewleon - https://avatars.githubusercontent.com/u/1270186?s=40&v=4 - hdgarrood - https://github.com/hdgarrood + https://avatars.githubusercontent.com/u/8413037?s=40&v=4 + JordanMartinez + https://github.com/JordanMartinez - https://avatars.githubusercontent.com/u/630306?s=40&v=4 - paf31 - https://github.com/paf31 + https://avatars.githubusercontent.com/u/10245104?s=40&v=4 + thomashoneyman + https://github.com/thomashoneyman @@ -157,14 +157,14 @@ - purescript/purescript-free - https://github.com/purescript/purescript-free - Free monads, Cofree comonads, Yoneda and Coyoneda functors, and the Trampoline monad. - https://github.com/purescript/purescript-free + purescript/purescript-transformers + https://github.com/purescript/purescript-transformers + Monad and comonad transformers + https://github.com/purescript/purescript-transformers PureScript #1D222D - 93 - 27 + 69 + 44 0 @@ -172,75 +172,75 @@ garyb https://github.com/garyb - - https://avatars.githubusercontent.com/u/350411?s=40&v=4 - ethul - https://github.com/ethul - https://avatars.githubusercontent.com/u/630306?s=40&v=4 paf31 https://github.com/paf31 - https://avatars.githubusercontent.com/u/156745?s=40&v=4 - jdegoes - https://github.com/jdegoes + https://avatars.githubusercontent.com/u/350411?s=40&v=4 + ethul + https://github.com/ethul - https://avatars.githubusercontent.com/u/8413037?s=40&v=4 - JordanMartinez - https://github.com/JordanMartinez + https://avatars.githubusercontent.com/u/10245104?s=40&v=4 + thomashoneyman + https://github.com/thomashoneyman + + + https://avatars.githubusercontent.com/u/1356417?s=40&v=4 + joneshf + https://github.com/joneshf - purescript/purescript-lists - https://github.com/purescript/purescript-lists - Linked Lists - https://github.com/purescript/purescript-lists + purescript/purescript-typelevel-prelude + https://github.com/purescript/purescript-typelevel-prelude + Types and kinds for basic type-level programming + https://github.com/purescript/purescript-typelevel-prelude PureScript #1D222D - 56 - 51 + 63 + 21 0 - https://avatars.githubusercontent.com/u/693642?s=40&v=4 - garyb - https://github.com/garyb + https://avatars.githubusercontent.com/u/8413037?s=40&v=4 + JordanMartinez + https://github.com/JordanMartinez - https://avatars.githubusercontent.com/u/630306?s=40&v=4 - paf31 - https://github.com/paf31 + https://avatars.githubusercontent.com/u/1189645?s=40&v=4 + LiamGoodacre + https://github.com/LiamGoodacre - https://avatars.githubusercontent.com/u/278859?s=40&v=4 - matthewleon - https://github.com/matthewleon + https://avatars.githubusercontent.com/u/693642?s=40&v=4 + garyb + https://github.com/garyb - https://avatars.githubusercontent.com/u/156745?s=40&v=4 - jdegoes - https://github.com/jdegoes + https://avatars.githubusercontent.com/u/1270186?s=40&v=4 + hdgarrood + https://github.com/hdgarrood - https://avatars.githubusercontent.com/u/8413037?s=40&v=4 - JordanMartinez - https://github.com/JordanMartinez + https://avatars.githubusercontent.com/u/630306?s=40&v=4 + paf31 + https://github.com/paf31 - purescript/purescript-catenable-lists - https://github.com/purescript/purescript-catenable-lists - Catenable lists - https://github.com/purescript/purescript-catenable-lists + purescript/purescript-free + https://github.com/purescript/purescript-free + Free monads, Cofree comonads, Yoneda and Coyoneda functors, and the Trampoline monad. + https://github.com/purescript/purescript-free PureScript #1D222D - 20 - 10 + 93 + 27 0 @@ -254,20 +254,20 @@ https://github.com/ethul - https://avatars.githubusercontent.com/u/278859?s=40&v=4 - matthewleon - https://github.com/matthewleon + https://avatars.githubusercontent.com/u/630306?s=40&v=4 + paf31 + https://github.com/paf31 + + + https://avatars.githubusercontent.com/u/156745?s=40&v=4 + jdegoes + https://github.com/jdegoes https://avatars.githubusercontent.com/u/8413037?s=40&v=4 JordanMartinez https://github.com/JordanMartinez - - https://avatars.githubusercontent.com/u/10245104?s=40&v=4 - thomashoneyman - https://github.com/thomashoneyman - diff --git a/data/daily/pyret.json b/data/daily/pyret.json index 19059be26574..7b38933633cc 100644 --- a/data/daily/pyret.json +++ b/data/daily/pyret.json @@ -2,6 +2,6 @@ "title": "GitHub Pyret Languages Daily Trending", "description": "Daily Trending of Pyret Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/pyret.xml b/data/daily/pyret.xml index a3d719460c6d..4d32d93eb345 100644 --- a/data/daily/pyret.xml +++ b/data/daily/pyret.xml @@ -3,6 +3,6 @@ GitHub Pyret Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Pyret Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/python-console.json b/data/daily/python-console.json index faab5803ee39..83f521a8ec9c 100644 --- a/data/daily/python-console.json +++ b/data/daily/python-console.json @@ -2,6 +2,6 @@ "title": "GitHub Python-console Languages Daily Trending", "description": "Daily Trending of Python-console Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/python-console.xml b/data/daily/python-console.xml index cb1f71a3ebb2..c4bbca66e024 100644 --- a/data/daily/python-console.xml +++ b/data/daily/python-console.xml @@ -3,6 +3,6 @@ GitHub Python-console Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Python-console Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/python-traceback.json b/data/daily/python-traceback.json index c084ca618250..41c41b8390c4 100644 --- a/data/daily/python-traceback.json +++ b/data/daily/python-traceback.json @@ -2,6 +2,6 @@ "title": "GitHub Python-traceback Languages Daily Trending", "description": "Daily Trending of Python-traceback Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/python-traceback.xml b/data/daily/python-traceback.xml index 5642aad0edc7..8b7eae8ac672 100644 --- a/data/daily/python-traceback.xml +++ b/data/daily/python-traceback.xml @@ -3,6 +3,6 @@ GitHub Python-traceback Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Python-traceback Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/python.json b/data/daily/python.json index ed8d10532370..43b17c470432 100644 --- a/data/daily/python.json +++ b/data/daily/python.json @@ -2,54 +2,17 @@ "title": "GitHub Python Languages Daily Trending", "description": "Daily Trending of Python Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "black-forest-labs/flux", - "url": "https://github.com/black-forest-labs/flux", - "description": "Official inference repo for FLUX.1 models", - "language": "Python", - "languageColor": "#3572A5", - "stars": "17,306", - "forks": "1,228", - "addStars": "536", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/37085732?s=40&v=4", - "name": "timudk", - "url": "https://github.com/timudk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22528125?s=40&v=4", - "name": "jenuk", - "url": "https://github.com/jenuk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/788417?s=40&v=4", - "name": "apolinario", - "url": "https://github.com/apolinario" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2289?s=40&v=4", - "name": "zeke", - "url": "https://github.com/zeke" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1416801?s=40&v=4", - "name": "thibautRe", - "url": "https://github.com/thibautRe" - } - ] - }, { "title": "abi/screenshot-to-code", "url": "https://github.com/abi/screenshot-to-code", "description": "Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue)", "language": "Python", "languageColor": "#3572A5", - "stars": "61,241", - "forks": "7,497", - "addStars": "291", + "stars": "61,360", + "forks": "7,505", + "addStars": "492", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/23818?s=40&v=4", @@ -74,108 +37,113 @@ ] }, { - "title": "danswer-ai/danswer", - "url": "https://github.com/danswer-ai/danswer", - "description": "Gen-AI Chat for Teams - Think ChatGPT if it had access to your team's unique knowledge.", + "title": "black-forest-labs/flux", + "url": "https://github.com/black-forest-labs/flux", + "description": "Official inference repo for FLUX.1 models", "language": "Python", "languageColor": "#3572A5", - "stars": "10,780", - "forks": "1,349", - "addStars": "28", + "stars": "17,485", + "forks": "1,237", + "addStars": "770", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25087905?s=40&v=4", - "name": "Weves", - "url": "https://github.com/Weves" + "avatar": "https://avatars.githubusercontent.com/u/37085732?s=40&v=4", + "name": "timudk", + "url": "https://github.com/timudk" }, { - "avatar": "https://avatars.githubusercontent.com/u/32520769?s=40&v=4", - "name": "yuhongsun96", - "url": "https://github.com/yuhongsun96" + "avatar": "https://avatars.githubusercontent.com/u/22528125?s=40&v=4", + "name": "jenuk", + "url": "https://github.com/jenuk" }, { - "avatar": "https://avatars.githubusercontent.com/u/171597620?s=40&v=4", - "name": "pablodanswer", - "url": "https://github.com/pablodanswer" + "avatar": "https://avatars.githubusercontent.com/u/788417?s=40&v=4", + "name": "apolinario", + "url": "https://github.com/apolinario" }, { - "avatar": "https://avatars.githubusercontent.com/u/171370825?s=40&v=4", - "name": "hagen-danswer", - "url": "https://github.com/hagen-danswer" + "avatar": "https://avatars.githubusercontent.com/u/2289?s=40&v=4", + "name": "zeke", + "url": "https://github.com/zeke" }, { - "avatar": "https://avatars.githubusercontent.com/u/173942086?s=40&v=4", - "name": "rkuo-danswer", - "url": "https://github.com/rkuo-danswer" + "avatar": "https://avatars.githubusercontent.com/u/1416801?s=40&v=4", + "name": "thibautRe", + "url": "https://github.com/thibautRe" } ] }, { - "title": "lllyasviel/Fooocus", - "url": "https://github.com/lllyasviel/Fooocus", - "description": "Focus on prompting and generating", + "title": "OpenInterpreter/open-interpreter", + "url": "https://github.com/OpenInterpreter/open-interpreter", + "description": "A natural language interface for computers", "language": "Python", "languageColor": "#3572A5", - "stars": "41,609", - "forks": "5,927", - "addStars": "20", + "stars": "55,866", + "forks": "4,843", + "addStars": "107", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19834515?s=40&v=4", - "name": "lllyasviel", - "url": "https://github.com/lllyasviel" + "avatar": "https://avatars.githubusercontent.com/u/63927363?s=40&v=4", + "name": "KillianLucas", + "url": "https://github.com/KillianLucas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4324290?s=40&v=4", + "name": "Notnaton", + "url": "https://github.com/Notnaton" }, { - "avatar": "https://avatars.githubusercontent.com/u/9307310?s=40&v=4", - "name": "mashb1t", - "url": "https://github.com/mashb1t" + "avatar": "https://avatars.githubusercontent.com/u/63524998?s=40&v=4", + "name": "MikeBirdTech", + "url": "https://github.com/MikeBirdTech" }, { - "avatar": "https://avatars.githubusercontent.com/u/130458190?s=40&v=4", - "name": "MoonRide303", - "url": "https://github.com/MoonRide303" + "avatar": "https://avatars.githubusercontent.com/u/6693226?s=40&v=4", + "name": "CyanideByte", + "url": "https://github.com/CyanideByte" }, { - "avatar": "https://avatars.githubusercontent.com/u/129571231?s=40&v=4", - "name": "xhoxye", - "url": "https://github.com/xhoxye" + "avatar": "https://avatars.githubusercontent.com/u/1667415?s=40&v=4", + "name": "ericrallen", + "url": "https://github.com/ericrallen" } ] }, { - "title": "comfyanonymous/ComfyUI", - "url": "https://github.com/comfyanonymous/ComfyUI", - "description": "The most powerful and modular diffusion model GUI, api and backend with a graph/nodes interface.", + "title": "public-apis/public-apis", + "url": "https://github.com/public-apis/public-apis", + "description": "A collective list of free APIs", "language": "Python", "languageColor": "#3572A5", - "stars": "57,731", - "forks": "6,119", - "addStars": "81", + "stars": "318,334", + "forks": "33,915", + "addStars": "106", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/121283862?s=40&v=4", - "name": "comfyanonymous", - "url": "https://github.com/comfyanonymous" + "avatar": "https://avatars.githubusercontent.com/u/50463866?s=40&v=4", + "name": "matheusfelipeog", + "url": "https://github.com/matheusfelipeog" }, { - "avatar": "https://avatars.githubusercontent.com/u/125205205?s=40&v=4", - "name": "pythongosssss", - "url": "https://github.com/pythongosssss" + "avatar": "https://avatars.githubusercontent.com/u/15134885?s=40&v=4", + "name": "davemachado", + "url": "https://github.com/davemachado" }, { - "avatar": "https://avatars.githubusercontent.com/u/20929282?s=40&v=4", - "name": "huchenlei", - "url": "https://github.com/huchenlei" + "avatar": "https://avatars.githubusercontent.com/u/36134699?s=40&v=4", + "name": "pawelborkar", + "url": "https://github.com/pawelborkar" }, { - "avatar": "https://avatars.githubusercontent.com/u/128333288?s=40&v=4", - "name": "ltdrdata", - "url": "https://github.com/ltdrdata" + "avatar": "https://avatars.githubusercontent.com/u/246103?s=40&v=4", + "name": "jbrooksuk", + "url": "https://github.com/jbrooksuk" }, { - "avatar": "https://avatars.githubusercontent.com/u/23466035?s=40&v=4", - "name": "EllangoK", - "url": "https://github.com/EllangoK" + "avatar": "https://avatars.githubusercontent.com/u/1914165?s=40&v=4", + "name": "marekdano", + "url": "https://github.com/marekdano" } ] }, @@ -185,8 +153,8 @@ "description": "30 days of Python programming challenge is a step-by-step guide to learn the Python programming language in 30 days. This challenge may take more than100 days, follow your own pace. These videos may help too: https://www.youtube.com/channel/UC7PNRuno1rzYPb1xLa4yktw", "language": "Python", "languageColor": "#3572A5", - "stars": "42,905", - "forks": "8,190", + "stars": "42,921", + "forks": "8,195", "addStars": "39", "contributors": [ { @@ -217,150 +185,162 @@ ] }, { - "title": "dortania/OpenCore-Legacy-Patcher", - "url": "https://github.com/dortania/OpenCore-Legacy-Patcher", - "description": "Experience macOS just like before", + "title": "searxng/searxng", + "url": "https://github.com/searxng/searxng", + "description": "SearXNG is a free internet metasearch engine which aggregates results from various search services and databases. Users are neither tracked nor profiled.", "language": "Python", "languageColor": "#3572A5", - "stars": "13,151", - "forks": "1,257", - "addStars": "19", + "stars": "14,171", + "forks": "1,472", + "addStars": "46", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/48863253?s=40&v=4", - "name": "khronokernel", - "url": "https://github.com/khronokernel" + "avatar": "https://avatars.githubusercontent.com/u/554536?s=40&v=4", + "name": "return42", + "url": "https://github.com/return42" }, { - "avatar": "https://avatars.githubusercontent.com/u/35791009?s=40&v=4", - "name": "ParaDoX1994", - "url": "https://github.com/ParaDoX1994" + "avatar": "https://avatars.githubusercontent.com/u/20240?s=40&v=4", + "name": "asciimoo", + "url": "https://github.com/asciimoo" }, { - "avatar": "https://avatars.githubusercontent.com/u/17605561?s=40&v=4", - "name": "dhinakg", - "url": "https://github.com/dhinakg" + "avatar": "https://avatars.githubusercontent.com/u/1594191?s=40&v=4", + "name": "dalf", + "url": "https://github.com/dalf" }, { - "avatar": "https://avatars.githubusercontent.com/u/75343012?s=40&v=4", - "name": "Jazzzny", - "url": "https://github.com/Jazzzny" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55281754?s=40&v=4", - "name": "crystall1nedev", - "url": "https://github.com/crystall1nedev" + "avatar": "https://avatars.githubusercontent.com/u/3876218?s=40&v=4", + "name": "kvch", + "url": "https://github.com/kvch" } ] }, { - "title": "NexaAI/nexa-sdk", - "url": "https://github.com/NexaAI/nexa-sdk", - "description": "Nexa SDK is a comprehensive toolkit for supporting ONNX and GGML models. It supports text generation, image generation, vision-language models (VLM), auto-speech-recognition (ASR), and text-to-speech (TTS) capabilities.", + "title": "yt-dlp/yt-dlp", + "url": "https://github.com/yt-dlp/yt-dlp", + "description": "A feature-rich command-line audio/video downloader", "language": "Python", "languageColor": "#3572A5", - "stars": "4,174", - "forks": "615", - "addStars": "51", + "stars": "90,866", + "forks": "7,061", + "addStars": "84", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/39573601?s=40&v=4", - "name": "zhiyuan8", - "url": "https://github.com/zhiyuan8" + "avatar": "https://avatars.githubusercontent.com/u/1908898?s=40&v=4", + "name": "dstftw", + "url": "https://github.com/dstftw" }, { - "avatar": "https://avatars.githubusercontent.com/u/122925040?s=40&v=4", - "name": "JoyboyBrian", - "url": "https://github.com/JoyboyBrian" + "avatar": "https://avatars.githubusercontent.com/u/779568?s=40&v=4", + "name": "phihag", + "url": "https://github.com/phihag" }, { - "avatar": "https://avatars.githubusercontent.com/u/61644754?s=40&v=4", - "name": "Davidqian123", - "url": "https://github.com/Davidqian123" + "avatar": "https://avatars.githubusercontent.com/u/6623388?s=40&v=4", + "name": "pukkandan", + "url": "https://github.com/pukkandan" }, { - "avatar": "https://avatars.githubusercontent.com/u/114606376?s=40&v=4", - "name": "zhycheng614", - "url": "https://github.com/zhycheng614" + "avatar": "https://avatars.githubusercontent.com/u/10879694?s=40&v=4", + "name": "remitamine", + "url": "https://github.com/remitamine" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1239727?s=40&v=4", + "name": "jaimeMF", + "url": "https://github.com/jaimeMF" + } + ] + }, + { + "title": "Guovin/IPTV", + "url": "https://github.com/Guovin/IPTV", + "description": "📺IPTV电视直播源更新工具🚀:包含💰央视、📡卫视、☘️广东及各省份地方台、🌊港·澳·台、🎬电影、🎥咪咕、🏀体育、🪁动画、🎮游戏、🎵音乐、🏛经典剧场;支持自定义增加频道;支持组播源、酒店源、订阅源、关键字搜索;每天自动更新两次,结果可用于TVBox等播放软件;支持工作流、Docker(amd64/arm64)、命令行、GUI运行方式 | IPTV live TV source update tool", + "language": "Python", + "languageColor": "#3572A5", + "stars": "6,111", + "forks": "1,391", + "addStars": "96", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/37107669?s=40&v=4", + "name": "Guovin", + "url": "https://github.com/Guovin" }, { - "avatar": "https://avatars.githubusercontent.com/u/42559837?s=40&v=4", - "name": "AgainstEntropy", - "url": "https://github.com/AgainstEntropy" + "avatar": "https://avatars.githubusercontent.com/u/26541344?s=40&v=4", + "name": "haohaitao", + "url": "https://github.com/haohaitao" } ] }, { - "title": "AgentOps-AI/agentops", - "url": "https://github.com/AgentOps-AI/agentops", - "description": "Python SDK for AI agent monitoring, LLM cost tracking, benchmarking, and more. Integrates with most LLMs and agent frameworks like CrewAI, Langchain, and Autogen", + "title": "home-assistant/core", + "url": "https://github.com/home-assistant/core", + "description": "🏡 Open source home automation that puts local control and privacy first.", "language": "Python", "languageColor": "#3572A5", - "stars": "2,196", - "forks": "214", - "addStars": "23", + "stars": "73,940", + "forks": "30,995", + "addStars": "39", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/14807319?s=40&v=4", - "name": "areibman", - "url": "https://github.com/areibman" + "avatar": "https://avatars.githubusercontent.com/u/1444314?s=40&v=4", + "name": "balloob", + "url": "https://github.com/balloob" }, { - "avatar": "https://avatars.githubusercontent.com/u/15098079?s=40&v=4", - "name": "HowieG", - "url": "https://github.com/HowieG" + "avatar": "https://avatars.githubusercontent.com/u/663432?s=40&v=4", + "name": "bdraco", + "url": "https://github.com/bdraco" }, { - "avatar": "https://avatars.githubusercontent.com/u/6846214?s=40&v=4", - "name": "bboynton97", - "url": "https://github.com/bboynton97" + "avatar": "https://avatars.githubusercontent.com/u/195327?s=40&v=4", + "name": "frenck", + "url": "https://github.com/frenck" }, { - "avatar": "https://avatars.githubusercontent.com/u/6004624?s=40&v=4", - "name": "siyangqiu", - "url": "https://github.com/siyangqiu" + "avatar": "https://avatars.githubusercontent.com/u/6771947?s=40&v=4", + "name": "epenet", + "url": "https://github.com/epenet" }, { - "avatar": "https://avatars.githubusercontent.com/u/88149434?s=40&v=4", - "name": "the-praxs", - "url": "https://github.com/the-praxs" + "avatar": "https://avatars.githubusercontent.com/u/14281572?s=40&v=4", + "name": "emontnemery", + "url": "https://github.com/emontnemery" } ] }, { - "title": "infinition/Bjorn", - "url": "https://github.com/infinition/Bjorn", - "description": "Bjorn is a powerful network scanning and offensive security tool for the Raspberry Pi with a 2.13-inch e-Paper HAT. It discovers network targets, identifies open ports, exposed services, and potential vulnerabilities. Bjorn can perform brute force attacks, file stealing, host zombification, and supports custom attack scripts.", + "title": "mingrammer/diagrams", + "url": "https://github.com/mingrammer/diagrams", + "description": "🎨 Diagram as Code for prototyping cloud system architectures", "language": "Python", "languageColor": "#3572A5", - "stars": "2,536", - "forks": "104", - "addStars": "64", + "stars": "39,659", + "forks": "2,544", + "addStars": "42", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37984399?s=40&v=4", - "name": "infinition", - "url": "https://github.com/infinition" + "avatar": "https://avatars.githubusercontent.com/u/6178510?s=40&v=4", + "name": "mingrammer", + "url": "https://github.com/mingrammer" }, { - "avatar": "https://avatars.githubusercontent.com/u/53613081?s=40&v=4", - "name": "JuanVilla424", - "url": "https://github.com/JuanVilla424" + "avatar": "https://avatars.githubusercontent.com/u/283765?s=40&v=4", + "name": "gabriel-tessier", + "url": "https://github.com/gabriel-tessier" }, { - "avatar": "https://avatars.githubusercontent.com/u/37256246?s=40&v=4", - "name": "jbohack", - "url": "https://github.com/jbohack" + "avatar": "https://avatars.githubusercontent.com/u/29233?s=40&v=4", + "name": "nlamirault", + "url": "https://github.com/nlamirault" }, { - "avatar": "https://avatars.githubusercontent.com/u/57303461?s=40&v=4", - "name": "IncredibleZuess", - "url": "https://github.com/IncredibleZuess" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3135030?s=40&v=4", - "name": "afreeland", - "url": "https://github.com/afreeland" + "avatar": "https://avatars.githubusercontent.com/u/1523515?s=40&v=4", + "name": "yu-iskw", + "url": "https://github.com/yu-iskw" } ] }, @@ -370,8 +350,8 @@ "description": "", "language": "Python", "languageColor": "#3572A5", - "stars": "1,504", - "forks": "183", + "stars": "1,533", + "forks": "184", "addStars": "38", "contributors": [ { @@ -400,6 +380,176 @@ "url": "https://github.com/natolambert" } ] + }, + { + "title": "python-telegram-bot/python-telegram-bot", + "url": "https://github.com/python-telegram-bot/python-telegram-bot", + "description": "We have made you a wrapper you can't refuse", + "language": "Python", + "languageColor": "#3572A5", + "stars": "26,365", + "forks": "5,354", + "addStars": "20", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/22366557?s=40&v=4", + "name": "Bibo-Joshi", + "url": "https://github.com/Bibo-Joshi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/615742?s=40&v=4", + "name": "leandrotoledo", + "url": "https://github.com/leandrotoledo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3945220?s=40&v=4", + "name": "jh0ker", + "url": "https://github.com/jh0ker" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7777017?s=40&v=4", + "name": "tsnoam", + "url": "https://github.com/tsnoam" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3002987?s=40&v=4", + "name": "jsmnbom", + "url": "https://github.com/jsmnbom" + } + ] + }, + { + "title": "openai/openai-python", + "url": "https://github.com/openai/openai-python", + "description": "The official Python library for the OpenAI API", + "language": "Python", + "languageColor": "#3572A5", + "stars": "23,134", + "forks": "3,262", + "addStars": "16", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/107565488?s=40&v=4", + "name": "stainless-bot", + "url": "https://github.com/stainless-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23125036?s=40&v=4", + "name": "RobertCraigie", + "url": "https://github.com/RobertCraigie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1945079?s=40&v=4", + "name": "hallacy", + "url": "https://github.com/hallacy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9589037?s=40&v=4", + "name": "rachellim", + "url": "https://github.com/rachellim" + } + ] + }, + { + "title": "exo-explore/exo", + "url": "https://github.com/exo-explore/exo", + "description": "Run your own AI cluster at home with everyday devices 📱💻 🖥️⌚", + "language": "Python", + "languageColor": "#3572A5", + "stars": "15,913", + "forks": "844", + "addStars": "272", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/41707476?s=40&v=4", + "name": "AlexCheema", + "url": "https://github.com/AlexCheema" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/83218295?s=40&v=4", + "name": "josh1593", + "url": "https://github.com/josh1593" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/114382923?s=40&v=4", + "name": "blindcrone", + "url": "https://github.com/blindcrone" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/44467843?s=40&v=4", + "name": "DevEmilio96", + "url": "https://github.com/DevEmilio96" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14060736?s=40&v=4", + "name": "cadenmackenzie", + "url": "https://github.com/cadenmackenzie" + } + ] + }, + { + "title": "Bambu-Research-Group/RFID-Tag-Guide", + "url": "https://github.com/Bambu-Research-Group/RFID-Tag-Guide", + "description": "Instructions on how to read out the bambulab nfc tags", + "language": "Python", + "languageColor": "#3572A5", + "stars": "728", + "forks": "68", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5179191?s=40&v=4", + "name": "queengooborg", + "url": "https://github.com/queengooborg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1465599?s=40&v=4", + "name": "thekakester", + "url": "https://github.com/thekakester" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17102789?s=40&v=4", + "name": "embed-3d", + "url": "https://github.com/embed-3d" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/69736681?s=40&v=4", + "name": "Kenagon", + "url": "https://github.com/Kenagon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48729601?s=40&v=4", + "name": "tfurci", + "url": "https://github.com/tfurci" + } + ] + }, + { + "title": "levihsu/OOTDiffusion", + "url": "https://github.com/levihsu/OOTDiffusion", + "description": "Official implementation of OOTDiffusion: Outfitting Fusion based Latent Diffusion for Controllable Virtual Try-on", + "language": "Python", + "languageColor": "#3572A5", + "stars": "5,686", + "forks": "822", + "addStars": "25", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/33045876?s=40&v=4", + "name": "levihsu", + "url": "https://github.com/levihsu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94307536?s=40&v=4", + "name": "T-Gu", + "url": "https://github.com/T-Gu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16463912?s=40&v=4", + "name": "ShineChen1024", + "url": "https://github.com/ShineChen1024" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/python.xml b/data/daily/python.xml index 2b137904717b..c0ed331020ef 100644 --- a/data/daily/python.xml +++ b/data/daily/python.xml @@ -3,45 +3,7 @@ GitHub Python Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Python Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - black-forest-labs/flux - https://github.com/black-forest-labs/flux - Official inference repo for FLUX.1 models - https://github.com/black-forest-labs/flux - Python - #3572A5 - 17,306 - 1,228 - 536 - - - https://avatars.githubusercontent.com/u/37085732?s=40&v=4 - timudk - https://github.com/timudk - - - https://avatars.githubusercontent.com/u/22528125?s=40&v=4 - jenuk - https://github.com/jenuk - - - https://avatars.githubusercontent.com/u/788417?s=40&v=4 - apolinario - https://github.com/apolinario - - - https://avatars.githubusercontent.com/u/2289?s=40&v=4 - zeke - https://github.com/zeke - - - https://avatars.githubusercontent.com/u/1416801?s=40&v=4 - thibautRe - https://github.com/thibautRe - - - + Mon, 25 Nov 2024 13:30:36 GMT abi/screenshot-to-code https://github.com/abi/screenshot-to-code @@ -49,9 +11,9 @@ https://github.com/abi/screenshot-to-code Python #3572A5 - 61,241 - 7,497 - 291 + 61,360 + 7,505 + 492 https://avatars.githubusercontent.com/u/23818?s=40&v=4 @@ -76,111 +38,116 @@ - danswer-ai/danswer - https://github.com/danswer-ai/danswer - Gen-AI Chat for Teams - Think ChatGPT if it had access to your team's unique knowledge. - https://github.com/danswer-ai/danswer + black-forest-labs/flux + https://github.com/black-forest-labs/flux + Official inference repo for FLUX.1 models + https://github.com/black-forest-labs/flux Python #3572A5 - 10,780 - 1,349 - 28 + 17,485 + 1,237 + 770 - https://avatars.githubusercontent.com/u/25087905?s=40&v=4 - Weves - https://github.com/Weves + https://avatars.githubusercontent.com/u/37085732?s=40&v=4 + timudk + https://github.com/timudk - https://avatars.githubusercontent.com/u/32520769?s=40&v=4 - yuhongsun96 - https://github.com/yuhongsun96 + https://avatars.githubusercontent.com/u/22528125?s=40&v=4 + jenuk + https://github.com/jenuk - https://avatars.githubusercontent.com/u/171597620?s=40&v=4 - pablodanswer - https://github.com/pablodanswer + https://avatars.githubusercontent.com/u/788417?s=40&v=4 + apolinario + https://github.com/apolinario - https://avatars.githubusercontent.com/u/171370825?s=40&v=4 - hagen-danswer - https://github.com/hagen-danswer + https://avatars.githubusercontent.com/u/2289?s=40&v=4 + zeke + https://github.com/zeke - https://avatars.githubusercontent.com/u/173942086?s=40&v=4 - rkuo-danswer - https://github.com/rkuo-danswer + https://avatars.githubusercontent.com/u/1416801?s=40&v=4 + thibautRe + https://github.com/thibautRe - lllyasviel/Fooocus - https://github.com/lllyasviel/Fooocus - Focus on prompting and generating - https://github.com/lllyasviel/Fooocus + OpenInterpreter/open-interpreter + https://github.com/OpenInterpreter/open-interpreter + A natural language interface for computers + https://github.com/OpenInterpreter/open-interpreter Python #3572A5 - 41,609 - 5,927 - 20 + 55,866 + 4,843 + 107 - https://avatars.githubusercontent.com/u/19834515?s=40&v=4 - lllyasviel - https://github.com/lllyasviel + https://avatars.githubusercontent.com/u/63927363?s=40&v=4 + KillianLucas + https://github.com/KillianLucas - https://avatars.githubusercontent.com/u/9307310?s=40&v=4 - mashb1t - https://github.com/mashb1t + https://avatars.githubusercontent.com/u/4324290?s=40&v=4 + Notnaton + https://github.com/Notnaton - https://avatars.githubusercontent.com/u/130458190?s=40&v=4 - MoonRide303 - https://github.com/MoonRide303 + https://avatars.githubusercontent.com/u/63524998?s=40&v=4 + MikeBirdTech + https://github.com/MikeBirdTech - https://avatars.githubusercontent.com/u/129571231?s=40&v=4 - xhoxye - https://github.com/xhoxye + https://avatars.githubusercontent.com/u/6693226?s=40&v=4 + CyanideByte + https://github.com/CyanideByte + + + https://avatars.githubusercontent.com/u/1667415?s=40&v=4 + ericrallen + https://github.com/ericrallen - comfyanonymous/ComfyUI - https://github.com/comfyanonymous/ComfyUI - The most powerful and modular diffusion model GUI, api and backend with a graph/nodes interface. - https://github.com/comfyanonymous/ComfyUI + public-apis/public-apis + https://github.com/public-apis/public-apis + A collective list of free APIs + https://github.com/public-apis/public-apis Python #3572A5 - 57,731 - 6,119 - 81 + 318,334 + 33,915 + 106 - https://avatars.githubusercontent.com/u/121283862?s=40&v=4 - comfyanonymous - https://github.com/comfyanonymous + https://avatars.githubusercontent.com/u/50463866?s=40&v=4 + matheusfelipeog + https://github.com/matheusfelipeog - https://avatars.githubusercontent.com/u/125205205?s=40&v=4 - pythongosssss - https://github.com/pythongosssss + https://avatars.githubusercontent.com/u/15134885?s=40&v=4 + davemachado + https://github.com/davemachado - https://avatars.githubusercontent.com/u/20929282?s=40&v=4 - huchenlei - https://github.com/huchenlei + https://avatars.githubusercontent.com/u/36134699?s=40&v=4 + pawelborkar + https://github.com/pawelborkar - https://avatars.githubusercontent.com/u/128333288?s=40&v=4 - ltdrdata - https://github.com/ltdrdata + https://avatars.githubusercontent.com/u/246103?s=40&v=4 + jbrooksuk + https://github.com/jbrooksuk - https://avatars.githubusercontent.com/u/23466035?s=40&v=4 - EllangoK - https://github.com/EllangoK + https://avatars.githubusercontent.com/u/1914165?s=40&v=4 + marekdano + https://github.com/marekdano @@ -191,8 +158,8 @@ https://github.com/Asabeneh/30-Days-Of-Python Python #3572A5 - 42,905 - 8,190 + 42,921 + 8,195 39 @@ -223,154 +190,167 @@ - dortania/OpenCore-Legacy-Patcher - https://github.com/dortania/OpenCore-Legacy-Patcher - Experience macOS just like before - https://github.com/dortania/OpenCore-Legacy-Patcher + searxng/searxng + https://github.com/searxng/searxng + SearXNG is a free internet metasearch engine which aggregates results from various search services and databases. Users are neither tracked nor profiled. + https://github.com/searxng/searxng Python #3572A5 - 13,151 - 1,257 - 19 + 14,171 + 1,472 + 46 - https://avatars.githubusercontent.com/u/48863253?s=40&v=4 - khronokernel - https://github.com/khronokernel - - - https://avatars.githubusercontent.com/u/35791009?s=40&v=4 - ParaDoX1994 - https://github.com/ParaDoX1994 + https://avatars.githubusercontent.com/u/554536?s=40&v=4 + return42 + https://github.com/return42 - https://avatars.githubusercontent.com/u/17605561?s=40&v=4 - dhinakg - https://github.com/dhinakg + https://avatars.githubusercontent.com/u/20240?s=40&v=4 + asciimoo + https://github.com/asciimoo - https://avatars.githubusercontent.com/u/75343012?s=40&v=4 - Jazzzny - https://github.com/Jazzzny + https://avatars.githubusercontent.com/u/1594191?s=40&v=4 + dalf + https://github.com/dalf - https://avatars.githubusercontent.com/u/55281754?s=40&v=4 - crystall1nedev - https://github.com/crystall1nedev + https://avatars.githubusercontent.com/u/3876218?s=40&v=4 + kvch + https://github.com/kvch - NexaAI/nexa-sdk - https://github.com/NexaAI/nexa-sdk - Nexa SDK is a comprehensive toolkit for supporting ONNX and GGML models. It supports text generation, image generation, vision-language models (VLM), auto-speech-recognition (ASR), and text-to-speech (TTS) capabilities. - https://github.com/NexaAI/nexa-sdk + yt-dlp/yt-dlp + https://github.com/yt-dlp/yt-dlp + A feature-rich command-line audio/video downloader + https://github.com/yt-dlp/yt-dlp Python #3572A5 - 4,174 - 615 - 51 + 90,866 + 7,061 + 84 - https://avatars.githubusercontent.com/u/39573601?s=40&v=4 - zhiyuan8 - https://github.com/zhiyuan8 + https://avatars.githubusercontent.com/u/1908898?s=40&v=4 + dstftw + https://github.com/dstftw + + + https://avatars.githubusercontent.com/u/779568?s=40&v=4 + phihag + https://github.com/phihag - https://avatars.githubusercontent.com/u/122925040?s=40&v=4 - JoyboyBrian - https://github.com/JoyboyBrian + https://avatars.githubusercontent.com/u/6623388?s=40&v=4 + pukkandan + https://github.com/pukkandan - https://avatars.githubusercontent.com/u/61644754?s=40&v=4 - Davidqian123 - https://github.com/Davidqian123 + https://avatars.githubusercontent.com/u/10879694?s=40&v=4 + remitamine + https://github.com/remitamine - https://avatars.githubusercontent.com/u/114606376?s=40&v=4 - zhycheng614 - https://github.com/zhycheng614 + https://avatars.githubusercontent.com/u/1239727?s=40&v=4 + jaimeMF + https://github.com/jaimeMF + + + + + Guovin/IPTV + https://github.com/Guovin/IPTV + 📺IPTV电视直播源更新工具🚀:包含💰央视、📡卫视、☘️广东及各省份地方台、🌊港·澳·台、🎬电影、🎥咪咕、🏀体育、🪁动画、🎮游戏、🎵音乐、🏛经典剧场;支持自定义增加频道;支持组播源、酒店源、订阅源、关键字搜索;每天自动更新两次,结果可用于TVBox等播放软件;支持工作流、Docker(amd64/arm64)、命令行、GUI运行方式 | IPTV live TV source update tool + https://github.com/Guovin/IPTV + Python + #3572A5 + 6,111 + 1,391 + 96 + + + https://avatars.githubusercontent.com/u/37107669?s=40&v=4 + Guovin + https://github.com/Guovin - https://avatars.githubusercontent.com/u/42559837?s=40&v=4 - AgainstEntropy - https://github.com/AgainstEntropy + https://avatars.githubusercontent.com/u/26541344?s=40&v=4 + haohaitao + https://github.com/haohaitao - AgentOps-AI/agentops - https://github.com/AgentOps-AI/agentops - Python SDK for AI agent monitoring, LLM cost tracking, benchmarking, and more. Integrates with most LLMs and agent frameworks like CrewAI, Langchain, and Autogen - https://github.com/AgentOps-AI/agentops + home-assistant/core + https://github.com/home-assistant/core + 🏡 Open source home automation that puts local control and privacy first. + https://github.com/home-assistant/core Python #3572A5 - 2,196 - 214 - 23 + 73,940 + 30,995 + 39 - https://avatars.githubusercontent.com/u/14807319?s=40&v=4 - areibman - https://github.com/areibman + https://avatars.githubusercontent.com/u/1444314?s=40&v=4 + balloob + https://github.com/balloob - https://avatars.githubusercontent.com/u/15098079?s=40&v=4 - HowieG - https://github.com/HowieG + https://avatars.githubusercontent.com/u/663432?s=40&v=4 + bdraco + https://github.com/bdraco - https://avatars.githubusercontent.com/u/6846214?s=40&v=4 - bboynton97 - https://github.com/bboynton97 + https://avatars.githubusercontent.com/u/195327?s=40&v=4 + frenck + https://github.com/frenck - https://avatars.githubusercontent.com/u/6004624?s=40&v=4 - siyangqiu - https://github.com/siyangqiu + https://avatars.githubusercontent.com/u/6771947?s=40&v=4 + epenet + https://github.com/epenet - https://avatars.githubusercontent.com/u/88149434?s=40&v=4 - the-praxs - https://github.com/the-praxs + https://avatars.githubusercontent.com/u/14281572?s=40&v=4 + emontnemery + https://github.com/emontnemery - infinition/Bjorn - https://github.com/infinition/Bjorn - Bjorn is a powerful network scanning and offensive security tool for the Raspberry Pi with a 2.13-inch e-Paper HAT. It discovers network targets, identifies open ports, exposed services, and potential vulnerabilities. Bjorn can perform brute force attacks, file stealing, host zombification, and supports custom attack scripts. - https://github.com/infinition/Bjorn + mingrammer/diagrams + https://github.com/mingrammer/diagrams + 🎨 Diagram as Code for prototyping cloud system architectures + https://github.com/mingrammer/diagrams Python #3572A5 - 2,536 - 104 - 64 + 39,659 + 2,544 + 42 - https://avatars.githubusercontent.com/u/37984399?s=40&v=4 - infinition - https://github.com/infinition + https://avatars.githubusercontent.com/u/6178510?s=40&v=4 + mingrammer + https://github.com/mingrammer - https://avatars.githubusercontent.com/u/53613081?s=40&v=4 - JuanVilla424 - https://github.com/JuanVilla424 + https://avatars.githubusercontent.com/u/283765?s=40&v=4 + gabriel-tessier + https://github.com/gabriel-tessier - https://avatars.githubusercontent.com/u/37256246?s=40&v=4 - jbohack - https://github.com/jbohack + https://avatars.githubusercontent.com/u/29233?s=40&v=4 + nlamirault + https://github.com/nlamirault - https://avatars.githubusercontent.com/u/57303461?s=40&v=4 - IncredibleZuess - https://github.com/IncredibleZuess - - - https://avatars.githubusercontent.com/u/3135030?s=40&v=4 - afreeland - https://github.com/afreeland + https://avatars.githubusercontent.com/u/1523515?s=40&v=4 + yu-iskw + https://github.com/yu-iskw @@ -381,8 +361,8 @@ https://github.com/allenai/open-instruct Python #3572A5 - 1,504 - 183 + 1,533 + 184 38 @@ -412,5 +392,180 @@ + + python-telegram-bot/python-telegram-bot + https://github.com/python-telegram-bot/python-telegram-bot + We have made you a wrapper you can't refuse + https://github.com/python-telegram-bot/python-telegram-bot + Python + #3572A5 + 26,365 + 5,354 + 20 + + + https://avatars.githubusercontent.com/u/22366557?s=40&v=4 + Bibo-Joshi + https://github.com/Bibo-Joshi + + + https://avatars.githubusercontent.com/u/615742?s=40&v=4 + leandrotoledo + https://github.com/leandrotoledo + + + https://avatars.githubusercontent.com/u/3945220?s=40&v=4 + jh0ker + https://github.com/jh0ker + + + https://avatars.githubusercontent.com/u/7777017?s=40&v=4 + tsnoam + https://github.com/tsnoam + + + https://avatars.githubusercontent.com/u/3002987?s=40&v=4 + jsmnbom + https://github.com/jsmnbom + + + + + openai/openai-python + https://github.com/openai/openai-python + The official Python library for the OpenAI API + https://github.com/openai/openai-python + Python + #3572A5 + 23,134 + 3,262 + 16 + + + https://avatars.githubusercontent.com/u/107565488?s=40&v=4 + stainless-bot + https://github.com/stainless-bot + + + https://avatars.githubusercontent.com/u/23125036?s=40&v=4 + RobertCraigie + https://github.com/RobertCraigie + + + https://avatars.githubusercontent.com/u/1945079?s=40&v=4 + hallacy + https://github.com/hallacy + + + https://avatars.githubusercontent.com/u/9589037?s=40&v=4 + rachellim + https://github.com/rachellim + + + + + exo-explore/exo + https://github.com/exo-explore/exo + Run your own AI cluster at home with everyday devices 📱💻 🖥️⌚ + https://github.com/exo-explore/exo + Python + #3572A5 + 15,913 + 844 + 272 + + + https://avatars.githubusercontent.com/u/41707476?s=40&v=4 + AlexCheema + https://github.com/AlexCheema + + + https://avatars.githubusercontent.com/u/83218295?s=40&v=4 + josh1593 + https://github.com/josh1593 + + + https://avatars.githubusercontent.com/u/114382923?s=40&v=4 + blindcrone + https://github.com/blindcrone + + + https://avatars.githubusercontent.com/u/44467843?s=40&v=4 + DevEmilio96 + https://github.com/DevEmilio96 + + + https://avatars.githubusercontent.com/u/14060736?s=40&v=4 + cadenmackenzie + https://github.com/cadenmackenzie + + + + + Bambu-Research-Group/RFID-Tag-Guide + https://github.com/Bambu-Research-Group/RFID-Tag-Guide + Instructions on how to read out the bambulab nfc tags + https://github.com/Bambu-Research-Group/RFID-Tag-Guide + Python + #3572A5 + 728 + 68 + 10 + + + https://avatars.githubusercontent.com/u/5179191?s=40&v=4 + queengooborg + https://github.com/queengooborg + + + https://avatars.githubusercontent.com/u/1465599?s=40&v=4 + thekakester + https://github.com/thekakester + + + https://avatars.githubusercontent.com/u/17102789?s=40&v=4 + embed-3d + https://github.com/embed-3d + + + https://avatars.githubusercontent.com/u/69736681?s=40&v=4 + Kenagon + https://github.com/Kenagon + + + https://avatars.githubusercontent.com/u/48729601?s=40&v=4 + tfurci + https://github.com/tfurci + + + + + levihsu/OOTDiffusion + https://github.com/levihsu/OOTDiffusion + Official implementation of OOTDiffusion: Outfitting Fusion based Latent Diffusion for Controllable Virtual Try-on + https://github.com/levihsu/OOTDiffusion + Python + #3572A5 + 5,686 + 822 + 25 + + + https://avatars.githubusercontent.com/u/33045876?s=40&v=4 + levihsu + https://github.com/levihsu + + + https://avatars.githubusercontent.com/u/94307536?s=40&v=4 + T-Gu + https://github.com/T-Gu + + + https://avatars.githubusercontent.com/u/16463912?s=40&v=4 + ShineChen1024 + https://github.com/ShineChen1024 + + + \ No newline at end of file diff --git a/data/daily/q#.json b/data/daily/q#.json index 5a47f13ba254..181724f0a4dd 100644 --- a/data/daily/q#.json +++ b/data/daily/q#.json @@ -2,6 +2,6 @@ "title": "GitHub Q# Languages Daily Trending", "description": "Daily Trending of Q# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/q#.xml b/data/daily/q#.xml index 4bb4f7134f2e..29e27bde76f0 100644 --- a/data/daily/q#.xml +++ b/data/daily/q#.xml @@ -3,6 +3,6 @@ GitHub Q# Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Q# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/q.json b/data/daily/q.json index 5693f1fe5c67..2673b5df33fc 100644 --- a/data/daily/q.json +++ b/data/daily/q.json @@ -2,6 +2,6 @@ "title": "GitHub Q Languages Daily Trending", "description": "Daily Trending of Q Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/q.xml b/data/daily/q.xml index 699586c9b876..fdc164b3ced0 100644 --- a/data/daily/q.xml +++ b/data/daily/q.xml @@ -3,6 +3,6 @@ GitHub Q Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Q Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/qmake.json b/data/daily/qmake.json index 29fb2a5d33c3..885fcd3c441f 100644 --- a/data/daily/qmake.json +++ b/data/daily/qmake.json @@ -2,6 +2,6 @@ "title": "GitHub Qmake Languages Daily Trending", "description": "Daily Trending of Qmake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/qmake.xml b/data/daily/qmake.xml index 54484f06adf2..c5092bf63a74 100644 --- a/data/daily/qmake.xml +++ b/data/daily/qmake.xml @@ -3,6 +3,6 @@ GitHub Qmake Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Qmake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/qml.json b/data/daily/qml.json index e6356af9cc71..78792c23c3c9 100644 --- a/data/daily/qml.json +++ b/data/daily/qml.json @@ -2,8 +2,35 @@ "title": "GitHub Qml Languages Daily Trending", "description": "Daily Trending of Qml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "luisbocanegra/plasma-panel-colorizer", + "url": "https://github.com/luisbocanegra/plasma-panel-colorizer", + "description": "Latte-Dock and WM status bar customization features for the default KDE Plasma panel", + "language": "QML", + "languageColor": "#44a51c", + "stars": "235", + "forks": "3", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/15076387?s=40&v=4", + "name": "luisbocanegra", + "url": "https://github.com/luisbocanegra" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/44377258?s=40&v=4", + "name": "HeitorAugustoLN", + "url": "https://github.com/HeitorAugustoLN" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/179065853?s=40&v=4", + "name": "TheGreatNord", + "url": "https://github.com/TheGreatNord" + } + ] + }, { "title": "catsout/wallpaper-engine-kde-plugin", "url": "https://github.com/catsout/wallpaper-engine-kde-plugin", @@ -11,7 +38,7 @@ "language": "QML", "languageColor": "#44a51c", "stars": "1,822", - "forks": "76", + "forks": "77", "addStars": "1", "contributors": [ { @@ -40,43 +67,6 @@ "url": "https://github.com/davitz" } ] - }, - { - "title": "Swordfish90/cool-retro-term", - "url": "https://github.com/Swordfish90/cool-retro-term", - "description": "A good looking terminal emulator which mimics the old cathode display...", - "language": "QML", - "languageColor": "#44a51c", - "stars": "22,399", - "forks": "854", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6009070?s=40&v=4", - "name": "Swordfish90", - "url": "https://github.com/Swordfish90" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2480569?s=40&v=4", - "name": "probonopd", - "url": "https://github.com/probonopd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3259076?s=40&v=4", - "name": "KAMiKAZOW", - "url": "https://github.com/KAMiKAZOW" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14829816?s=40&v=4", - "name": "kz6fittycent", - "url": "https://github.com/kz6fittycent" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/184714?s=40&v=4", - "name": "rbanffy", - "url": "https://github.com/rbanffy" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/qml.xml b/data/daily/qml.xml index f3cc204b6a97..c5e6c1f01644 100644 --- a/data/daily/qml.xml +++ b/data/daily/qml.xml @@ -3,7 +3,35 @@ GitHub Qml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Qml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + luisbocanegra/plasma-panel-colorizer + https://github.com/luisbocanegra/plasma-panel-colorizer + Latte-Dock and WM status bar customization features for the default KDE Plasma panel + https://github.com/luisbocanegra/plasma-panel-colorizer + QML + #44a51c + 235 + 3 + 1 + + + https://avatars.githubusercontent.com/u/15076387?s=40&v=4 + luisbocanegra + https://github.com/luisbocanegra + + + https://avatars.githubusercontent.com/u/44377258?s=40&v=4 + HeitorAugustoLN + https://github.com/HeitorAugustoLN + + + https://avatars.githubusercontent.com/u/179065853?s=40&v=4 + TheGreatNord + https://github.com/TheGreatNord + + + catsout/wallpaper-engine-kde-plugin https://github.com/catsout/wallpaper-engine-kde-plugin @@ -12,7 +40,7 @@ QML #44a51c 1,822 - 76 + 77 1 @@ -42,43 +70,5 @@ - - Swordfish90/cool-retro-term - https://github.com/Swordfish90/cool-retro-term - A good looking terminal emulator which mimics the old cathode display... - https://github.com/Swordfish90/cool-retro-term - QML - #44a51c - 22,399 - 854 - 2 - - - https://avatars.githubusercontent.com/u/6009070?s=40&v=4 - Swordfish90 - https://github.com/Swordfish90 - - - https://avatars.githubusercontent.com/u/2480569?s=40&v=4 - probonopd - https://github.com/probonopd - - - https://avatars.githubusercontent.com/u/3259076?s=40&v=4 - KAMiKAZOW - https://github.com/KAMiKAZOW - - - https://avatars.githubusercontent.com/u/14829816?s=40&v=4 - kz6fittycent - https://github.com/kz6fittycent - - - https://avatars.githubusercontent.com/u/184714?s=40&v=4 - rbanffy - https://github.com/rbanffy - - - \ No newline at end of file diff --git a/data/daily/qt-script.json b/data/daily/qt-script.json index 6f2ac12f3655..4082a6f78fb2 100644 --- a/data/daily/qt-script.json +++ b/data/daily/qt-script.json @@ -2,6 +2,6 @@ "title": "GitHub Qt-script Languages Daily Trending", "description": "Daily Trending of Qt-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/qt-script.xml b/data/daily/qt-script.xml index 46c65fa0a425..b6cd4352d9ac 100644 --- a/data/daily/qt-script.xml +++ b/data/daily/qt-script.xml @@ -3,6 +3,6 @@ GitHub Qt-script Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Qt-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/quake.json b/data/daily/quake.json index 3fc34b481972..b64420f7ac21 100644 --- a/data/daily/quake.json +++ b/data/daily/quake.json @@ -2,6 +2,6 @@ "title": "GitHub Quake Languages Daily Trending", "description": "Daily Trending of Quake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/quake.xml b/data/daily/quake.xml index b8c3329d8b55..50348994e768 100644 --- a/data/daily/quake.xml +++ b/data/daily/quake.xml @@ -3,6 +3,6 @@ GitHub Quake Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Quake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/r.json b/data/daily/r.json index 805586719f4c..002ec71db083 100644 --- a/data/daily/r.json +++ b/data/daily/r.json @@ -2,8 +2,45 @@ "title": "GitHub R Languages Daily Trending", "description": "Daily Trending of R Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "tidyverse/ggplot2", + "url": "https://github.com/tidyverse/ggplot2", + "description": "An implementation of the Grammar of Graphics in R", + "language": "R", + "languageColor": "#198CE7", + "stars": "6,537", + "forks": "2,031", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4196?s=40&v=4", + "name": "hadley", + "url": "https://github.com/hadley" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/49372158?s=40&v=4", + "name": "teunbrand", + "url": "https://github.com/teunbrand" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86978?s=40&v=4", + "name": "wch", + "url": "https://github.com/wch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1775316?s=40&v=4", + "name": "thomasp85", + "url": "https://github.com/thomasp85" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/227097?s=40&v=4", + "name": "kohske", + "url": "https://github.com/kohske" + } + ] + }, { "title": "stuart-lab/signac", "url": "https://github.com/stuart-lab/signac", @@ -41,65 +78,6 @@ } ] }, - { - "title": "rdpeng/ProgrammingAssignment2", - "url": "https://github.com/rdpeng/ProgrammingAssignment2", - "description": "Repository for Programming Assignment 2 for R Programming on Coursera", - "language": "R", - "languageColor": "#198CE7", - "stars": "844", - "forks": "143,641", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9612?s=40&v=4", - "name": "rdpeng", - "url": "https://github.com/rdpeng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1340713?s=40&v=4", - "name": "gustavdelius", - "url": "https://github.com/gustavdelius" - } - ] - }, - { - "title": "rstudio/rmarkdown", - "url": "https://github.com/rstudio/rmarkdown", - "description": "Dynamic Documents for R", - "language": "R", - "languageColor": "#198CE7", - "stars": "2,884", - "forks": "979", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/104391?s=40&v=4", - "name": "jjallaire", - "url": "https://github.com/jjallaire" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/163582?s=40&v=4", - "name": "yihui", - "url": "https://github.com/yihui" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6791940?s=40&v=4", - "name": "cderv", - "url": "https://github.com/cderv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/470418?s=40&v=4", - "name": "jmcphers", - "url": "https://github.com/jmcphers" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3478847?s=40&v=4", - "name": "javierluraschi", - "url": "https://github.com/javierluraschi" - } - ] - }, { "title": "r-lib/tree-sitter-r", "url": "https://github.com/r-lib/tree-sitter-r", @@ -138,88 +116,51 @@ ] }, { - "title": "RConsortium/S7", - "url": "https://github.com/RConsortium/S7", - "description": "S7: a new OO system for R", + "title": "rstudio/keras3", + "url": "https://github.com/rstudio/keras3", + "description": "R Interface to Keras", "language": "R", "languageColor": "#198CE7", - "stars": "410", - "forks": "38", - "addStars": "2", + "stars": "839", + "forks": "282", + "addStars": "1", "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4196?s=40&v=4", - "name": "hadley", - "url": "https://github.com/hadley" - }, { "avatar": "https://avatars.githubusercontent.com/u/8462255?s=40&v=4", "name": "t-kalinowski", "url": "https://github.com/t-kalinowski" }, { - "avatar": "https://avatars.githubusercontent.com/u/205275?s=40&v=4", - "name": "jimhester", - "url": "https://github.com/jimhester" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/158190?s=40&v=4", - "name": "lawremi", - "url": "https://github.com/lawremi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" - } - ] - }, - { - "title": "prisma-flowdiagram/PRISMA2020", - "url": "https://github.com/prisma-flowdiagram/PRISMA2020", - "description": "Produce PRISMA-2020 compliant flow diagrams", - "language": "R", - "languageColor": "#198CE7", - "stars": "144", - "forks": "71", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1839074?s=40&v=4", - "name": "chriscpritchard", - "url": "https://github.com/chriscpritchard" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/104391?s=40&v=4", + "name": "jjallaire", + "url": "https://github.com/jjallaire" }, { - "avatar": "https://avatars.githubusercontent.com/u/43783900?s=40&v=4", - "name": "nealhaddaway", - "url": "https://github.com/nealhaddaway" + "avatar": "https://avatars.githubusercontent.com/u/4706822?s=40&v=4", + "name": "dfalbel", + "url": "https://github.com/dfalbel" }, { - "avatar": "https://avatars.githubusercontent.com/u/41202585?s=40&v=4", - "name": "mcguinlu", - "url": "https://github.com/mcguinlu" + "avatar": "https://avatars.githubusercontent.com/u/3478847?s=40&v=4", + "name": "javierluraschi", + "url": "https://github.com/javierluraschi" }, { - "avatar": "https://avatars.githubusercontent.com/u/855847?s=40&v=4", - "name": "hammady", - "url": "https://github.com/hammady" + "avatar": "https://avatars.githubusercontent.com/u/38523699?s=40&v=4", + "name": "turgut090", + "url": "https://github.com/turgut090" } ] }, { - "title": "tidyverse/ggplot2", - "url": "https://github.com/tidyverse/ggplot2", - "description": "An implementation of the Grammar of Graphics in R", + "title": "hadley/r4ds", + "url": "https://github.com/hadley/r4ds", + "description": "R for data science: a book", "language": "R", "languageColor": "#198CE7", - "stars": "6,536", - "forks": "2,031", - "addStars": "6", + "stars": "4,586", + "forks": "4,218", + "addStars": "1", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/4196?s=40&v=4", @@ -227,24 +168,24 @@ "url": "https://github.com/hadley" }, { - "avatar": "https://avatars.githubusercontent.com/u/49372158?s=40&v=4", - "name": "teunbrand", - "url": "https://github.com/teunbrand" + "avatar": "https://avatars.githubusercontent.com/u/5965649?s=40&v=4", + "name": "mine-cetinkaya-rundel", + "url": "https://github.com/mine-cetinkaya-rundel" }, { - "avatar": "https://avatars.githubusercontent.com/u/86978?s=40&v=4", - "name": "wch", - "url": "https://github.com/wch" + "avatar": "https://avatars.githubusercontent.com/u/13338672?s=40&v=4", + "name": "sibusiso16", + "url": "https://github.com/sibusiso16" }, { - "avatar": "https://avatars.githubusercontent.com/u/1775316?s=40&v=4", - "name": "thomasp85", - "url": "https://github.com/thomasp85" + "avatar": "https://avatars.githubusercontent.com/u/85014?s=40&v=4", + "name": "garrettgman", + "url": "https://github.com/garrettgman" }, { - "avatar": "https://avatars.githubusercontent.com/u/227097?s=40&v=4", - "name": "kohske", - "url": "https://github.com/kohske" + "avatar": "https://avatars.githubusercontent.com/u/15931497?s=40&v=4", + "name": "behrman", + "url": "https://github.com/behrman" } ] } diff --git a/data/daily/r.xml b/data/daily/r.xml index 8967c5fefd60..4ad0fa65086c 100644 --- a/data/daily/r.xml +++ b/data/daily/r.xml @@ -3,7 +3,45 @@ GitHub R Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of R Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + tidyverse/ggplot2 + https://github.com/tidyverse/ggplot2 + An implementation of the Grammar of Graphics in R + https://github.com/tidyverse/ggplot2 + R + #198CE7 + 6,537 + 2,031 + 6 + + + https://avatars.githubusercontent.com/u/4196?s=40&v=4 + hadley + https://github.com/hadley + + + https://avatars.githubusercontent.com/u/49372158?s=40&v=4 + teunbrand + https://github.com/teunbrand + + + https://avatars.githubusercontent.com/u/86978?s=40&v=4 + wch + https://github.com/wch + + + https://avatars.githubusercontent.com/u/1775316?s=40&v=4 + thomasp85 + https://github.com/thomasp85 + + + https://avatars.githubusercontent.com/u/227097?s=40&v=4 + kohske + https://github.com/kohske + + + stuart-lab/signac https://github.com/stuart-lab/signac @@ -42,67 +80,6 @@ - - rdpeng/ProgrammingAssignment2 - https://github.com/rdpeng/ProgrammingAssignment2 - Repository for Programming Assignment 2 for R Programming on Coursera - https://github.com/rdpeng/ProgrammingAssignment2 - R - #198CE7 - 844 - 143,641 - 0 - - - https://avatars.githubusercontent.com/u/9612?s=40&v=4 - rdpeng - https://github.com/rdpeng - - - https://avatars.githubusercontent.com/u/1340713?s=40&v=4 - gustavdelius - https://github.com/gustavdelius - - - - - rstudio/rmarkdown - https://github.com/rstudio/rmarkdown - Dynamic Documents for R - https://github.com/rstudio/rmarkdown - R - #198CE7 - 2,884 - 979 - 0 - - - https://avatars.githubusercontent.com/u/104391?s=40&v=4 - jjallaire - https://github.com/jjallaire - - - https://avatars.githubusercontent.com/u/163582?s=40&v=4 - yihui - https://github.com/yihui - - - https://avatars.githubusercontent.com/u/6791940?s=40&v=4 - cderv - https://github.com/cderv - - - https://avatars.githubusercontent.com/u/470418?s=40&v=4 - jmcphers - https://github.com/jmcphers - - - https://avatars.githubusercontent.com/u/3478847?s=40&v=4 - javierluraschi - https://github.com/javierluraschi - - - r-lib/tree-sitter-r https://github.com/r-lib/tree-sitter-r @@ -142,91 +119,53 @@ - RConsortium/S7 - https://github.com/RConsortium/S7 - S7: a new OO system for R - https://github.com/RConsortium/S7 + rstudio/keras3 + https://github.com/rstudio/keras3 + R Interface to Keras + https://github.com/rstudio/keras3 R #198CE7 - 410 - 38 - 2 + 839 + 282 + 1 - - https://avatars.githubusercontent.com/u/4196?s=40&v=4 - hadley - https://github.com/hadley - https://avatars.githubusercontent.com/u/8462255?s=40&v=4 t-kalinowski https://github.com/t-kalinowski - https://avatars.githubusercontent.com/u/205275?s=40&v=4 - jimhester - https://github.com/jimhester - - - https://avatars.githubusercontent.com/u/158190?s=40&v=4 - lawremi - https://github.com/lawremi - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - - - - prisma-flowdiagram/PRISMA2020 - https://github.com/prisma-flowdiagram/PRISMA2020 - Produce PRISMA-2020 compliant flow diagrams - https://github.com/prisma-flowdiagram/PRISMA2020 - R - #198CE7 - 144 - 71 - 1 - - - https://avatars.githubusercontent.com/u/1839074?s=40&v=4 - chriscpritchard - https://github.com/chriscpritchard - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/104391?s=40&v=4 + jjallaire + https://github.com/jjallaire - https://avatars.githubusercontent.com/u/43783900?s=40&v=4 - nealhaddaway - https://github.com/nealhaddaway + https://avatars.githubusercontent.com/u/4706822?s=40&v=4 + dfalbel + https://github.com/dfalbel - https://avatars.githubusercontent.com/u/41202585?s=40&v=4 - mcguinlu - https://github.com/mcguinlu + https://avatars.githubusercontent.com/u/3478847?s=40&v=4 + javierluraschi + https://github.com/javierluraschi - https://avatars.githubusercontent.com/u/855847?s=40&v=4 - hammady - https://github.com/hammady + https://avatars.githubusercontent.com/u/38523699?s=40&v=4 + turgut090 + https://github.com/turgut090 - tidyverse/ggplot2 - https://github.com/tidyverse/ggplot2 - An implementation of the Grammar of Graphics in R - https://github.com/tidyverse/ggplot2 + hadley/r4ds + https://github.com/hadley/r4ds + R for data science: a book + https://github.com/hadley/r4ds R #198CE7 - 6,536 - 2,031 - 6 + 4,586 + 4,218 + 1 https://avatars.githubusercontent.com/u/4196?s=40&v=4 @@ -234,24 +173,24 @@ https://github.com/hadley - https://avatars.githubusercontent.com/u/49372158?s=40&v=4 - teunbrand - https://github.com/teunbrand + https://avatars.githubusercontent.com/u/5965649?s=40&v=4 + mine-cetinkaya-rundel + https://github.com/mine-cetinkaya-rundel - https://avatars.githubusercontent.com/u/86978?s=40&v=4 - wch - https://github.com/wch + https://avatars.githubusercontent.com/u/13338672?s=40&v=4 + sibusiso16 + https://github.com/sibusiso16 - https://avatars.githubusercontent.com/u/1775316?s=40&v=4 - thomasp85 - https://github.com/thomasp85 + https://avatars.githubusercontent.com/u/85014?s=40&v=4 + garrettgman + https://github.com/garrettgman - https://avatars.githubusercontent.com/u/227097?s=40&v=4 - kohske - https://github.com/kohske + https://avatars.githubusercontent.com/u/15931497?s=40&v=4 + behrman + https://github.com/behrman diff --git a/data/daily/racket.json b/data/daily/racket.json index 74d165b7c2a0..44523c4e2658 100644 --- a/data/daily/racket.json +++ b/data/daily/racket.json @@ -2,44 +2,6 @@ "title": "GitHub Racket Languages Daily Trending", "description": "Daily Trending of Racket Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "racket/racket", - "url": "https://github.com/racket/racket", - "description": "The Racket repository", - "language": "Racket", - "languageColor": "#3c5caa", - "stars": "4,823", - "forks": "661", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/295034?s=40&v=4", - "name": "mflatt", - "url": "https://github.com/mflatt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/185905?s=40&v=4", - "name": "elibarzilay", - "url": "https://github.com/elibarzilay" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/399024?s=40&v=4", - "name": "rfindler", - "url": "https://github.com/rfindler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/244723?s=40&v=4", - "name": "samth", - "url": "https://github.com/samth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/232698?s=40&v=4", - "name": "jeapostrophe", - "url": "https://github.com/jeapostrophe" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/racket.xml b/data/daily/racket.xml index c4dfdc0faeff..10df598d5324 100644 --- a/data/daily/racket.xml +++ b/data/daily/racket.xml @@ -3,44 +3,6 @@ GitHub Racket Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Racket Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - racket/racket - https://github.com/racket/racket - The Racket repository - https://github.com/racket/racket - Racket - #3c5caa - 4,823 - 661 - 2 - - - https://avatars.githubusercontent.com/u/295034?s=40&v=4 - mflatt - https://github.com/mflatt - - - https://avatars.githubusercontent.com/u/185905?s=40&v=4 - elibarzilay - https://github.com/elibarzilay - - - https://avatars.githubusercontent.com/u/399024?s=40&v=4 - rfindler - https://github.com/rfindler - - - https://avatars.githubusercontent.com/u/244723?s=40&v=4 - samth - https://github.com/samth - - - https://avatars.githubusercontent.com/u/232698?s=40&v=4 - jeapostrophe - https://github.com/jeapostrophe - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ragel.json b/data/daily/ragel.json index 5767ed8f039d..8004b8173ec9 100644 --- a/data/daily/ragel.json +++ b/data/daily/ragel.json @@ -2,6 +2,6 @@ "title": "GitHub Ragel Languages Daily Trending", "description": "Daily Trending of Ragel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ragel.xml b/data/daily/ragel.xml index ab8e5443f23f..900d15f05fc3 100644 --- a/data/daily/ragel.xml +++ b/data/daily/ragel.xml @@ -3,6 +3,6 @@ GitHub Ragel Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ragel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/raku.json b/data/daily/raku.json index 44c51ba0baa9..730b3013e548 100644 --- a/data/daily/raku.json +++ b/data/daily/raku.json @@ -2,6 +2,44 @@ "title": "GitHub Raku Languages Daily Trending", "description": "Daily Trending of Raku Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "Kong/lua-kong-nginx-module", + "url": "https://github.com/Kong/lua-kong-nginx-module", + "description": "Nginx C module to allow deeper control of Nginx behaviors by Kong Lua code", + "language": "Raku", + "languageColor": "#0000fb", + "stars": "32", + "forks": "19", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1131072?s=40&v=4", + "name": "dndx", + "url": "https://github.com/dndx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3711407?s=40&v=4", + "name": "chronolaw", + "url": "https://github.com/chronolaw" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/44437200?s=40&v=4", + "name": "ADD-SP", + "url": "https://github.com/ADD-SP" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2135445?s=40&v=4", + "name": "fffonion", + "url": "https://github.com/fffonion" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38037704?s=40&v=4", + "name": "catbro666", + "url": "https://github.com/catbro666" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/raku.xml b/data/daily/raku.xml index 344a6f0b8197..a526c09db098 100644 --- a/data/daily/raku.xml +++ b/data/daily/raku.xml @@ -3,6 +3,44 @@ GitHub Raku Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Raku Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + Kong/lua-kong-nginx-module + https://github.com/Kong/lua-kong-nginx-module + Nginx C module to allow deeper control of Nginx behaviors by Kong Lua code + https://github.com/Kong/lua-kong-nginx-module + Raku + #0000fb + 32 + 19 + 0 + + + https://avatars.githubusercontent.com/u/1131072?s=40&v=4 + dndx + https://github.com/dndx + + + https://avatars.githubusercontent.com/u/3711407?s=40&v=4 + chronolaw + https://github.com/chronolaw + + + https://avatars.githubusercontent.com/u/44437200?s=40&v=4 + ADD-SP + https://github.com/ADD-SP + + + https://avatars.githubusercontent.com/u/2135445?s=40&v=4 + fffonion + https://github.com/fffonion + + + https://avatars.githubusercontent.com/u/38037704?s=40&v=4 + catbro666 + https://github.com/catbro666 + + + \ No newline at end of file diff --git a/data/daily/raml.json b/data/daily/raml.json index 729004a49f56..6b16d3df677a 100644 --- a/data/daily/raml.json +++ b/data/daily/raml.json @@ -2,6 +2,6 @@ "title": "GitHub Raml Languages Daily Trending", "description": "Daily Trending of Raml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/raml.xml b/data/daily/raml.xml index 79c9d5d2a260..2ed385aa0c2b 100644 --- a/data/daily/raml.xml +++ b/data/daily/raml.xml @@ -3,6 +3,6 @@ GitHub Raml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Raml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rascal.json b/data/daily/rascal.json index cff183906f25..ff59fc2540a9 100644 --- a/data/daily/rascal.json +++ b/data/daily/rascal.json @@ -2,6 +2,6 @@ "title": "GitHub Rascal Languages Daily Trending", "description": "Daily Trending of Rascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rascal.xml b/data/daily/rascal.xml index ef1f87c6e580..0941a115369c 100644 --- a/data/daily/rascal.xml +++ b/data/daily/rascal.xml @@ -3,6 +3,6 @@ GitHub Rascal Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/raw-token-data.json b/data/daily/raw-token-data.json index e5f10b4c67c9..20493917b59b 100644 --- a/data/daily/raw-token-data.json +++ b/data/daily/raw-token-data.json @@ -2,6 +2,6 @@ "title": "GitHub Raw-token-data Languages Daily Trending", "description": "Daily Trending of Raw-token-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/raw-token-data.xml b/data/daily/raw-token-data.xml index 146b40c00bb1..c4eb6757c7be 100644 --- a/data/daily/raw-token-data.xml +++ b/data/daily/raw-token-data.xml @@ -3,6 +3,6 @@ GitHub Raw-token-data Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Raw-token-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rbs.json b/data/daily/rbs.json index 1c3445d433e6..ed5a6e1a1e76 100644 --- a/data/daily/rbs.json +++ b/data/daily/rbs.json @@ -2,6 +2,6 @@ "title": "GitHub Rbs Languages Daily Trending", "description": "Daily Trending of Rbs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rbs.xml b/data/daily/rbs.xml index fd8f94cc2697..23cef0c1c811 100644 --- a/data/daily/rbs.xml +++ b/data/daily/rbs.xml @@ -3,6 +3,6 @@ GitHub Rbs Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rbs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rdoc.json b/data/daily/rdoc.json index c507cf414724..17a98aefed8c 100644 --- a/data/daily/rdoc.json +++ b/data/daily/rdoc.json @@ -2,6 +2,6 @@ "title": "GitHub Rdoc Languages Daily Trending", "description": "Daily Trending of Rdoc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rdoc.xml b/data/daily/rdoc.xml index 0db653a46a03..685e2b405da5 100644 --- a/data/daily/rdoc.xml +++ b/data/daily/rdoc.xml @@ -3,6 +3,6 @@ GitHub Rdoc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rdoc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/readline-config.json b/data/daily/readline-config.json index 45bf16eeed5e..3d7961ee7e4a 100644 --- a/data/daily/readline-config.json +++ b/data/daily/readline-config.json @@ -2,6 +2,6 @@ "title": "GitHub Readline-config Languages Daily Trending", "description": "Daily Trending of Readline-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/readline-config.xml b/data/daily/readline-config.xml index f30ddb01fbe7..20ee9fd146af 100644 --- a/data/daily/readline-config.xml +++ b/data/daily/readline-config.xml @@ -3,6 +3,6 @@ GitHub Readline-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Readline-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/realbasic.json b/data/daily/realbasic.json index 4c3e6b11acf7..f026c936a02f 100644 --- a/data/daily/realbasic.json +++ b/data/daily/realbasic.json @@ -2,6 +2,6 @@ "title": "GitHub Realbasic Languages Daily Trending", "description": "Daily Trending of Realbasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/realbasic.xml b/data/daily/realbasic.xml index 2ae9f7ead17f..31ecadfaf5d3 100644 --- a/data/daily/realbasic.xml +++ b/data/daily/realbasic.xml @@ -3,6 +3,6 @@ GitHub Realbasic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Realbasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/reason.json b/data/daily/reason.json index e969afe4ab9d..10a30a62c98b 100644 --- a/data/daily/reason.json +++ b/data/daily/reason.json @@ -2,6 +2,6 @@ "title": "GitHub Reason Languages Daily Trending", "description": "Daily Trending of Reason Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/reason.xml b/data/daily/reason.xml index 8a5d3715a87e..a49f3749c0b5 100644 --- a/data/daily/reason.xml +++ b/data/daily/reason.xml @@ -3,6 +3,6 @@ GitHub Reason Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Reason Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/reasonligo.json b/data/daily/reasonligo.json index 53ce11ecdb77..e11dc34be180 100644 --- a/data/daily/reasonligo.json +++ b/data/daily/reasonligo.json @@ -2,6 +2,6 @@ "title": "GitHub Reasonligo Languages Daily Trending", "description": "Daily Trending of Reasonligo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/reasonligo.xml b/data/daily/reasonligo.xml index 8b9201b7db9d..0e547aa39586 100644 --- a/data/daily/reasonligo.xml +++ b/data/daily/reasonligo.xml @@ -3,6 +3,6 @@ GitHub Reasonligo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Reasonligo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rebol.json b/data/daily/rebol.json index 4d8a86750470..1a0198a5a08b 100644 --- a/data/daily/rebol.json +++ b/data/daily/rebol.json @@ -2,6 +2,6 @@ "title": "GitHub Rebol Languages Daily Trending", "description": "Daily Trending of Rebol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rebol.xml b/data/daily/rebol.xml index 1a8bbf26c638..e236c7353e90 100644 --- a/data/daily/rebol.xml +++ b/data/daily/rebol.xml @@ -3,6 +3,6 @@ GitHub Rebol Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rebol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/record-jar.json b/data/daily/record-jar.json index 7b675164d872..e34e48914687 100644 --- a/data/daily/record-jar.json +++ b/data/daily/record-jar.json @@ -2,6 +2,6 @@ "title": "GitHub Record-jar Languages Daily Trending", "description": "Daily Trending of Record-jar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/record-jar.xml b/data/daily/record-jar.xml index 177745d52657..565fd83f584e 100644 --- a/data/daily/record-jar.xml +++ b/data/daily/record-jar.xml @@ -3,6 +3,6 @@ GitHub Record-jar Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Record-jar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/red.json b/data/daily/red.json index 77c1b289d6e5..99e05f92ad8a 100644 --- a/data/daily/red.json +++ b/data/daily/red.json @@ -2,6 +2,6 @@ "title": "GitHub Red Languages Daily Trending", "description": "Daily Trending of Red Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/red.xml b/data/daily/red.xml index cc6d55f5cf23..4233e1f45948 100644 --- a/data/daily/red.xml +++ b/data/daily/red.xml @@ -3,6 +3,6 @@ GitHub Red Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Red Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/redcode.json b/data/daily/redcode.json index da5e30fe89b8..4e9f6bdf2030 100644 --- a/data/daily/redcode.json +++ b/data/daily/redcode.json @@ -2,6 +2,6 @@ "title": "GitHub Redcode Languages Daily Trending", "description": "Daily Trending of Redcode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/redcode.xml b/data/daily/redcode.xml index 516cca94b02c..0ca01f9edb34 100644 --- a/data/daily/redcode.xml +++ b/data/daily/redcode.xml @@ -3,6 +3,6 @@ GitHub Redcode Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Redcode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/redirect-rules.json b/data/daily/redirect-rules.json index b5b344d04bd4..0951bebe1104 100644 --- a/data/daily/redirect-rules.json +++ b/data/daily/redirect-rules.json @@ -2,6 +2,6 @@ "title": "GitHub Redirect-rules Languages Daily Trending", "description": "Daily Trending of Redirect-rules Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/redirect-rules.xml b/data/daily/redirect-rules.xml index 998fcc32ef40..6442535bb603 100644 --- a/data/daily/redirect-rules.xml +++ b/data/daily/redirect-rules.xml @@ -3,6 +3,6 @@ GitHub Redirect-rules Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Redirect-rules Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/regular-expression.json b/data/daily/regular-expression.json index 44488a68cd55..fc6356616245 100644 --- a/data/daily/regular-expression.json +++ b/data/daily/regular-expression.json @@ -2,6 +2,6 @@ "title": "GitHub Regular-expression Languages Daily Trending", "description": "Daily Trending of Regular-expression Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/regular-expression.xml b/data/daily/regular-expression.xml index 1334a2c8fd85..cc59927c9edf 100644 --- a/data/daily/regular-expression.xml +++ b/data/daily/regular-expression.xml @@ -3,6 +3,6 @@ GitHub Regular-expression Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Regular-expression Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ren'py.json b/data/daily/ren'py.json index bc9b89a2416f..fe2430599915 100644 --- a/data/daily/ren'py.json +++ b/data/daily/ren'py.json @@ -2,7 +2,7 @@ "title": "GitHub Ren'py Languages Daily Trending", "description": "Daily Trending of Ren'py Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "renpy/renpy", @@ -84,7 +84,7 @@ "description": "A ren'py script decompiler", "language": "Ren'Py", "languageColor": "#ff7f7f", - "stars": "874", + "stars": "875", "forks": "157", "addStars": "0", "contributors": [ diff --git a/data/daily/ren'py.xml b/data/daily/ren'py.xml index ac9f855bb6c2..6b3633d608c8 100644 --- a/data/daily/ren'py.xml +++ b/data/daily/ren'py.xml @@ -3,7 +3,7 @@ GitHub Ren'py Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ren'py Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT renpy/renpy https://github.com/renpy/renpy @@ -87,7 +87,7 @@ https://github.com/CensoredUsername/unrpyc Ren'Py #ff7f7f - 874 + 875 157 0 diff --git a/data/daily/renderscript.json b/data/daily/renderscript.json index 3ebc2c402a51..8c54b905399d 100644 --- a/data/daily/renderscript.json +++ b/data/daily/renderscript.json @@ -2,6 +2,6 @@ "title": "GitHub Renderscript Languages Daily Trending", "description": "Daily Trending of Renderscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/renderscript.xml b/data/daily/renderscript.xml index 16986f029050..62ed7d9e03a0 100644 --- a/data/daily/renderscript.xml +++ b/data/daily/renderscript.xml @@ -3,6 +3,6 @@ GitHub Renderscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Renderscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rescript.json b/data/daily/rescript.json index d313de1f6bc3..dad425641a97 100644 --- a/data/daily/rescript.json +++ b/data/daily/rescript.json @@ -2,76 +2,6 @@ "title": "GitHub Rescript Languages Daily Trending", "description": "Daily Trending of Rescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "rescript-lang/rescript", - "url": "https://github.com/rescript-lang/rescript", - "description": "ReScript is a robustly typed language that compiles to efficient and human-readable JavaScript.", - "language": "ReScript", - "languageColor": "#ed5051", - "stars": "6,762", - "forks": "450", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/747051?s=40&v=4", - "name": "bobzhang", - "url": "https://github.com/bobzhang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7965335?s=40&v=4", - "name": "cristianoc", - "url": "https://github.com/cristianoc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/591384?s=40&v=4", - "name": "cknitt", - "url": "https://github.com/cknitt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3487?s=40&v=4", - "name": "nico", - "url": "https://github.com/nico" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1909539?s=40&v=4", - "name": "chenglou", - "url": "https://github.com/chenglou" - } - ] - }, - { - "title": "juspay/hyperswitch-control-center", - "url": "https://github.com/juspay/hyperswitch-control-center", - "description": "Open sourced control center for managing Hyperswitch - an open sourced payment switch.", - "language": "ReScript", - "languageColor": "#ed5051", - "stars": "34", - "forks": "27", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/120017870?s=40&v=4", - "name": "JeevaRamu0104", - "url": "https://github.com/JeevaRamu0104" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50551695?s=40&v=4", - "name": "Riddhiagrawal001", - "url": "https://github.com/Riddhiagrawal001" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/126486299?s=40&v=4", - "name": "sagarnaikjuspay", - "url": "https://github.com/sagarnaikjuspay" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/96485413?s=40&v=4", - "name": "gitanjli525", - "url": "https://github.com/gitanjli525" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/rescript.xml b/data/daily/rescript.xml index 80f043cebf68..3896098a7237 100644 --- a/data/daily/rescript.xml +++ b/data/daily/rescript.xml @@ -3,77 +3,6 @@ GitHub Rescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - rescript-lang/rescript - https://github.com/rescript-lang/rescript - ReScript is a robustly typed language that compiles to efficient and human-readable JavaScript. - https://github.com/rescript-lang/rescript - ReScript - #ed5051 - 6,762 - 450 - 1 - - - https://avatars.githubusercontent.com/u/747051?s=40&v=4 - bobzhang - https://github.com/bobzhang - - - https://avatars.githubusercontent.com/u/7965335?s=40&v=4 - cristianoc - https://github.com/cristianoc - - - https://avatars.githubusercontent.com/u/591384?s=40&v=4 - cknitt - https://github.com/cknitt - - - https://avatars.githubusercontent.com/u/3487?s=40&v=4 - nico - https://github.com/nico - - - https://avatars.githubusercontent.com/u/1909539?s=40&v=4 - chenglou - https://github.com/chenglou - - - - - juspay/hyperswitch-control-center - https://github.com/juspay/hyperswitch-control-center - Open sourced control center for managing Hyperswitch - an open sourced payment switch. - https://github.com/juspay/hyperswitch-control-center - ReScript - #ed5051 - 34 - 27 - 1 - - - https://avatars.githubusercontent.com/u/120017870?s=40&v=4 - JeevaRamu0104 - https://github.com/JeevaRamu0104 - - - https://avatars.githubusercontent.com/u/50551695?s=40&v=4 - Riddhiagrawal001 - https://github.com/Riddhiagrawal001 - - - https://avatars.githubusercontent.com/u/126486299?s=40&v=4 - sagarnaikjuspay - https://github.com/sagarnaikjuspay - - - https://avatars.githubusercontent.com/u/96485413?s=40&v=4 - gitanjli525 - https://github.com/gitanjli525 - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/restructuredtext.json b/data/daily/restructuredtext.json index 027ac3f8e359..721f0ef9b222 100644 --- a/data/daily/restructuredtext.json +++ b/data/daily/restructuredtext.json @@ -2,7 +2,7 @@ "title": "GitHub Restructuredtext Languages Daily Trending", "description": "Daily Trending of Restructuredtext Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "godotengine/godot-docs", @@ -10,7 +10,7 @@ "description": "Godot Engine official documentation", "language": "reStructuredText", "languageColor": "#141414", - "stars": "3,969", + "stars": "3,971", "forks": "3,229", "addStars": "5", "contributors": [ @@ -40,43 +40,6 @@ "url": "https://github.com/NathanLovato" } ] - }, - { - "title": "odoo/documentation", - "url": "https://github.com/odoo/documentation", - "description": "Odoo documentation sources", - "language": "reStructuredText", - "languageColor": "#141414", - "stars": "751", - "forks": "7,883", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/564822?s=40&v=4", - "name": "mart-e", - "url": "https://github.com/mart-e" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8435879?s=40&v=4", - "name": "tivisse", - "url": "https://github.com/tivisse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12633088?s=40&v=4", - "name": "C3POdoo", - "url": "https://github.com/C3POdoo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15896813?s=40&v=4", - "name": "AntoineVDV", - "url": "https://github.com/AntoineVDV" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73958186?s=40&v=4", - "name": "ksc-odoo", - "url": "https://github.com/ksc-odoo" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/restructuredtext.xml b/data/daily/restructuredtext.xml index 89c8946fd885..88674007e072 100644 --- a/data/daily/restructuredtext.xml +++ b/data/daily/restructuredtext.xml @@ -3,7 +3,7 @@ GitHub Restructuredtext Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Restructuredtext Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT godotengine/godot-docs https://github.com/godotengine/godot-docs @@ -11,7 +11,7 @@ https://github.com/godotengine/godot-docs reStructuredText #141414 - 3,969 + 3,971 3,229 5 @@ -42,43 +42,5 @@ - - odoo/documentation - https://github.com/odoo/documentation - Odoo documentation sources - https://github.com/odoo/documentation - reStructuredText - #141414 - 751 - 7,883 - 0 - - - https://avatars.githubusercontent.com/u/564822?s=40&v=4 - mart-e - https://github.com/mart-e - - - https://avatars.githubusercontent.com/u/8435879?s=40&v=4 - tivisse - https://github.com/tivisse - - - https://avatars.githubusercontent.com/u/12633088?s=40&v=4 - C3POdoo - https://github.com/C3POdoo - - - https://avatars.githubusercontent.com/u/15896813?s=40&v=4 - AntoineVDV - https://github.com/AntoineVDV - - - https://avatars.githubusercontent.com/u/73958186?s=40&v=4 - ksc-odoo - https://github.com/ksc-odoo - - - \ No newline at end of file diff --git a/data/daily/rexx.json b/data/daily/rexx.json index 6ef693451a16..7215cd4100f5 100644 --- a/data/daily/rexx.json +++ b/data/daily/rexx.json @@ -2,6 +2,6 @@ "title": "GitHub Rexx Languages Daily Trending", "description": "Daily Trending of Rexx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rexx.xml b/data/daily/rexx.xml index e8658f002b18..3268b455529e 100644 --- a/data/daily/rexx.xml +++ b/data/daily/rexx.xml @@ -3,6 +3,6 @@ GitHub Rexx Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rexx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rez.json b/data/daily/rez.json index 41f3b65c4921..d9d482fca032 100644 --- a/data/daily/rez.json +++ b/data/daily/rez.json @@ -2,6 +2,6 @@ "title": "GitHub Rez Languages Daily Trending", "description": "Daily Trending of Rez Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rez.xml b/data/daily/rez.xml index 8eb6d749be65..f5af37e64fa7 100644 --- a/data/daily/rez.xml +++ b/data/daily/rez.xml @@ -3,6 +3,6 @@ GitHub Rez Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rez Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rich-text-format.json b/data/daily/rich-text-format.json index 922f7049fe5f..892c5848d932 100644 --- a/data/daily/rich-text-format.json +++ b/data/daily/rich-text-format.json @@ -2,7 +2,7 @@ "title": "GitHub Rich-text-format Languages Daily Trending", "description": "Daily Trending of Rich-text-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "jlord/patchwork", @@ -11,7 +11,7 @@ "language": "Rich Text Format", "languageColor": "#ccc", "stars": "1,119", - "forks": "41,406", + "forks": "41,405", "addStars": "0", "contributors": [ { @@ -40,80 +40,6 @@ "url": "https://github.com/hnhkrch" } ] - }, - { - "title": "Maradonna90/NewGAN-Manager", - "url": "https://github.com/Maradonna90/NewGAN-Manager", - "description": "A tool to generate and manage xml configs for the Newgen Facepack.", - "language": "Rich Text Format", - "languageColor": "#ccc", - "stars": "180", - "forks": "34", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4048848?s=40&v=4", - "name": "Maradonna90", - "url": "https://github.com/Maradonna90" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9654390?s=40&v=4", - "name": "lukeejay", - "url": "https://github.com/lukeejay" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/777508?s=40&v=4", - "name": "pakaufmann", - "url": "https://github.com/pakaufmann" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/748089?s=40&v=4", - "name": "filipebarros", - "url": "https://github.com/filipebarros" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3502751?s=40&v=4", - "name": "jemrobinson", - "url": "https://github.com/jemrobinson" - } - ] - }, - { - "title": "nicehash/NiceHashMiner", - "url": "https://github.com/nicehash/NiceHashMiner", - "description": "NiceHash Miner", - "language": "Rich Text Format", - "languageColor": "#ccc", - "stars": "485", - "forks": "217", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1517233?s=40&v=4", - "name": "S74nk0", - "url": "https://github.com/S74nk0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5328577?s=40&v=4", - "name": "DillonN", - "url": "https://github.com/DillonN" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87410727?s=40&v=4", - "name": "Z1gismundus", - "url": "https://github.com/Z1gismundus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/98818732?s=40&v=4", - "name": "alanH1998", - "url": "https://github.com/alanH1998" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38202823?s=40&v=4", - "name": "luc1an24", - "url": "https://github.com/luc1an24" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/rich-text-format.xml b/data/daily/rich-text-format.xml index 2b3cadfd39e2..fa9cd578018b 100644 --- a/data/daily/rich-text-format.xml +++ b/data/daily/rich-text-format.xml @@ -3,7 +3,7 @@ GitHub Rich-text-format Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rich-text-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT jlord/patchwork https://github.com/jlord/patchwork @@ -12,7 +12,7 @@ Rich Text Format #ccc 1,119 - 41,406 + 41,405 0 @@ -42,81 +42,5 @@ - - Maradonna90/NewGAN-Manager - https://github.com/Maradonna90/NewGAN-Manager - A tool to generate and manage xml configs for the Newgen Facepack. - https://github.com/Maradonna90/NewGAN-Manager - Rich Text Format - #ccc - 180 - 34 - 0 - - - https://avatars.githubusercontent.com/u/4048848?s=40&v=4 - Maradonna90 - https://github.com/Maradonna90 - - - https://avatars.githubusercontent.com/u/9654390?s=40&v=4 - lukeejay - https://github.com/lukeejay - - - https://avatars.githubusercontent.com/u/777508?s=40&v=4 - pakaufmann - https://github.com/pakaufmann - - - https://avatars.githubusercontent.com/u/748089?s=40&v=4 - filipebarros - https://github.com/filipebarros - - - https://avatars.githubusercontent.com/u/3502751?s=40&v=4 - jemrobinson - https://github.com/jemrobinson - - - - - nicehash/NiceHashMiner - https://github.com/nicehash/NiceHashMiner - NiceHash Miner - https://github.com/nicehash/NiceHashMiner - Rich Text Format - #ccc - 485 - 217 - 0 - - - https://avatars.githubusercontent.com/u/1517233?s=40&v=4 - S74nk0 - https://github.com/S74nk0 - - - https://avatars.githubusercontent.com/u/5328577?s=40&v=4 - DillonN - https://github.com/DillonN - - - https://avatars.githubusercontent.com/u/87410727?s=40&v=4 - Z1gismundus - https://github.com/Z1gismundus - - - https://avatars.githubusercontent.com/u/98818732?s=40&v=4 - alanH1998 - https://github.com/alanH1998 - - - https://avatars.githubusercontent.com/u/38202823?s=40&v=4 - luc1an24 - https://github.com/luc1an24 - - - \ No newline at end of file diff --git a/data/daily/ring.json b/data/daily/ring.json index 1127b243e6ed..feb694dc75d9 100644 --- a/data/daily/ring.json +++ b/data/daily/ring.json @@ -2,6 +2,6 @@ "title": "GitHub Ring Languages Daily Trending", "description": "Daily Trending of Ring Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ring.xml b/data/daily/ring.xml index 82facfde9fd1..f8634326ba0f 100644 --- a/data/daily/ring.xml +++ b/data/daily/ring.xml @@ -3,6 +3,6 @@ GitHub Ring Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ring Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/riot.json b/data/daily/riot.json index 04facd4b0117..31dae84d7fbf 100644 --- a/data/daily/riot.json +++ b/data/daily/riot.json @@ -2,6 +2,6 @@ "title": "GitHub Riot Languages Daily Trending", "description": "Daily Trending of Riot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/riot.xml b/data/daily/riot.xml index 7446b1caaf6b..818a3c33cdc3 100644 --- a/data/daily/riot.xml +++ b/data/daily/riot.xml @@ -3,6 +3,6 @@ GitHub Riot Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Riot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rmarkdown.json b/data/daily/rmarkdown.json index 803766251cfa..8d076cc3d3cb 100644 --- a/data/daily/rmarkdown.json +++ b/data/daily/rmarkdown.json @@ -2,6 +2,6 @@ "title": "GitHub Rmarkdown Languages Daily Trending", "description": "Daily Trending of Rmarkdown Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rmarkdown.xml b/data/daily/rmarkdown.xml index 6d5454e142c2..1750a4ef09d9 100644 --- a/data/daily/rmarkdown.xml +++ b/data/daily/rmarkdown.xml @@ -3,6 +3,6 @@ GitHub Rmarkdown Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rmarkdown Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/robotframework.json b/data/daily/robotframework.json index a47283203d8a..a1a83a000e56 100644 --- a/data/daily/robotframework.json +++ b/data/daily/robotframework.json @@ -2,6 +2,6 @@ "title": "GitHub Robotframework Languages Daily Trending", "description": "Daily Trending of Robotframework Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/robotframework.xml b/data/daily/robotframework.xml index 05b615e4ce98..be8b2705868f 100644 --- a/data/daily/robotframework.xml +++ b/data/daily/robotframework.xml @@ -3,6 +3,6 @@ GitHub Robotframework Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Robotframework Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/robots.txt.json b/data/daily/robots.txt.json index 72bd0dce0024..03724037f2f6 100644 --- a/data/daily/robots.txt.json +++ b/data/daily/robots.txt.json @@ -2,6 +2,6 @@ "title": "GitHub Robots.txt Languages Daily Trending", "description": "Daily Trending of Robots.txt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/robots.txt.xml b/data/daily/robots.txt.xml index 12953aed2ac5..4b3d8594d275 100644 --- a/data/daily/robots.txt.xml +++ b/data/daily/robots.txt.xml @@ -3,6 +3,6 @@ GitHub Robots.txt Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Robots.txt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/roc.json b/data/daily/roc.json index 387ab9b59a9e..8bc07b0b6109 100644 --- a/data/daily/roc.json +++ b/data/daily/roc.json @@ -2,6 +2,44 @@ "title": "GitHub Roc Languages Daily Trending", "description": "Daily Trending of Roc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "roc-lang/basic-cli", + "url": "https://github.com/roc-lang/basic-cli", + "description": "A basic Command-Line Interface platform", + "language": "Roc", + "languageColor": "#7c38f5", + "stars": "89", + "forks": "30", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/17049058?s=40&v=4", + "name": "Anton-4", + "url": "https://github.com/Anton-4" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2679227?s=40&v=4", + "name": "lukewilliamboswell", + "url": "https://github.com/lukewilliamboswell" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1094080?s=40&v=4", + "name": "rtfeldman", + "url": "https://github.com/rtfeldman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8334696?s=40&v=4", + "name": "bhansconnect", + "url": "https://github.com/bhansconnect" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1724813?s=40&v=4", + "name": "agu-z", + "url": "https://github.com/agu-z" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/roc.xml b/data/daily/roc.xml index 023db8729e02..0f6ae3d67e90 100644 --- a/data/daily/roc.xml +++ b/data/daily/roc.xml @@ -3,6 +3,44 @@ GitHub Roc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Roc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + roc-lang/basic-cli + https://github.com/roc-lang/basic-cli + A basic Command-Line Interface platform + https://github.com/roc-lang/basic-cli + Roc + #7c38f5 + 89 + 30 + 0 + + + https://avatars.githubusercontent.com/u/17049058?s=40&v=4 + Anton-4 + https://github.com/Anton-4 + + + https://avatars.githubusercontent.com/u/2679227?s=40&v=4 + lukewilliamboswell + https://github.com/lukewilliamboswell + + + https://avatars.githubusercontent.com/u/1094080?s=40&v=4 + rtfeldman + https://github.com/rtfeldman + + + https://avatars.githubusercontent.com/u/8334696?s=40&v=4 + bhansconnect + https://github.com/bhansconnect + + + https://avatars.githubusercontent.com/u/1724813?s=40&v=4 + agu-z + https://github.com/agu-z + + + \ No newline at end of file diff --git a/data/daily/roff-manpage.json b/data/daily/roff-manpage.json index 1620d4d176be..621b90b0b225 100644 --- a/data/daily/roff-manpage.json +++ b/data/daily/roff-manpage.json @@ -2,6 +2,6 @@ "title": "GitHub Roff-manpage Languages Daily Trending", "description": "Daily Trending of Roff-manpage Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/roff-manpage.xml b/data/daily/roff-manpage.xml index 142c5998a3d7..ccb94fad21c9 100644 --- a/data/daily/roff-manpage.xml +++ b/data/daily/roff-manpage.xml @@ -3,6 +3,6 @@ GitHub Roff-manpage Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Roff-manpage Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/roff.json b/data/daily/roff.json index 57a6747a588f..ebac6ee36cbe 100644 --- a/data/daily/roff.json +++ b/data/daily/roff.json @@ -2,7 +2,7 @@ "title": "GitHub Roff Languages Daily Trending", "description": "Daily Trending of Roff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ophub/amlogic-s9xxx-armbian", @@ -10,8 +10,8 @@ "description": "Support for Armbian in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc.", "language": "Roff", "languageColor": "#ecdebe", - "stars": "6,228", - "forks": "1,995", + "stars": "6,230", + "forks": "1,996", "addStars": "6", "contributors": [ { @@ -78,6 +78,28 @@ } ] }, + { + "title": "sidpalas/devops-directive-docker-course", + "url": "https://github.com/sidpalas/devops-directive-docker-course", + "description": "Companion repo for complete Docker course", + "language": "Roff", + "languageColor": "#ecdebe", + "stars": "1,956", + "forks": "1,221", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", + "name": "sidpalas", + "url": "https://github.com/sidpalas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66020208?s=40&v=4", + "name": "mesmith027", + "url": "https://github.com/mesmith027" + } + ] + }, { "title": "Creality3DPrinting/Ender-3", "url": "https://github.com/Creality3DPrinting/Ender-3", @@ -115,72 +137,13 @@ } ] }, - { - "title": "nodenv/node-build", - "url": "https://github.com/nodenv/node-build", - "description": "Install NodeJS versions", - "language": "Roff", - "languageColor": "#ecdebe", - "stars": "271", - "forks": "80", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/119972?s=40&v=4", - "name": "jasonkarns", - "url": "https://github.com/jasonkarns" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12301?s=40&v=4", - "name": "hsbt", - "url": "https://github.com/hsbt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/162862?s=40&v=4", - "name": "minimum2scp", - "url": "https://github.com/minimum2scp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/887?s=40&v=4", - "name": "mislav", - "url": "https://github.com/mislav" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68817010?s=40&v=4", - "name": "nodenv-bot", - "url": "https://github.com/nodenv-bot" - } - ] - }, - { - "title": "sidpalas/devops-directive-docker-course", - "url": "https://github.com/sidpalas/devops-directive-docker-course", - "description": "Companion repo for complete Docker course", - "language": "Roff", - "languageColor": "#ecdebe", - "stars": "1,955", - "forks": "1,220", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", - "name": "sidpalas", - "url": "https://github.com/sidpalas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66020208?s=40&v=4", - "name": "mesmith027", - "url": "https://github.com/mesmith027" - } - ] - }, { "title": "pyenv/pyenv", "url": "https://github.com/pyenv/pyenv", "description": "Simple Python version management", "language": "Roff", "languageColor": "#ecdebe", - "stars": "39,533", + "stars": "39,542", "forks": "3,064", "addStars": "9", "contributors": [ diff --git a/data/daily/roff.xml b/data/daily/roff.xml index dbb8b3a1d350..a43e0470c209 100644 --- a/data/daily/roff.xml +++ b/data/daily/roff.xml @@ -3,7 +3,7 @@ GitHub Roff Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Roff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ophub/amlogic-s9xxx-armbian https://github.com/ophub/amlogic-s9xxx-armbian @@ -11,8 +11,8 @@ https://github.com/ophub/amlogic-s9xxx-armbian Roff #ecdebe - 6,228 - 1,995 + 6,230 + 1,996 6 @@ -80,6 +80,29 @@ + + sidpalas/devops-directive-docker-course + https://github.com/sidpalas/devops-directive-docker-course + Companion repo for complete Docker course + https://github.com/sidpalas/devops-directive-docker-course + Roff + #ecdebe + 1,956 + 1,221 + 3 + + + https://avatars.githubusercontent.com/u/1320389?s=40&v=4 + sidpalas + https://github.com/sidpalas + + + https://avatars.githubusercontent.com/u/66020208?s=40&v=4 + mesmith027 + https://github.com/mesmith027 + + + Creality3DPrinting/Ender-3 https://github.com/Creality3DPrinting/Ender-3 @@ -118,67 +141,6 @@ - - nodenv/node-build - https://github.com/nodenv/node-build - Install NodeJS versions - https://github.com/nodenv/node-build - Roff - #ecdebe - 271 - 80 - 0 - - - https://avatars.githubusercontent.com/u/119972?s=40&v=4 - jasonkarns - https://github.com/jasonkarns - - - https://avatars.githubusercontent.com/u/12301?s=40&v=4 - hsbt - https://github.com/hsbt - - - https://avatars.githubusercontent.com/u/162862?s=40&v=4 - minimum2scp - https://github.com/minimum2scp - - - https://avatars.githubusercontent.com/u/887?s=40&v=4 - mislav - https://github.com/mislav - - - https://avatars.githubusercontent.com/u/68817010?s=40&v=4 - nodenv-bot - https://github.com/nodenv-bot - - - - - sidpalas/devops-directive-docker-course - https://github.com/sidpalas/devops-directive-docker-course - Companion repo for complete Docker course - https://github.com/sidpalas/devops-directive-docker-course - Roff - #ecdebe - 1,955 - 1,220 - 3 - - - https://avatars.githubusercontent.com/u/1320389?s=40&v=4 - sidpalas - https://github.com/sidpalas - - - https://avatars.githubusercontent.com/u/66020208?s=40&v=4 - mesmith027 - https://github.com/mesmith027 - - - pyenv/pyenv https://github.com/pyenv/pyenv @@ -186,7 +148,7 @@ https://github.com/pyenv/pyenv Roff #ecdebe - 39,533 + 39,542 3,064 9 diff --git a/data/daily/ron.json b/data/daily/ron.json index 382bc3bb33f4..005d319d9ec0 100644 --- a/data/daily/ron.json +++ b/data/daily/ron.json @@ -2,6 +2,6 @@ "title": "GitHub Ron Languages Daily Trending", "description": "Daily Trending of Ron Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ron.xml b/data/daily/ron.xml index bb60a604504b..215a8760a0e1 100644 --- a/data/daily/ron.xml +++ b/data/daily/ron.xml @@ -3,6 +3,6 @@ GitHub Ron Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ron Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rouge.json b/data/daily/rouge.json index 8cf86e53ae75..4e73fd51b3dc 100644 --- a/data/daily/rouge.json +++ b/data/daily/rouge.json @@ -2,6 +2,6 @@ "title": "GitHub Rouge Languages Daily Trending", "description": "Daily Trending of Rouge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rouge.xml b/data/daily/rouge.xml index 2c8bdb8de5cd..33785c1f86a7 100644 --- a/data/daily/rouge.xml +++ b/data/daily/rouge.xml @@ -3,6 +3,6 @@ GitHub Rouge Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rouge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/routeros-script.json b/data/daily/routeros-script.json index ad1b1a493c67..88301c8aecc9 100644 --- a/data/daily/routeros-script.json +++ b/data/daily/routeros-script.json @@ -2,6 +2,6 @@ "title": "GitHub Routeros-script Languages Daily Trending", "description": "Daily Trending of Routeros-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/routeros-script.xml b/data/daily/routeros-script.xml index f5a6395cb283..28f1155c7df8 100644 --- a/data/daily/routeros-script.xml +++ b/data/daily/routeros-script.xml @@ -3,6 +3,6 @@ GitHub Routeros-script Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Routeros-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rpc.json b/data/daily/rpc.json index 1bf321a819b7..e1577a2f5e8e 100644 --- a/data/daily/rpc.json +++ b/data/daily/rpc.json @@ -2,7 +2,7 @@ "title": "GitHub Rpc Languages Daily Trending", "description": "Daily Trending of Rpc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "stellar/stellar-protocol", diff --git a/data/daily/rpc.xml b/data/daily/rpc.xml index f6901f4bbb43..6b4b897bd2c0 100644 --- a/data/daily/rpc.xml +++ b/data/daily/rpc.xml @@ -3,7 +3,7 @@ GitHub Rpc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rpc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT stellar/stellar-protocol https://github.com/stellar/stellar-protocol diff --git a/data/daily/rpgle.json b/data/daily/rpgle.json index de2c521c77fc..c0e6eac8f7dc 100644 --- a/data/daily/rpgle.json +++ b/data/daily/rpgle.json @@ -2,6 +2,6 @@ "title": "GitHub Rpgle Languages Daily Trending", "description": "Daily Trending of Rpgle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/rpgle.xml b/data/daily/rpgle.xml index a1d60b5ebee3..7b9345bbeb00 100644 --- a/data/daily/rpgle.xml +++ b/data/daily/rpgle.xml @@ -3,6 +3,6 @@ GitHub Rpgle Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rpgle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rpm-spec.json b/data/daily/rpm-spec.json index 05d401ae0a54..0e52c5b94e20 100644 --- a/data/daily/rpm-spec.json +++ b/data/daily/rpm-spec.json @@ -2,7 +2,7 @@ "title": "GitHub Rpm-spec Languages Daily Trending", "description": "Daily Trending of Rpm-spec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/azurelinux", @@ -11,7 +11,7 @@ "language": "RPM Spec", "languageColor": "#ccc", "stars": "4,308", - "forks": "549", + "forks": "550", "addStars": "0", "contributors": [ { diff --git a/data/daily/rpm-spec.xml b/data/daily/rpm-spec.xml index 0828527590d9..ea98300be04f 100644 --- a/data/daily/rpm-spec.xml +++ b/data/daily/rpm-spec.xml @@ -3,7 +3,7 @@ GitHub Rpm-spec Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rpm-spec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/azurelinux https://github.com/microsoft/azurelinux @@ -12,7 +12,7 @@ RPM Spec #ccc 4,308 - 549 + 550 0 diff --git a/data/daily/ruby.json b/data/daily/ruby.json index 2dbdb8c2103b..30ef3987525f 100644 --- a/data/daily/ruby.json +++ b/data/daily/ruby.json @@ -2,116 +2,106 @@ "title": "GitHub Ruby Languages Daily Trending", "description": "Daily Trending of Ruby Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "Homebrew/homebrew-cask", - "url": "https://github.com/Homebrew/homebrew-cask", - "description": "🍻 A CLI workflow for the administration of macOS applications distributed as binaries", + "title": "maybe-finance/maybe", + "url": "https://github.com/maybe-finance/maybe", + "description": "The OS for your personal finances", "language": "Ruby", "languageColor": "#701516", - "stars": "20,959", - "forks": "10,739", - "addStars": "1", + "stars": "34,094", + "forks": "2,450", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", - "name": "BrewTestBot", - "url": "https://github.com/BrewTestBot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39449589?s=40&v=4", - "name": "krehel", - "url": "https://github.com/krehel" + "avatar": "https://avatars.githubusercontent.com/u/16676157?s=40&v=4", + "name": "zachgoll", + "url": "https://github.com/zachgoll" }, { - "avatar": "https://avatars.githubusercontent.com/u/1699443?s=40&v=4", - "name": "vitorgalvao", - "url": "https://github.com/vitorgalvao" + "avatar": "https://avatars.githubusercontent.com/u/1218724?s=40&v=4", + "name": "tmyracle", + "url": "https://github.com/tmyracle" }, { - "avatar": "https://avatars.githubusercontent.com/u/17261190?s=40&v=4", - "name": "ran-dall", - "url": "https://github.com/ran-dall" + "avatar": "https://avatars.githubusercontent.com/u/35243?s=40&v=4", + "name": "Shpigford", + "url": "https://github.com/Shpigford" }, { - "avatar": "https://avatars.githubusercontent.com/u/727482?s=40&v=4", - "name": "rolandwalker", - "url": "https://github.com/rolandwalker" + "avatar": "https://avatars.githubusercontent.com/u/79533543?s=40&v=4", + "name": "crnsh", + "url": "https://github.com/crnsh" } ] }, { - "title": "Homebrew/homebrew-core", - "url": "https://github.com/Homebrew/homebrew-core", - "description": "🍻 Default formulae for the missing package manager for macOS (or Linux)", + "title": "rails/solid_queue", + "url": "https://github.com/rails/solid_queue", + "description": "Database-backed Active Job backend", "language": "Ruby", "languageColor": "#701516", - "stars": "13,792", - "forks": "12,459", - "addStars": "3", + "stars": "1,955", + "forks": "131", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", - "name": "BrewTestBot", - "url": "https://github.com/BrewTestBot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1580956?s=40&v=4", - "name": "chenrui333", - "url": "https://github.com/chenrui333" + "avatar": "https://avatars.githubusercontent.com/u/813033?s=40&v=4", + "name": "rosa", + "url": "https://github.com/rosa" }, { - "avatar": "https://avatars.githubusercontent.com/u/1980544?s=40&v=4", - "name": "fxcoudert", - "url": "https://github.com/fxcoudert" + "avatar": "https://avatars.githubusercontent.com/u/1734607?s=40&v=4", + "name": "djmb", + "url": "https://github.com/djmb" }, { - "avatar": "https://avatars.githubusercontent.com/u/5268928?s=40&v=4", - "name": "ilovezfs", - "url": "https://github.com/ilovezfs" + "avatar": "https://avatars.githubusercontent.com/u/2741?s=40&v=4", + "name": "dhh", + "url": "https://github.com/dhh" }, { - "avatar": "https://avatars.githubusercontent.com/u/30379873?s=40&v=4", - "name": "carlocab", - "url": "https://github.com/carlocab" + "avatar": "https://avatars.githubusercontent.com/u/171270?s=40&v=4", + "name": "hms", + "url": "https://github.com/hms" } ] }, { - "title": "otwcode/otwarchive", - "url": "https://github.com/otwcode/otwarchive", - "description": "The Organization for Transformative Works (OTW) - Archive Of Our Own (AO3) Project", + "title": "github-linguist/linguist", + "url": "https://github.com/github-linguist/linguist", + "description": "Language Savant. If your repository's language is being reported incorrectly, send us a pull request!", "language": "Ruby", "languageColor": "#701516", - "stars": "1,408", - "forks": "499", - "addStars": "0", + "stars": "12,352", + "forks": "4,270", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/907055?s=40&v=4", - "name": "sarken", - "url": "https://github.com/sarken" + "avatar": "https://avatars.githubusercontent.com/u/4483?s=40&v=4", + "name": "arfon", + "url": "https://github.com/arfon" }, { - "avatar": "https://avatars.githubusercontent.com/u/271307?s=40&v=4", - "name": "elzj", - "url": "https://github.com/elzj" + "avatar": "https://avatars.githubusercontent.com/u/137?s=40&v=4", + "name": "josh", + "url": "https://github.com/josh" }, { - "avatar": "https://avatars.githubusercontent.com/u/1606304?s=40&v=4", - "name": "zz9pzza", - "url": "https://github.com/zz9pzza" + "avatar": "https://avatars.githubusercontent.com/u/126905?s=40&v=4", + "name": "tnm", + "url": "https://github.com/tnm" }, { - "avatar": "https://avatars.githubusercontent.com/u/415001?s=40&v=4", - "name": "shalott", - "url": "https://github.com/shalott" + "avatar": "https://avatars.githubusercontent.com/u/627280?s=40&v=4", + "name": "lildude", + "url": "https://github.com/lildude" }, { - "avatar": "https://avatars.githubusercontent.com/u/1735563?s=40&v=4", - "name": "scottsds", - "url": "https://github.com/scottsds" + "avatar": "https://avatars.githubusercontent.com/u/1764210?s=40&v=4", + "name": "pchaigno", + "url": "https://github.com/pchaigno" } ] }, @@ -121,7 +111,7 @@ "description": "Metasploit Framework", "language": "Ruby", "languageColor": "#701516", - "stars": "34,246", + "stars": "34,247", "forks": "14,000", "addStars": "5", "contributors": [ @@ -153,283 +143,283 @@ ] }, { - "title": "heartcombo/devise", - "url": "https://github.com/heartcombo/devise", - "description": "Flexible authentication solution for Rails with Warden.", + "title": "rubyzip/rubyzip", + "url": "https://github.com/rubyzip/rubyzip", + "description": "Official Rubyzip repository", "language": "Ruby", "languageColor": "#701516", - "stars": "24,022", - "forks": "5,547", - "addStars": "4", + "stars": "1,361", + "forks": "313", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", - "name": "josevalim", - "url": "https://github.com/josevalim" + "avatar": "https://avatars.githubusercontent.com/u/143558?s=40&v=4", + "name": "hainesr", + "url": "https://github.com/hainesr" }, { - "avatar": "https://avatars.githubusercontent.com/u/26328?s=40&v=4", - "name": "carlosantoniodasilva", - "url": "https://github.com/carlosantoniodasilva" + "avatar": "https://avatars.githubusercontent.com/u/14304?s=40&v=4", + "name": "simonoff", + "url": "https://github.com/simonoff" }, { - "avatar": "https://avatars.githubusercontent.com/u/80978?s=40&v=4", - "name": "lucasmazza", - "url": "https://github.com/lucasmazza" + "avatar": "https://avatars.githubusercontent.com/u/668524?s=40&v=4", + "name": "ShockwaveNN", + "url": "https://github.com/ShockwaveNN" }, { - "avatar": "https://avatars.githubusercontent.com/u/47848?s=40&v=4", - "name": "rafaelfranca", - "url": "https://github.com/rafaelfranca" + "avatar": "https://avatars.githubusercontent.com/u/160829?s=40&v=4", + "name": "jdleesmiller", + "url": "https://github.com/jdleesmiller" }, { - "avatar": "https://avatars.githubusercontent.com/u/200500?s=40&v=4", - "name": "nashby", - "url": "https://github.com/nashby" + "avatar": "https://avatars.githubusercontent.com/u/1527887?s=40&v=4", + "name": "jspanjers", + "url": "https://github.com/jspanjers" } ] }, { - "title": "Homebrew/brew", - "url": "https://github.com/Homebrew/brew", - "description": "🍺 The missing package manager for macOS (or Linux)", + "title": "kilimchoi/engineering-blogs", + "url": "https://github.com/kilimchoi/engineering-blogs", + "description": "A curated list of engineering blogs", "language": "Ruby", "languageColor": "#701516", - "stars": "41,538", - "forks": "9,770", - "addStars": "11", + "stars": "31,779", + "forks": "1,637", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/125011?s=40&v=4", - "name": "MikeMcQuaid", - "url": "https://github.com/MikeMcQuaid" + "avatar": "https://avatars.githubusercontent.com/u/1356007?s=40&v=4", + "name": "kilimchoi", + "url": "https://github.com/kilimchoi" }, { - "avatar": "https://avatars.githubusercontent.com/u/1309829?s=40&v=4", - "name": "reitermarkus", - "url": "https://github.com/reitermarkus" + "avatar": "https://avatars.githubusercontent.com/u/218869?s=40&v=4", + "name": "kaizensoze", + "url": "https://github.com/kaizensoze" }, { - "avatar": "https://avatars.githubusercontent.com/u/568243?s=40&v=4", - "name": "jacknagel", - "url": "https://github.com/jacknagel" + "avatar": "https://avatars.githubusercontent.com/u/3685290?s=40&v=4", + "name": "meisyal", + "url": "https://github.com/meisyal" }, { - "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", - "name": "BrewTestBot", - "url": "https://github.com/BrewTestBot" + "avatar": "https://avatars.githubusercontent.com/u/346896?s=40&v=4", + "name": "griffio", + "url": "https://github.com/griffio" }, { - "avatar": "https://avatars.githubusercontent.com/u/1190754?s=40&v=4", - "name": "Bo98", - "url": "https://github.com/Bo98" + "avatar": "https://avatars.githubusercontent.com/u/792586?s=40&v=4", + "name": "nucreativa", + "url": "https://github.com/nucreativa" } ] }, { - "title": "forem/forem", - "url": "https://github.com/forem/forem", - "description": "For empowering community 🌱", + "title": "Homebrew/homebrew-core", + "url": "https://github.com/Homebrew/homebrew-core", + "description": "🍻 Default formulae for the missing package manager for macOS (or Linux)", "language": "Ruby", "languageColor": "#701516", - "stars": "22,012", - "forks": "4,057", - "addStars": "2", + "stars": "13,792", + "forks": "12,460", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3102842?s=40&v=4", - "name": "benhalpern", - "url": "https://github.com/benhalpern" + "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", + "name": "BrewTestBot", + "url": "https://github.com/BrewTestBot" }, { - "avatar": "https://avatars.githubusercontent.com/u/146201?s=40&v=4", - "name": "rhymes", - "url": "https://github.com/rhymes" + "avatar": "https://avatars.githubusercontent.com/u/1580956?s=40&v=4", + "name": "chenrui333", + "url": "https://github.com/chenrui333" }, { - "avatar": "https://avatars.githubusercontent.com/u/1813380?s=40&v=4", - "name": "mstruve", - "url": "https://github.com/mstruve" + "avatar": "https://avatars.githubusercontent.com/u/1980544?s=40&v=4", + "name": "fxcoudert", + "url": "https://github.com/fxcoudert" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5268928?s=40&v=4", + "name": "ilovezfs", + "url": "https://github.com/ilovezfs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30379873?s=40&v=4", + "name": "carlocab", + "url": "https://github.com/carlocab" } ] }, { - "title": "ruby/ruby", - "url": "https://github.com/ruby/ruby", - "description": "The Ruby Programming Language", + "title": "Freika/dawarich", + "url": "https://github.com/Freika/dawarich", + "description": "Self-hosted alternative to Google Location History (Google Maps Timeline)", "language": "Ruby", "languageColor": "#701516", - "stars": "22,171", - "forks": "5,326", - "addStars": "4", + "stars": "2,244", + "forks": "51", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16700?s=40&v=4", - "name": "nobu", - "url": "https://github.com/nobu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29403229?s=40&v=4", - "name": "matzbot", - "url": "https://github.com/matzbot" + "avatar": "https://avatars.githubusercontent.com/u/3738638?s=40&v=4", + "name": "Freika", + "url": "https://github.com/Freika" }, { - "avatar": "https://avatars.githubusercontent.com/u/10538?s=40&v=4", - "name": "akr", - "url": "https://github.com/akr" + "avatar": "https://avatars.githubusercontent.com/u/73174517?s=40&v=4", + "name": "sunstep", + "url": "https://github.com/sunstep" }, { - "avatar": "https://avatars.githubusercontent.com/u/12301?s=40&v=4", - "name": "hsbt", - "url": "https://github.com/hsbt" + "avatar": "https://avatars.githubusercontent.com/u/60738409?s=40&v=4", + "name": "saschazepter", + "url": "https://github.com/saschazepter" }, { - "avatar": "https://avatars.githubusercontent.com/u/9558?s=40&v=4", - "name": "ko1", - "url": "https://github.com/ko1" + "avatar": "https://avatars.githubusercontent.com/u/9064236?s=40&v=4", + "name": "tetebueno", + "url": "https://github.com/tetebueno" } ] }, { - "title": "github/choosealicense.com", - "url": "https://github.com/github/choosealicense.com", - "description": "A site to provide non-judgmental guidance on choosing a license for your open source project", + "title": "rails/rails", + "url": "https://github.com/rails/rails", + "description": "Ruby on Rails", "language": "Ruby", "languageColor": "#701516", - "stars": "3,710", - "forks": "1,345", - "addStars": "2", + "stars": "56,111", + "forks": "21,694", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/40415?s=40&v=4", - "name": "mlinksva", - "url": "https://github.com/mlinksva" + "avatar": "https://avatars.githubusercontent.com/u/47848?s=40&v=4", + "name": "rafaelfranca", + "url": "https://github.com/rafaelfranca" }, { - "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", - "name": "benbalter", - "url": "https://github.com/benbalter" + "avatar": "https://avatars.githubusercontent.com/u/2741?s=40&v=4", + "name": "dhh", + "url": "https://github.com/dhh" }, { - "avatar": "https://avatars.githubusercontent.com/u/19977?s=40&v=4", - "name": "haacked", - "url": "https://github.com/haacked" + "avatar": "https://avatars.githubusercontent.com/u/12642?s=40&v=4", + "name": "kamipo", + "url": "https://github.com/kamipo" }, { - "avatar": "https://avatars.githubusercontent.com/u/349621?s=40&v=4", - "name": "XhmikosR", - "url": "https://github.com/XhmikosR" + "avatar": "https://avatars.githubusercontent.com/u/199?s=40&v=4", + "name": "jeremy", + "url": "https://github.com/jeremy" }, { - "avatar": "https://avatars.githubusercontent.com/u/86842?s=40&v=4", - "name": "afeld", - "url": "https://github.com/afeld" + "avatar": "https://avatars.githubusercontent.com/u/3124?s=40&v=4", + "name": "tenderlove", + "url": "https://github.com/tenderlove" } ] }, { - "title": "hashicorp/vagrant", - "url": "https://github.com/hashicorp/vagrant", - "description": "Vagrant is a tool for building and distributing development environments.", + "title": "ruby/ruby", + "url": "https://github.com/ruby/ruby", + "description": "The Ruby Programming Language", "language": "Ruby", "languageColor": "#701516", - "stars": "26,312", - "forks": "4,433", - "addStars": "2", + "stars": "22,171", + "forks": "5,326", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", - "name": "mitchellh", - "url": "https://github.com/mitchellh" + "avatar": "https://avatars.githubusercontent.com/u/16700?s=40&v=4", + "name": "nobu", + "url": "https://github.com/nobu" }, { - "avatar": "https://avatars.githubusercontent.com/u/266674?s=40&v=4", - "name": "chrisroberts", - "url": "https://github.com/chrisroberts" + "avatar": "https://avatars.githubusercontent.com/u/29403229?s=40&v=4", + "name": "matzbot", + "url": "https://github.com/matzbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/810277?s=40&v=4", - "name": "briancain", - "url": "https://github.com/briancain" + "avatar": "https://avatars.githubusercontent.com/u/10538?s=40&v=4", + "name": "akr", + "url": "https://github.com/akr" }, { - "avatar": "https://avatars.githubusercontent.com/u/976973?s=40&v=4", - "name": "soapy1", - "url": "https://github.com/soapy1" + "avatar": "https://avatars.githubusercontent.com/u/12301?s=40&v=4", + "name": "hsbt", + "url": "https://github.com/hsbt" }, { - "avatar": "https://avatars.githubusercontent.com/u/408570?s=40&v=4", - "name": "sethvargo", - "url": "https://github.com/sethvargo" + "avatar": "https://avatars.githubusercontent.com/u/9558?s=40&v=4", + "name": "ko1", + "url": "https://github.com/ko1" } ] }, { - "title": "Freika/dawarich", - "url": "https://github.com/Freika/dawarich", - "description": "Self-hosted alternative to Google Location History (Google Maps Timeline)", + "title": "mastodon/mastodon", + "url": "https://github.com/mastodon/mastodon", + "description": "Your self-hosted, globally interconnected microblogging community", "language": "Ruby", "languageColor": "#701516", - "stars": "2,245", - "forks": "51", - "addStars": "3", + "stars": "47,216", + "forks": "7,003", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3738638?s=40&v=4", - "name": "Freika", - "url": "https://github.com/Freika" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73174517?s=40&v=4", - "name": "sunstep", - "url": "https://github.com/sunstep" + "avatar": "https://avatars.githubusercontent.com/u/184731?s=40&v=4", + "name": "Gargron", + "url": "https://github.com/Gargron" }, { - "avatar": "https://avatars.githubusercontent.com/u/60738409?s=40&v=4", - "name": "saschazepter", - "url": "https://github.com/saschazepter" + "avatar": "https://avatars.githubusercontent.com/u/384364?s=40&v=4", + "name": "ClearlyClaire", + "url": "https://github.com/ClearlyClaire" }, { - "avatar": "https://avatars.githubusercontent.com/u/9064236?s=40&v=4", - "name": "tetebueno", - "url": "https://github.com/tetebueno" + "avatar": "https://avatars.githubusercontent.com/u/225?s=40&v=4", + "name": "mjankowski", + "url": "https://github.com/mjankowski" } ] }, { - "title": "sidekiq/sidekiq", - "url": "https://github.com/sidekiq/sidekiq", - "description": "Simple, efficient background processing for Ruby", + "title": "otwcode/otwarchive", + "url": "https://github.com/otwcode/otwarchive", + "description": "The Organization for Transformative Works (OTW) - Archive Of Our Own (AO3) Project", "language": "Ruby", "languageColor": "#701516", - "stars": "13,175", - "forks": "2,419", + "stars": "1,408", + "forks": "499", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2911?s=40&v=4", - "name": "mperham", - "url": "https://github.com/mperham" + "avatar": "https://avatars.githubusercontent.com/u/907055?s=40&v=4", + "name": "sarken", + "url": "https://github.com/sarken" }, { - "avatar": "https://avatars.githubusercontent.com/u/2394703?s=40&v=4", - "name": "seuros", - "url": "https://github.com/seuros" + "avatar": "https://avatars.githubusercontent.com/u/271307?s=40&v=4", + "name": "elzj", + "url": "https://github.com/elzj" }, { - "avatar": "https://avatars.githubusercontent.com/u/744212?s=40&v=4", - "name": "brandonhilkert", - "url": "https://github.com/brandonhilkert" + "avatar": "https://avatars.githubusercontent.com/u/1606304?s=40&v=4", + "name": "zz9pzza", + "url": "https://github.com/zz9pzza" }, { - "avatar": "https://avatars.githubusercontent.com/u/5657035?s=40&v=4", - "name": "fatkodima", - "url": "https://github.com/fatkodima" + "avatar": "https://avatars.githubusercontent.com/u/415001?s=40&v=4", + "name": "shalott", + "url": "https://github.com/shalott" }, { - "avatar": "https://avatars.githubusercontent.com/u/18191?s=40&v=4", - "name": "jc00ke", - "url": "https://github.com/jc00ke" + "avatar": "https://avatars.githubusercontent.com/u/1735563?s=40&v=4", + "name": "scottsds", + "url": "https://github.com/scottsds" } ] }, @@ -471,39 +461,39 @@ ] }, { - "title": "standardrb/standard", - "url": "https://github.com/standardrb/standard", - "description": "Ruby's bikeshed-proof linter and formatter 🚲", + "title": "elastic/apm-agent-ruby", + "url": "https://github.com/elastic/apm-agent-ruby", + "description": "", "language": "Ruby", "languageColor": "#701516", - "stars": "2,730", - "forks": "214", - "addStars": "2", + "stars": "170", + "forks": "132", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/79303?s=40&v=4", - "name": "searls", - "url": "https://github.com/searls" + "avatar": "https://avatars.githubusercontent.com/u/2819?s=40&v=4", + "name": "mikker", + "url": "https://github.com/mikker" }, { - "avatar": "https://avatars.githubusercontent.com/u/104237?s=40&v=4", - "name": "camilopayan", - "url": "https://github.com/camilopayan" + "avatar": "https://avatars.githubusercontent.com/u/699369?s=40&v=4", + "name": "estolfo", + "url": "https://github.com/estolfo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1082370?s=40&v=4", - "name": "jmkoni", - "url": "https://github.com/jmkoni" + "avatar": "https://avatars.githubusercontent.com/u/2871786?s=40&v=4", + "name": "v1v", + "url": "https://github.com/v1v" }, { - "avatar": "https://avatars.githubusercontent.com/u/17273852?s=40&v=4", - "name": "mrbiggred", - "url": "https://github.com/mrbiggred" + "avatar": "https://avatars.githubusercontent.com/u/5618806?s=40&v=4", + "name": "bmorelli25", + "url": "https://github.com/bmorelli25" }, { - "avatar": "https://avatars.githubusercontent.com/u/225?s=40&v=4", - "name": "mjankowski", - "url": "https://github.com/mjankowski" + "avatar": "https://avatars.githubusercontent.com/u/58790750?s=40&v=4", + "name": "apmmachine", + "url": "https://github.com/apmmachine" } ] }, @@ -540,39 +530,145 @@ ] }, { - "title": "elastic/apm-agent-ruby", - "url": "https://github.com/elastic/apm-agent-ruby", - "description": "", + "title": "igorkasyanchuk/rails_performance", + "url": "https://github.com/igorkasyanchuk/rails_performance", + "description": "Monitor performance of you Rails applications (self-hosted and free)", "language": "Ruby", "languageColor": "#701516", - "stars": "170", - "forks": "132", + "stars": "1,035", + "forks": "60", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11101?s=40&v=4", + "name": "igorkasyanchuk", + "url": "https://github.com/igorkasyanchuk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2844304?s=40&v=4", + "name": "haffla", + "url": "https://github.com/haffla" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/99216078?s=40&v=4", + "name": "carl-printreleaf", + "url": "https://github.com/carl-printreleaf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/211?s=40&v=4", + "name": "olleolleolle", + "url": "https://github.com/olleolleolle" + } + ] + }, + { + "title": "sous-chefs/ark", + "url": "https://github.com/sous-chefs/ark", + "description": "Development repository for the ark cookbook", + "language": "Ruby", + "languageColor": "#701516", + "stars": "99", + "forks": "181", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2819?s=40&v=4", - "name": "mikker", - "url": "https://github.com/mikker" + "avatar": "https://avatars.githubusercontent.com/u/1015200?s=40&v=4", + "name": "tas50", + "url": "https://github.com/tas50" }, { - "avatar": "https://avatars.githubusercontent.com/u/699369?s=40&v=4", - "name": "estolfo", - "url": "https://github.com/estolfo" + "avatar": "https://avatars.githubusercontent.com/u/127128?s=40&v=4", + "name": "bryanwb", + "url": "https://github.com/bryanwb" }, { - "avatar": "https://avatars.githubusercontent.com/u/2871786?s=40&v=4", - "name": "v1v", - "url": "https://github.com/v1v" + "avatar": "https://avatars.githubusercontent.com/u/33356041?s=40&v=4", + "name": "kitchen-porter", + "url": "https://github.com/kitchen-porter" }, { - "avatar": "https://avatars.githubusercontent.com/u/5618806?s=40&v=4", - "name": "bmorelli25", - "url": "https://github.com/bmorelli25" + "avatar": "https://avatars.githubusercontent.com/u/3252?s=40&v=4", + "name": "sgtcoolguy", + "url": "https://github.com/sgtcoolguy" }, { - "avatar": "https://avatars.githubusercontent.com/u/58790750?s=40&v=4", - "name": "apmmachine", - "url": "https://github.com/apmmachine" + "avatar": "https://avatars.githubusercontent.com/u/62800204?s=40&v=4", + "name": "xorimabot", + "url": "https://github.com/xorimabot" + } + ] + }, + { + "title": "sorbet/sorbet-typed", + "url": "https://github.com/sorbet/sorbet-typed", + "description": "A central repository for sharing type definitions for Ruby gems", + "language": "Ruby", + "languageColor": "#701516", + "stars": "382", + "forks": "121", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2977353?s=40&v=4", + "name": "connorshea", + "url": "https://github.com/connorshea" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/509837?s=40&v=4", + "name": "ghiculescu", + "url": "https://github.com/ghiculescu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1538523?s=40&v=4", + "name": "mdehoog", + "url": "https://github.com/mdehoog" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/416575?s=40&v=4", + "name": "frewsxcv", + "url": "https://github.com/frewsxcv" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/847027?s=40&v=4", + "name": "jeffcarbs", + "url": "https://github.com/jeffcarbs" + } + ] + }, + { + "title": "rails/rails-dom-testing", + "url": "https://github.com/rails/rails-dom-testing", + "description": "Extracting DomAssertions and SelectorAssertions from ActionView.", + "language": "Ruby", + "languageColor": "#701516", + "stars": "175", + "forks": "57", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/350807?s=40&v=4", + "name": "kaspth", + "url": "https://github.com/kaspth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47848?s=40&v=4", + "name": "rafaelfranca", + "url": "https://github.com/rafaelfranca" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8207?s=40&v=4", + "name": "flavorjones", + "url": "https://github.com/flavorjones" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/441?s=40&v=4", + "name": "jduff", + "url": "https://github.com/jduff" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2575027?s=40&v=4", + "name": "seanpdoyle", + "url": "https://github.com/seanpdoyle" } ] } diff --git a/data/daily/ruby.xml b/data/daily/ruby.xml index 7c7d98df6297..5465ba0f29c4 100644 --- a/data/daily/ruby.xml +++ b/data/daily/ruby.xml @@ -3,118 +3,108 @@ GitHub Ruby Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ruby Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - Homebrew/homebrew-cask - https://github.com/Homebrew/homebrew-cask - 🍻 A CLI workflow for the administration of macOS applications distributed as binaries - https://github.com/Homebrew/homebrew-cask + maybe-finance/maybe + https://github.com/maybe-finance/maybe + The OS for your personal finances + https://github.com/maybe-finance/maybe Ruby #701516 - 20,959 - 10,739 - 1 + 34,094 + 2,450 + 20 - https://avatars.githubusercontent.com/u/1589480?s=40&v=4 - BrewTestBot - https://github.com/BrewTestBot - - - https://avatars.githubusercontent.com/u/39449589?s=40&v=4 - krehel - https://github.com/krehel + https://avatars.githubusercontent.com/u/16676157?s=40&v=4 + zachgoll + https://github.com/zachgoll - https://avatars.githubusercontent.com/u/1699443?s=40&v=4 - vitorgalvao - https://github.com/vitorgalvao + https://avatars.githubusercontent.com/u/1218724?s=40&v=4 + tmyracle + https://github.com/tmyracle - https://avatars.githubusercontent.com/u/17261190?s=40&v=4 - ran-dall - https://github.com/ran-dall + https://avatars.githubusercontent.com/u/35243?s=40&v=4 + Shpigford + https://github.com/Shpigford - https://avatars.githubusercontent.com/u/727482?s=40&v=4 - rolandwalker - https://github.com/rolandwalker + https://avatars.githubusercontent.com/u/79533543?s=40&v=4 + crnsh + https://github.com/crnsh - Homebrew/homebrew-core - https://github.com/Homebrew/homebrew-core - 🍻 Default formulae for the missing package manager for macOS (or Linux) - https://github.com/Homebrew/homebrew-core + rails/solid_queue + https://github.com/rails/solid_queue + Database-backed Active Job backend + https://github.com/rails/solid_queue Ruby #701516 - 13,792 - 12,459 - 3 + 1,955 + 131 + 2 - https://avatars.githubusercontent.com/u/1589480?s=40&v=4 - BrewTestBot - https://github.com/BrewTestBot + https://avatars.githubusercontent.com/u/813033?s=40&v=4 + rosa + https://github.com/rosa - https://avatars.githubusercontent.com/u/1580956?s=40&v=4 - chenrui333 - https://github.com/chenrui333 + https://avatars.githubusercontent.com/u/1734607?s=40&v=4 + djmb + https://github.com/djmb - https://avatars.githubusercontent.com/u/1980544?s=40&v=4 - fxcoudert - https://github.com/fxcoudert + https://avatars.githubusercontent.com/u/2741?s=40&v=4 + dhh + https://github.com/dhh - https://avatars.githubusercontent.com/u/5268928?s=40&v=4 - ilovezfs - https://github.com/ilovezfs - - - https://avatars.githubusercontent.com/u/30379873?s=40&v=4 - carlocab - https://github.com/carlocab + https://avatars.githubusercontent.com/u/171270?s=40&v=4 + hms + https://github.com/hms - otwcode/otwarchive - https://github.com/otwcode/otwarchive - The Organization for Transformative Works (OTW) - Archive Of Our Own (AO3) Project - https://github.com/otwcode/otwarchive + github-linguist/linguist + https://github.com/github-linguist/linguist + Language Savant. If your repository's language is being reported incorrectly, send us a pull request! + https://github.com/github-linguist/linguist Ruby #701516 - 1,408 - 499 - 0 + 12,352 + 4,270 + 7 - https://avatars.githubusercontent.com/u/907055?s=40&v=4 - sarken - https://github.com/sarken + https://avatars.githubusercontent.com/u/4483?s=40&v=4 + arfon + https://github.com/arfon - https://avatars.githubusercontent.com/u/271307?s=40&v=4 - elzj - https://github.com/elzj + https://avatars.githubusercontent.com/u/137?s=40&v=4 + josh + https://github.com/josh - https://avatars.githubusercontent.com/u/1606304?s=40&v=4 - zz9pzza - https://github.com/zz9pzza + https://avatars.githubusercontent.com/u/126905?s=40&v=4 + tnm + https://github.com/tnm - https://avatars.githubusercontent.com/u/415001?s=40&v=4 - shalott - https://github.com/shalott + https://avatars.githubusercontent.com/u/627280?s=40&v=4 + lildude + https://github.com/lildude - https://avatars.githubusercontent.com/u/1735563?s=40&v=4 - scottsds - https://github.com/scottsds + https://avatars.githubusercontent.com/u/1764210?s=40&v=4 + pchaigno + https://github.com/pchaigno @@ -125,7 +115,7 @@ https://github.com/rapid7/metasploit-framework Ruby #701516 - 34,246 + 34,247 14,000 5 @@ -157,291 +147,291 @@ - heartcombo/devise - https://github.com/heartcombo/devise - Flexible authentication solution for Rails with Warden. - https://github.com/heartcombo/devise + rubyzip/rubyzip + https://github.com/rubyzip/rubyzip + Official Rubyzip repository + https://github.com/rubyzip/rubyzip Ruby #701516 - 24,022 - 5,547 - 4 + 1,361 + 313 + 0 - https://avatars.githubusercontent.com/u/9582?s=40&v=4 - josevalim - https://github.com/josevalim + https://avatars.githubusercontent.com/u/143558?s=40&v=4 + hainesr + https://github.com/hainesr - https://avatars.githubusercontent.com/u/26328?s=40&v=4 - carlosantoniodasilva - https://github.com/carlosantoniodasilva + https://avatars.githubusercontent.com/u/14304?s=40&v=4 + simonoff + https://github.com/simonoff - https://avatars.githubusercontent.com/u/80978?s=40&v=4 - lucasmazza - https://github.com/lucasmazza + https://avatars.githubusercontent.com/u/668524?s=40&v=4 + ShockwaveNN + https://github.com/ShockwaveNN - https://avatars.githubusercontent.com/u/47848?s=40&v=4 - rafaelfranca - https://github.com/rafaelfranca + https://avatars.githubusercontent.com/u/160829?s=40&v=4 + jdleesmiller + https://github.com/jdleesmiller - https://avatars.githubusercontent.com/u/200500?s=40&v=4 - nashby - https://github.com/nashby + https://avatars.githubusercontent.com/u/1527887?s=40&v=4 + jspanjers + https://github.com/jspanjers - Homebrew/brew - https://github.com/Homebrew/brew - 🍺 The missing package manager for macOS (or Linux) - https://github.com/Homebrew/brew + kilimchoi/engineering-blogs + https://github.com/kilimchoi/engineering-blogs + A curated list of engineering blogs + https://github.com/kilimchoi/engineering-blogs Ruby #701516 - 41,538 - 9,770 - 11 + 31,779 + 1,637 + 10 - https://avatars.githubusercontent.com/u/125011?s=40&v=4 - MikeMcQuaid - https://github.com/MikeMcQuaid + https://avatars.githubusercontent.com/u/1356007?s=40&v=4 + kilimchoi + https://github.com/kilimchoi - https://avatars.githubusercontent.com/u/1309829?s=40&v=4 - reitermarkus - https://github.com/reitermarkus + https://avatars.githubusercontent.com/u/218869?s=40&v=4 + kaizensoze + https://github.com/kaizensoze - https://avatars.githubusercontent.com/u/568243?s=40&v=4 - jacknagel - https://github.com/jacknagel + https://avatars.githubusercontent.com/u/3685290?s=40&v=4 + meisyal + https://github.com/meisyal - https://avatars.githubusercontent.com/u/1589480?s=40&v=4 - BrewTestBot - https://github.com/BrewTestBot + https://avatars.githubusercontent.com/u/346896?s=40&v=4 + griffio + https://github.com/griffio - https://avatars.githubusercontent.com/u/1190754?s=40&v=4 - Bo98 - https://github.com/Bo98 + https://avatars.githubusercontent.com/u/792586?s=40&v=4 + nucreativa + https://github.com/nucreativa - forem/forem - https://github.com/forem/forem - For empowering community 🌱 - https://github.com/forem/forem + Homebrew/homebrew-core + https://github.com/Homebrew/homebrew-core + 🍻 Default formulae for the missing package manager for macOS (or Linux) + https://github.com/Homebrew/homebrew-core Ruby #701516 - 22,012 - 4,057 - 2 + 13,792 + 12,460 + 3 - https://avatars.githubusercontent.com/u/3102842?s=40&v=4 - benhalpern - https://github.com/benhalpern + https://avatars.githubusercontent.com/u/1589480?s=40&v=4 + BrewTestBot + https://github.com/BrewTestBot + + + https://avatars.githubusercontent.com/u/1580956?s=40&v=4 + chenrui333 + https://github.com/chenrui333 + + + https://avatars.githubusercontent.com/u/1980544?s=40&v=4 + fxcoudert + https://github.com/fxcoudert - https://avatars.githubusercontent.com/u/146201?s=40&v=4 - rhymes - https://github.com/rhymes + https://avatars.githubusercontent.com/u/5268928?s=40&v=4 + ilovezfs + https://github.com/ilovezfs - https://avatars.githubusercontent.com/u/1813380?s=40&v=4 - mstruve - https://github.com/mstruve + https://avatars.githubusercontent.com/u/30379873?s=40&v=4 + carlocab + https://github.com/carlocab - ruby/ruby - https://github.com/ruby/ruby - The Ruby Programming Language - https://github.com/ruby/ruby + Freika/dawarich + https://github.com/Freika/dawarich + Self-hosted alternative to Google Location History (Google Maps Timeline) + https://github.com/Freika/dawarich Ruby #701516 - 22,171 - 5,326 - 4 + 2,244 + 51 + 3 - https://avatars.githubusercontent.com/u/16700?s=40&v=4 - nobu - https://github.com/nobu - - - https://avatars.githubusercontent.com/u/29403229?s=40&v=4 - matzbot - https://github.com/matzbot + https://avatars.githubusercontent.com/u/3738638?s=40&v=4 + Freika + https://github.com/Freika - https://avatars.githubusercontent.com/u/10538?s=40&v=4 - akr - https://github.com/akr + https://avatars.githubusercontent.com/u/73174517?s=40&v=4 + sunstep + https://github.com/sunstep - https://avatars.githubusercontent.com/u/12301?s=40&v=4 - hsbt - https://github.com/hsbt + https://avatars.githubusercontent.com/u/60738409?s=40&v=4 + saschazepter + https://github.com/saschazepter - https://avatars.githubusercontent.com/u/9558?s=40&v=4 - ko1 - https://github.com/ko1 + https://avatars.githubusercontent.com/u/9064236?s=40&v=4 + tetebueno + https://github.com/tetebueno - github/choosealicense.com - https://github.com/github/choosealicense.com - A site to provide non-judgmental guidance on choosing a license for your open source project - https://github.com/github/choosealicense.com + rails/rails + https://github.com/rails/rails + Ruby on Rails + https://github.com/rails/rails Ruby #701516 - 3,710 - 1,345 - 2 + 56,111 + 21,694 + 8 - https://avatars.githubusercontent.com/u/40415?s=40&v=4 - mlinksva - https://github.com/mlinksva + https://avatars.githubusercontent.com/u/47848?s=40&v=4 + rafaelfranca + https://github.com/rafaelfranca - https://avatars.githubusercontent.com/u/282759?s=40&v=4 - benbalter - https://github.com/benbalter + https://avatars.githubusercontent.com/u/2741?s=40&v=4 + dhh + https://github.com/dhh - https://avatars.githubusercontent.com/u/19977?s=40&v=4 - haacked - https://github.com/haacked + https://avatars.githubusercontent.com/u/12642?s=40&v=4 + kamipo + https://github.com/kamipo - https://avatars.githubusercontent.com/u/349621?s=40&v=4 - XhmikosR - https://github.com/XhmikosR + https://avatars.githubusercontent.com/u/199?s=40&v=4 + jeremy + https://github.com/jeremy - https://avatars.githubusercontent.com/u/86842?s=40&v=4 - afeld - https://github.com/afeld + https://avatars.githubusercontent.com/u/3124?s=40&v=4 + tenderlove + https://github.com/tenderlove - hashicorp/vagrant - https://github.com/hashicorp/vagrant - Vagrant is a tool for building and distributing development environments. - https://github.com/hashicorp/vagrant + ruby/ruby + https://github.com/ruby/ruby + The Ruby Programming Language + https://github.com/ruby/ruby Ruby #701516 - 26,312 - 4,433 - 2 + 22,171 + 5,326 + 4 - https://avatars.githubusercontent.com/u/1299?s=40&v=4 - mitchellh - https://github.com/mitchellh + https://avatars.githubusercontent.com/u/16700?s=40&v=4 + nobu + https://github.com/nobu - https://avatars.githubusercontent.com/u/266674?s=40&v=4 - chrisroberts - https://github.com/chrisroberts + https://avatars.githubusercontent.com/u/29403229?s=40&v=4 + matzbot + https://github.com/matzbot - https://avatars.githubusercontent.com/u/810277?s=40&v=4 - briancain - https://github.com/briancain + https://avatars.githubusercontent.com/u/10538?s=40&v=4 + akr + https://github.com/akr - https://avatars.githubusercontent.com/u/976973?s=40&v=4 - soapy1 - https://github.com/soapy1 + https://avatars.githubusercontent.com/u/12301?s=40&v=4 + hsbt + https://github.com/hsbt - https://avatars.githubusercontent.com/u/408570?s=40&v=4 - sethvargo - https://github.com/sethvargo + https://avatars.githubusercontent.com/u/9558?s=40&v=4 + ko1 + https://github.com/ko1 - Freika/dawarich - https://github.com/Freika/dawarich - Self-hosted alternative to Google Location History (Google Maps Timeline) - https://github.com/Freika/dawarich + mastodon/mastodon + https://github.com/mastodon/mastodon + Your self-hosted, globally interconnected microblogging community + https://github.com/mastodon/mastodon Ruby #701516 - 2,245 - 51 - 3 + 47,216 + 7,003 + 13 - https://avatars.githubusercontent.com/u/3738638?s=40&v=4 - Freika - https://github.com/Freika + https://avatars.githubusercontent.com/u/184731?s=40&v=4 + Gargron + https://github.com/Gargron - https://avatars.githubusercontent.com/u/73174517?s=40&v=4 - sunstep - https://github.com/sunstep + https://avatars.githubusercontent.com/u/384364?s=40&v=4 + ClearlyClaire + https://github.com/ClearlyClaire - https://avatars.githubusercontent.com/u/60738409?s=40&v=4 - saschazepter - https://github.com/saschazepter - - - https://avatars.githubusercontent.com/u/9064236?s=40&v=4 - tetebueno - https://github.com/tetebueno + https://avatars.githubusercontent.com/u/225?s=40&v=4 + mjankowski + https://github.com/mjankowski - sidekiq/sidekiq - https://github.com/sidekiq/sidekiq - Simple, efficient background processing for Ruby - https://github.com/sidekiq/sidekiq + otwcode/otwarchive + https://github.com/otwcode/otwarchive + The Organization for Transformative Works (OTW) - Archive Of Our Own (AO3) Project + https://github.com/otwcode/otwarchive Ruby #701516 - 13,175 - 2,419 + 1,408 + 499 0 - https://avatars.githubusercontent.com/u/2911?s=40&v=4 - mperham - https://github.com/mperham + https://avatars.githubusercontent.com/u/907055?s=40&v=4 + sarken + https://github.com/sarken - https://avatars.githubusercontent.com/u/2394703?s=40&v=4 - seuros - https://github.com/seuros + https://avatars.githubusercontent.com/u/271307?s=40&v=4 + elzj + https://github.com/elzj - https://avatars.githubusercontent.com/u/744212?s=40&v=4 - brandonhilkert - https://github.com/brandonhilkert + https://avatars.githubusercontent.com/u/1606304?s=40&v=4 + zz9pzza + https://github.com/zz9pzza - https://avatars.githubusercontent.com/u/5657035?s=40&v=4 - fatkodima - https://github.com/fatkodima + https://avatars.githubusercontent.com/u/415001?s=40&v=4 + shalott + https://github.com/shalott - https://avatars.githubusercontent.com/u/18191?s=40&v=4 - jc00ke - https://github.com/jc00ke + https://avatars.githubusercontent.com/u/1735563?s=40&v=4 + scottsds + https://github.com/scottsds @@ -484,40 +474,40 @@ - standardrb/standard - https://github.com/standardrb/standard - Ruby's bikeshed-proof linter and formatter 🚲 - https://github.com/standardrb/standard + elastic/apm-agent-ruby + https://github.com/elastic/apm-agent-ruby + + https://github.com/elastic/apm-agent-ruby Ruby #701516 - 2,730 - 214 - 2 + 170 + 132 + 0 - https://avatars.githubusercontent.com/u/79303?s=40&v=4 - searls - https://github.com/searls + https://avatars.githubusercontent.com/u/2819?s=40&v=4 + mikker + https://github.com/mikker - https://avatars.githubusercontent.com/u/104237?s=40&v=4 - camilopayan - https://github.com/camilopayan + https://avatars.githubusercontent.com/u/699369?s=40&v=4 + estolfo + https://github.com/estolfo - https://avatars.githubusercontent.com/u/1082370?s=40&v=4 - jmkoni - https://github.com/jmkoni + https://avatars.githubusercontent.com/u/2871786?s=40&v=4 + v1v + https://github.com/v1v - https://avatars.githubusercontent.com/u/17273852?s=40&v=4 - mrbiggred - https://github.com/mrbiggred + https://avatars.githubusercontent.com/u/5618806?s=40&v=4 + bmorelli25 + https://github.com/bmorelli25 - https://avatars.githubusercontent.com/u/225?s=40&v=4 - mjankowski - https://github.com/mjankowski + https://avatars.githubusercontent.com/u/58790750?s=40&v=4 + apmmachine + https://github.com/apmmachine @@ -555,40 +545,149 @@ - elastic/apm-agent-ruby - https://github.com/elastic/apm-agent-ruby - - https://github.com/elastic/apm-agent-ruby + igorkasyanchuk/rails_performance + https://github.com/igorkasyanchuk/rails_performance + Monitor performance of you Rails applications (self-hosted and free) + https://github.com/igorkasyanchuk/rails_performance Ruby #701516 - 170 - 132 + 1,035 + 60 + 10 + + + https://avatars.githubusercontent.com/u/11101?s=40&v=4 + igorkasyanchuk + https://github.com/igorkasyanchuk + + + https://avatars.githubusercontent.com/u/2844304?s=40&v=4 + haffla + https://github.com/haffla + + + https://avatars.githubusercontent.com/u/99216078?s=40&v=4 + carl-printreleaf + https://github.com/carl-printreleaf + + + https://avatars.githubusercontent.com/u/211?s=40&v=4 + olleolleolle + https://github.com/olleolleolle + + + + + sous-chefs/ark + https://github.com/sous-chefs/ark + Development repository for the ark cookbook + https://github.com/sous-chefs/ark + Ruby + #701516 + 99 + 181 0 - https://avatars.githubusercontent.com/u/2819?s=40&v=4 - mikker - https://github.com/mikker + https://avatars.githubusercontent.com/u/1015200?s=40&v=4 + tas50 + https://github.com/tas50 - https://avatars.githubusercontent.com/u/699369?s=40&v=4 - estolfo - https://github.com/estolfo + https://avatars.githubusercontent.com/u/127128?s=40&v=4 + bryanwb + https://github.com/bryanwb - https://avatars.githubusercontent.com/u/2871786?s=40&v=4 - v1v - https://github.com/v1v + https://avatars.githubusercontent.com/u/33356041?s=40&v=4 + kitchen-porter + https://github.com/kitchen-porter - https://avatars.githubusercontent.com/u/5618806?s=40&v=4 - bmorelli25 - https://github.com/bmorelli25 + https://avatars.githubusercontent.com/u/3252?s=40&v=4 + sgtcoolguy + https://github.com/sgtcoolguy - https://avatars.githubusercontent.com/u/58790750?s=40&v=4 - apmmachine - https://github.com/apmmachine + https://avatars.githubusercontent.com/u/62800204?s=40&v=4 + xorimabot + https://github.com/xorimabot + + + + + sorbet/sorbet-typed + https://github.com/sorbet/sorbet-typed + A central repository for sharing type definitions for Ruby gems + https://github.com/sorbet/sorbet-typed + Ruby + #701516 + 382 + 121 + 0 + + + https://avatars.githubusercontent.com/u/2977353?s=40&v=4 + connorshea + https://github.com/connorshea + + + https://avatars.githubusercontent.com/u/509837?s=40&v=4 + ghiculescu + https://github.com/ghiculescu + + + https://avatars.githubusercontent.com/u/1538523?s=40&v=4 + mdehoog + https://github.com/mdehoog + + + https://avatars.githubusercontent.com/u/416575?s=40&v=4 + frewsxcv + https://github.com/frewsxcv + + + https://avatars.githubusercontent.com/u/847027?s=40&v=4 + jeffcarbs + https://github.com/jeffcarbs + + + + + rails/rails-dom-testing + https://github.com/rails/rails-dom-testing + Extracting DomAssertions and SelectorAssertions from ActionView. + https://github.com/rails/rails-dom-testing + Ruby + #701516 + 175 + 57 + 0 + + + https://avatars.githubusercontent.com/u/350807?s=40&v=4 + kaspth + https://github.com/kaspth + + + https://avatars.githubusercontent.com/u/47848?s=40&v=4 + rafaelfranca + https://github.com/rafaelfranca + + + https://avatars.githubusercontent.com/u/8207?s=40&v=4 + flavorjones + https://github.com/flavorjones + + + https://avatars.githubusercontent.com/u/441?s=40&v=4 + jduff + https://github.com/jduff + + + https://avatars.githubusercontent.com/u/2575027?s=40&v=4 + seanpdoyle + https://github.com/seanpdoyle diff --git a/data/daily/runoff.json b/data/daily/runoff.json index 75a5b094e8b6..b23e9d892131 100644 --- a/data/daily/runoff.json +++ b/data/daily/runoff.json @@ -2,6 +2,6 @@ "title": "GitHub Runoff Languages Daily Trending", "description": "Daily Trending of Runoff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/runoff.xml b/data/daily/runoff.xml index 3dafd774cf7d..418f6c8d1863 100644 --- a/data/daily/runoff.xml +++ b/data/daily/runoff.xml @@ -3,6 +3,6 @@ GitHub Runoff Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Runoff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/rust.json b/data/daily/rust.json index e68e885f5aae..8c24e0c3161d 100644 --- a/data/daily/rust.json +++ b/data/daily/rust.json @@ -2,17 +2,49 @@ "title": "GitHub Rust Languages Daily Trending", "description": "Daily Trending of Rust Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "mediar-ai/screenpipe", + "url": "https://github.com/mediar-ai/screenpipe", + "description": "rewind.ai x cursor.com = your AI assistant that has all the context. 24/7 screen & voice recording for the age of super intelligence. get your data ready or be left behind", + "language": "Rust", + "languageColor": "#dea584", + "stars": "10,115", + "forks": "601", + "addStars": "252", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25003283?s=40&v=4", + "name": "louis030195", + "url": "https://github.com/louis030195" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/104702220?s=40&v=4", + "name": "m13v", + "url": "https://github.com/m13v" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/62727185?s=40&v=4", + "name": "Neptune650", + "url": "https://github.com/Neptune650" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50280365?s=40&v=4", + "name": "EzraEllette", + "url": "https://github.com/EzraEllette" + } + ] + }, { "title": "surrealdb/surrealdb", "url": "https://github.com/surrealdb/surrealdb", "description": "A scalable, distributed, collaborative, document-graph database, for the realtime web", "language": "Rust", "languageColor": "#dea584", - "stars": "27,876", - "forks": "912", - "addStars": "27", + "stars": "27,931", + "forks": "915", + "addStars": "329", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/1588290?s=40&v=4", @@ -42,71 +74,76 @@ ] }, { - "title": "mediar-ai/screenpipe", - "url": "https://github.com/mediar-ai/screenpipe", - "description": "rewind.ai x cursor.com = your AI assistant that has all the context. 24/7 screen & voice recording for the age of super intelligence. get your data ready or be left behind", + "title": "ratatui/ratatui", + "url": "https://github.com/ratatui/ratatui", + "description": "A Rust crate for cooking up terminal user interfaces (TUIs) 👨‍🍳🐀 https://ratatui.rs", "language": "Rust", "languageColor": "#dea584", - "stars": "10,088", - "forks": "599", - "addStars": "252", + "stars": "10,946", + "forks": "338", + "addStars": "41", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25003283?s=40&v=4", - "name": "louis030195", - "url": "https://github.com/louis030195" + "avatar": "https://avatars.githubusercontent.com/u/381361?s=40&v=4", + "name": "joshka", + "url": "https://github.com/joshka" }, { - "avatar": "https://avatars.githubusercontent.com/u/104702220?s=40&v=4", - "name": "m13v", - "url": "https://github.com/m13v" + "avatar": "https://avatars.githubusercontent.com/u/10096255?s=40&v=4", + "name": "fdehau", + "url": "https://github.com/fdehau" }, { - "avatar": "https://avatars.githubusercontent.com/u/62727185?s=40&v=4", - "name": "Neptune650", - "url": "https://github.com/Neptune650" + "avatar": "https://avatars.githubusercontent.com/u/24392180?s=40&v=4", + "name": "orhun", + "url": "https://github.com/orhun" }, { - "avatar": "https://avatars.githubusercontent.com/u/50280365?s=40&v=4", - "name": "EzraEllette", - "url": "https://github.com/EzraEllette" + "avatar": "https://avatars.githubusercontent.com/u/7953011?s=40&v=4", + "name": "EdJoPaTo", + "url": "https://github.com/EdJoPaTo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1813121?s=40&v=4", + "name": "kdheepak", + "url": "https://github.com/kdheepak" } ] }, { - "title": "Snowiiii/Pumpkin", - "url": "https://github.com/Snowiiii/Pumpkin", - "description": "Empowering everyone to host fast and efficient Minecraft servers.", + "title": "foundry-rs/foundry", + "url": "https://github.com/foundry-rs/foundry", + "description": "Foundry is a blazing fast, portable and modular toolkit for Ethereum application development written in Rust.", "language": "Rust", "languageColor": "#dea584", - "stars": "3,292", - "forks": "118", - "addStars": "22", + "stars": "8,338", + "forks": "1,764", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/71594357?s=40&v=4", - "name": "Snowiiii", - "url": "https://github.com/Snowiiii" + "avatar": "https://avatars.githubusercontent.com/u/19890894?s=40&v=4", + "name": "mattsse", + "url": "https://github.com/mattsse" }, { - "avatar": "https://avatars.githubusercontent.com/u/39092238?s=40&v=4", - "name": "Bryntet", - "url": "https://github.com/Bryntet" + "avatar": "https://avatars.githubusercontent.com/u/57450786?s=40&v=4", + "name": "DaniPopes", + "url": "https://github.com/DaniPopes" }, { - "avatar": "https://avatars.githubusercontent.com/u/70709598?s=40&v=4", - "name": "lukas0008", - "url": "https://github.com/lukas0008" + "avatar": "https://avatars.githubusercontent.com/u/17802178?s=40&v=4", + "name": "gakonst", + "url": "https://github.com/gakonst" }, { - "avatar": "https://avatars.githubusercontent.com/u/80051564?s=40&v=4", - "name": "kralverde", - "url": "https://github.com/kralverde" + "avatar": "https://avatars.githubusercontent.com/u/38490174?s=40&v=4", + "name": "grandizzy", + "url": "https://github.com/grandizzy" }, { - "avatar": "https://avatars.githubusercontent.com/u/124387056?s=40&v=4", - "name": "DaniD3v", - "url": "https://github.com/DaniD3v" + "avatar": "https://avatars.githubusercontent.com/u/26014927?s=40&v=4", + "name": "Evalir", + "url": "https://github.com/Evalir" } ] }, @@ -116,7 +153,7 @@ "description": "Empowering everyone to build reliable and efficient software.", "language": "Rust", "languageColor": "#dea584", - "stars": "98,838", + "stars": "98,846", "forks": "12,770", "addStars": "30", "contributors": [ @@ -148,475 +185,317 @@ ] }, { - "title": "meilisearch/meilisearch", - "url": "https://github.com/meilisearch/meilisearch", - "description": "A lightning-fast search API that fits effortlessly into your apps, websites, and workflow", - "language": "Rust", - "languageColor": "#dea584", - "stars": "47,583", - "forks": "1,857", - "addStars": "20", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3610253?s=40&v=4", - "name": "Kerollmops", - "url": "https://github.com/Kerollmops" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7032172?s=40&v=4", - "name": "irevoire", - "url": "https://github.com/irevoire" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28804882?s=40&v=4", - "name": "MarinPostma", - "url": "https://github.com/MarinPostma" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41078892?s=40&v=4", - "name": "dureuill", - "url": "https://github.com/dureuill" - } - ] - }, - { - "title": "GitoxideLabs/gitoxide", - "url": "https://github.com/GitoxideLabs/gitoxide", - "description": "An idiomatic, lean, fast & safe pure Rust implementation of Git", - "language": "Rust", - "languageColor": "#dea584", - "stars": "9,131", - "forks": "315", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/63622?s=40&v=4", - "name": "Byron", - "url": "https://github.com/Byron" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1771172?s=40&v=4", - "name": "EliahKagan", - "url": "https://github.com/EliahKagan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6173958?s=40&v=4", - "name": "edward-shen", - "url": "https://github.com/edward-shen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9403190?s=40&v=4", - "name": "svetli-n", - "url": "https://github.com/svetli-n" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13365102?s=40&v=4", - "name": "SidneyDouw", - "url": "https://github.com/SidneyDouw" - } - ] - }, - { - "title": "tauri-apps/wry", - "url": "https://github.com/tauri-apps/wry", - "description": "Cross-platform WebView library in Rust for Tauri.", - "language": "Rust", - "languageColor": "#dea584", - "stars": "3,736", - "forks": "284", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/48618675?s=40&v=4", - "name": "amrbashir", - "url": "https://github.com/amrbashir" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20051258?s=40&v=4", - "name": "lucasfernog", - "url": "https://github.com/lucasfernog" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8409985?s=40&v=4", - "name": "wusyong", - "url": "https://github.com/wusyong" - } - ] - }, - { - "title": "sxyazi/yazi", - "url": "https://github.com/sxyazi/yazi", - "description": "💥 Blazing fast terminal file manager written in Rust, based on async I/O.", + "title": "bevyengine/bevy", + "url": "https://github.com/bevyengine/bevy", + "description": "A refreshingly simple data-driven game engine built in Rust", "language": "Rust", "languageColor": "#dea584", - "stars": "16,795", - "forks": "390", - "addStars": "27", + "stars": "36,394", + "forks": "3,588", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17523360?s=40&v=4", - "name": "sxyazi", - "url": "https://github.com/sxyazi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33489972?s=40&v=4", - "name": "ndtoan96", - "url": "https://github.com/ndtoan96" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/300791?s=40&v=4", - "name": "mikavilpas", - "url": "https://github.com/mikavilpas" + "avatar": "https://avatars.githubusercontent.com/u/2694663?s=40&v=4", + "name": "cart", + "url": "https://github.com/cart" }, { - "avatar": "https://avatars.githubusercontent.com/u/20698483?s=40&v=4", - "name": "XYenon", - "url": "https://github.com/XYenon" + "avatar": "https://avatars.githubusercontent.com/u/8672791?s=40&v=4", + "name": "mockersf", + "url": "https://github.com/mockersf" }, { - "avatar": "https://avatars.githubusercontent.com/u/17216317?s=40&v=4", - "name": "g-plane", - "url": "https://github.com/g-plane" + "avatar": "https://avatars.githubusercontent.com/u/3579909?s=40&v=4", + "name": "alice-i-cecile", + "url": "https://github.com/alice-i-cecile" } ] }, { - "title": "gleam-lang/gleam", - "url": "https://github.com/gleam-lang/gleam", - "description": "⭐️ A friendly language for building type-safe, scalable systems!", + "title": "mainmatter/100-exercises-to-learn-rust", + "url": "https://github.com/mainmatter/100-exercises-to-learn-rust", + "description": "A self-paced course to learn Rust, one exercise at a time.", "language": "Rust", "languageColor": "#dea584", - "stars": "18,087", - "forks": "757", - "addStars": "10", + "stars": "6,212", + "forks": "1,085", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6134406?s=40&v=4", - "name": "lpil", - "url": "https://github.com/lpil" + "avatar": "https://avatars.githubusercontent.com/u/20745048?s=40&v=4", + "name": "LukeMathWalker", + "url": "https://github.com/LukeMathWalker" }, { - "avatar": "https://avatars.githubusercontent.com/u/20598369?s=40&v=4", - "name": "giacomocavalieri", - "url": "https://github.com/giacomocavalieri" + "avatar": "https://avatars.githubusercontent.com/u/1510?s=40&v=4", + "name": "marcoow", + "url": "https://github.com/marcoow" }, { - "avatar": "https://avatars.githubusercontent.com/u/93559?s=40&v=4", - "name": "tomwhatmore", - "url": "https://github.com/tomwhatmore" + "avatar": "https://avatars.githubusercontent.com/u/43485962?s=40&v=4", + "name": "c-git", + "url": "https://github.com/c-git" }, { - "avatar": "https://avatars.githubusercontent.com/u/5996838?s=40&v=4", - "name": "Acepie", - "url": "https://github.com/Acepie" + "avatar": "https://avatars.githubusercontent.com/u/17907879?s=40&v=4", + "name": "hdoordt", + "url": "https://github.com/hdoordt" }, { - "avatar": "https://avatars.githubusercontent.com/u/5862302?s=40&v=4", - "name": "CrowdHailer", - "url": "https://github.com/CrowdHailer" + "avatar": "https://avatars.githubusercontent.com/u/286008?s=40&v=4", + "name": "ehershey", + "url": "https://github.com/ehershey" } ] }, { - "title": "rust-lang/book", - "url": "https://github.com/rust-lang/book", - "description": "The Rust Programming Language", + "title": "eza-community/eza", + "url": "https://github.com/eza-community/eza", + "description": "A modern alternative to ls", "language": "Rust", "languageColor": "#dea584", - "stars": "15,255", - "forks": "3,434", - "addStars": "7", + "stars": "12,479", + "forks": "219", + "addStars": "32", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/193874?s=40&v=4", - "name": "carols10cents", - "url": "https://github.com/carols10cents" + "avatar": "https://avatars.githubusercontent.com/u/89321978?s=40&v=4", + "name": "cafkafk", + "url": "https://github.com/cafkafk" }, { - "avatar": "https://avatars.githubusercontent.com/u/27786?s=40&v=4", - "name": "steveklabnik", - "url": "https://github.com/steveklabnik" + "avatar": "https://avatars.githubusercontent.com/u/49617392?s=40&v=4", + "name": "gierens", + "url": "https://github.com/gierens" }, { - "avatar": "https://avatars.githubusercontent.com/u/2403023?s=40&v=4", - "name": "chriskrycho", - "url": "https://github.com/chriskrycho" + "avatar": "https://avatars.githubusercontent.com/u/2446451?s=40&v=4", + "name": "ariasuni", + "url": "https://github.com/ariasuni" }, { - "avatar": "https://avatars.githubusercontent.com/u/113791599?s=40&v=4", - "name": "SpectralPixel", - "url": "https://github.com/SpectralPixel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10991039?s=40&v=4", - "name": "davidde", - "url": "https://github.com/davidde" + "avatar": "https://avatars.githubusercontent.com/u/121899304?s=40&v=4", + "name": "PThorpe92", + "url": "https://github.com/PThorpe92" } ] }, { - "title": "tokio-rs/axum", - "url": "https://github.com/tokio-rs/axum", - "description": "Ergonomic and modular web framework built with Tokio, Tower, and Hyper", + "title": "awslabs/aws-lambda-web-adapter", + "url": "https://github.com/awslabs/aws-lambda-web-adapter", + "description": "Run web applications on AWS Lambda", "language": "Rust", "languageColor": "#dea584", - "stars": "19,341", - "forks": "1,068", - "addStars": "10", + "stars": "1,960", + "forks": "119", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/718941?s=40&v=4", - "name": "davidpdrsn", - "url": "https://github.com/davidpdrsn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/951129?s=40&v=4", - "name": "jplatte", - "url": "https://github.com/jplatte" + "avatar": "https://avatars.githubusercontent.com/u/12918?s=40&v=4", + "name": "bnusunny", + "url": "https://github.com/bnusunny" }, { - "avatar": "https://avatars.githubusercontent.com/u/16705820?s=40&v=4", - "name": "mladedav", - "url": "https://github.com/mladedav" + "avatar": "https://avatars.githubusercontent.com/u/117131783?s=40&v=4", + "name": "mbfreder", + "url": "https://github.com/mbfreder" }, { - "avatar": "https://avatars.githubusercontent.com/u/58880148?s=40&v=4", - "name": "SabrinaJewson", - "url": "https://github.com/SabrinaJewson" + "avatar": "https://avatars.githubusercontent.com/u/1050?s=40&v=4", + "name": "calavera", + "url": "https://github.com/calavera" }, { - "avatar": "https://avatars.githubusercontent.com/u/51669?s=40&v=4", - "name": "yanns", - "url": "https://github.com/yanns" + "avatar": "https://avatars.githubusercontent.com/u/100512604?s=40&v=4", + "name": "elonniu", + "url": "https://github.com/elonniu" } ] }, { - "title": "ratatui/ratatui", - "url": "https://github.com/ratatui/ratatui", - "description": "A Rust crate for cooking up terminal user interfaces (TUIs) 👨‍🍳🐀 https://ratatui.rs", + "title": "tokio-rs/mio", + "url": "https://github.com/tokio-rs/mio", + "description": "Metal I/O library for Rust.", "language": "Rust", "languageColor": "#dea584", - "stars": "10,930", - "forks": "338", - "addStars": "41", + "stars": "6,379", + "forks": "738", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/381361?s=40&v=4", - "name": "joshka", - "url": "https://github.com/joshka" + "avatar": "https://avatars.githubusercontent.com/u/3159064?s=40&v=4", + "name": "Thomasdezeeuw", + "url": "https://github.com/Thomasdezeeuw" }, { - "avatar": "https://avatars.githubusercontent.com/u/10096255?s=40&v=4", - "name": "fdehau", - "url": "https://github.com/fdehau" + "avatar": "https://avatars.githubusercontent.com/u/6180?s=40&v=4", + "name": "carllerche", + "url": "https://github.com/carllerche" }, { - "avatar": "https://avatars.githubusercontent.com/u/24392180?s=40&v=4", - "name": "orhun", - "url": "https://github.com/orhun" + "avatar": "https://avatars.githubusercontent.com/u/64996?s=40&v=4", + "name": "alexcrichton", + "url": "https://github.com/alexcrichton" }, { - "avatar": "https://avatars.githubusercontent.com/u/7953011?s=40&v=4", - "name": "EdJoPaTo", - "url": "https://github.com/EdJoPaTo" + "avatar": "https://avatars.githubusercontent.com/u/4572153?s=40&v=4", + "name": "kleimkuhler", + "url": "https://github.com/kleimkuhler" }, { - "avatar": "https://avatars.githubusercontent.com/u/1813121?s=40&v=4", - "name": "kdheepak", - "url": "https://github.com/kdheepak" + "avatar": "https://avatars.githubusercontent.com/u/2316254?s=40&v=4", + "name": "dtacalau", + "url": "https://github.com/dtacalau" } ] }, { - "title": "yobix-ai/extractous", - "url": "https://github.com/yobix-ai/extractous", - "description": "Fast and efficient unstructured data extraction. Written in Rust with bindings for many languages.", + "title": "juspay/hyperswitch", + "url": "https://github.com/juspay/hyperswitch", + "description": "An open source payments switch written in Rust to make payments fast, reliable and affordable", "language": "Rust", "languageColor": "#dea584", - "stars": "390", - "forks": "15", - "addStars": "10", + "stars": "12,639", + "forks": "1,358", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3158098?s=40&v=4", - "name": "nmammeri", - "url": "https://github.com/nmammeri" + "avatar": "https://avatars.githubusercontent.com/u/22217505?s=40&v=4", + "name": "SanchithHegde", + "url": "https://github.com/SanchithHegde" }, { - "avatar": "https://avatars.githubusercontent.com/u/5749007?s=40&v=4", - "name": "s4zuk3", - "url": "https://github.com/s4zuk3" + "avatar": "https://avatars.githubusercontent.com/u/48803246?s=40&v=4", + "name": "Narayanbhat166", + "url": "https://github.com/Narayanbhat166" }, { - "avatar": "https://avatars.githubusercontent.com/u/14653304?s=40&v=4", - "name": "KapiWow", - "url": "https://github.com/KapiWow" + "avatar": "https://avatars.githubusercontent.com/u/61539176?s=40&v=4", + "name": "hrithikesh026", + "url": "https://github.com/hrithikesh026" } ] }, { - "title": "hyperium/tonic", - "url": "https://github.com/hyperium/tonic", - "description": "A native gRPC client & server implementation with async/await support.", + "title": "TabbyML/tabby", + "url": "https://github.com/TabbyML/tabby", + "description": "Self-hosted AI coding assistant", "language": "Rust", "languageColor": "#dea584", - "stars": "10,060", - "forks": "1,020", - "addStars": "8", + "stars": "21,990", + "forks": "1,015", + "addStars": "18", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5758045?s=40&v=4", - "name": "LucioFranco", - "url": "https://github.com/LucioFranco" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16907501?s=40&v=4", - "name": "tottoto", - "url": "https://github.com/tottoto" + "avatar": "https://avatars.githubusercontent.com/u/388154?s=40&v=4", + "name": "wsxiaoys", + "url": "https://github.com/wsxiaoys" }, { - "avatar": "https://avatars.githubusercontent.com/u/718941?s=40&v=4", - "name": "davidpdrsn", - "url": "https://github.com/davidpdrsn" + "avatar": "https://avatars.githubusercontent.com/u/13573879?s=40&v=4", + "name": "icycodes", + "url": "https://github.com/icycodes" }, { - "avatar": "https://avatars.githubusercontent.com/u/1133?s=40&v=4", - "name": "alce", - "url": "https://github.com/alce" + "avatar": "https://avatars.githubusercontent.com/u/17516233?s=40&v=4", + "name": "liangfung", + "url": "https://github.com/liangfung" }, { - "avatar": "https://avatars.githubusercontent.com/u/548524?s=40&v=4", - "name": "jen20", - "url": "https://github.com/jen20" + "avatar": "https://avatars.githubusercontent.com/u/14198267?s=40&v=4", + "name": "boxbeam", + "url": "https://github.com/boxbeam" } ] }, { - "title": "influxdata/influxdb", - "url": "https://github.com/influxdata/influxdb", - "description": "Scalable datastore for metrics, events, and real-time analytics", + "title": "ajeetdsouza/zoxide", + "url": "https://github.com/ajeetdsouza/zoxide", + "description": "A smarter cd command. Supports all major shells.", "language": "Rust", "languageColor": "#dea584", - "stars": "29,012", - "forks": "3,555", - "addStars": "6", + "stars": "23,022", + "forks": "558", + "addStars": "28", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2433762?s=40&v=4", - "name": "alexpaxton", - "url": "https://github.com/alexpaxton" + "avatar": "https://avatars.githubusercontent.com/u/1777663?s=40&v=4", + "name": "ajeetdsouza", + "url": "https://github.com/ajeetdsouza" }, { - "avatar": "https://avatars.githubusercontent.com/u/7582765?s=40&v=4", - "name": "121watts", - "url": "https://github.com/121watts" + "avatar": "https://avatars.githubusercontent.com/u/28582702?s=40&v=4", + "name": "cole-h", + "url": "https://github.com/cole-h" }, { - "avatar": "https://avatars.githubusercontent.com/u/193874?s=40&v=4", - "name": "carols10cents", - "url": "https://github.com/carols10cents" + "avatar": "https://avatars.githubusercontent.com/u/3819725?s=40&v=4", + "name": "alin23", + "url": "https://github.com/alin23" }, { - "avatar": "https://avatars.githubusercontent.com/u/536312?s=40&v=4", - "name": "otoolep", - "url": "https://github.com/otoolep" + "avatar": "https://avatars.githubusercontent.com/u/56180050?s=40&v=4", + "name": "sitiom", + "url": "https://github.com/sitiom" } ] }, { - "title": "gfx-rs/wgpu", - "url": "https://github.com/gfx-rs/wgpu", - "description": "A cross-platform, safe, pure-Rust graphics API.", + "title": "shadowsocks/shadowsocks-rust", + "url": "https://github.com/shadowsocks/shadowsocks-rust", + "description": "A Rust port of shadowsocks", "language": "Rust", "languageColor": "#dea584", - "stars": "12,737", - "forks": "935", - "addStars": "9", + "stars": "8,694", + "forks": "1,180", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/107301?s=40&v=4", - "name": "kvark", - "url": "https://github.com/kvark" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/751272?s=40&v=4", - "name": "jimblandy", - "url": "https://github.com/jimblandy" + "avatar": "https://avatars.githubusercontent.com/u/1067951?s=40&v=4", + "name": "zonyitoo", + "url": "https://github.com/zonyitoo" }, { - "avatar": "https://avatars.githubusercontent.com/u/28601907?s=40&v=4", - "name": "teoxoy", - "url": "https://github.com/teoxoy" + "avatar": "https://avatars.githubusercontent.com/u/3511321?s=40&v=4", + "name": "Mygod", + "url": "https://github.com/Mygod" }, { - "avatar": "https://avatars.githubusercontent.com/u/7861353?s=40&v=4", - "name": "cwfitzgerald", - "url": "https://github.com/cwfitzgerald" + "avatar": "https://avatars.githubusercontent.com/u/627917?s=40&v=4", + "name": "madeye", + "url": "https://github.com/madeye" } ] }, { - "title": "Orange-OpenSource/hurl", - "url": "https://github.com/Orange-OpenSource/hurl", - "description": "Hurl, run and test HTTP requests with plain text.", + "title": "libp2p/rust-libp2p", + "url": "https://github.com/libp2p/rust-libp2p", + "description": "The Rust Implementation of the libp2p networking stack.", "language": "Rust", "languageColor": "#dea584", - "stars": "13,161", - "forks": "491", - "addStars": "7", + "stars": "4,624", + "forks": "961", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16323814?s=40&v=4", - "name": "jcamiel", - "url": "https://github.com/jcamiel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/682123?s=40&v=4", - "name": "fabricereix", - "url": "https://github.com/fabricereix" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/117728909?s=40&v=4", - "name": "hurl-bot", - "url": "https://github.com/hurl-bot" + "avatar": "https://avatars.githubusercontent.com/u/1412254?s=40&v=4", + "name": "tomaka", + "url": "https://github.com/tomaka" }, { - "avatar": "https://avatars.githubusercontent.com/u/15672496?s=40&v=4", - "name": "lepapareil", - "url": "https://github.com/lepapareil" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" - } - ] - }, - { - "title": "bevyengine/bevy", - "url": "https://github.com/bevyengine/bevy", - "description": "A refreshingly simple data-driven game engine built in Rust", - "language": "Rust", - "languageColor": "#dea584", - "stars": "36,389", - "forks": "3,588", - "addStars": "22", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2694663?s=40&v=4", - "name": "cart", - "url": "https://github.com/cart" + "avatar": "https://avatars.githubusercontent.com/u/7047859?s=40&v=4", + "name": "mxinden", + "url": "https://github.com/mxinden" }, { - "avatar": "https://avatars.githubusercontent.com/u/8672791?s=40&v=4", - "name": "mockersf", - "url": "https://github.com/mockersf" + "avatar": "https://avatars.githubusercontent.com/u/5486389?s=40&v=4", + "name": "thomaseizinger", + "url": "https://github.com/thomaseizinger" }, { - "avatar": "https://avatars.githubusercontent.com/u/3579909?s=40&v=4", - "name": "alice-i-cecile", - "url": "https://github.com/alice-i-cecile" + "avatar": "https://avatars.githubusercontent.com/u/177309?s=40&v=4", + "name": "romanb", + "url": "https://github.com/romanb" } ] }, @@ -626,7 +505,7 @@ "description": "Multi functional app to find duplicates, empty folders, similar images etc.", "language": "Rust", "languageColor": "#dea584", - "stars": "20,383", + "stars": "20,390", "forks": "663", "addStars": "23", "contributors": [ @@ -663,7 +542,7 @@ "description": "Distributed transactional key-value database, originally created to complement TiDB", "language": "Rust", "languageColor": "#dea584", - "stars": "15,313", + "stars": "15,316", "forks": "2,140", "addStars": "6", "contributors": [ @@ -690,39 +569,108 @@ ] }, { - "title": "dani-garcia/vaultwarden", - "url": "https://github.com/dani-garcia/vaultwarden", - "description": "Unofficial Bitwarden compatible server written in Rust, formerly known as bitwarden_rs", + "title": "trumank/repak", + "url": "https://github.com/trumank/repak", + "description": "Unreal Engine .pak file library and CLI in rust", + "language": "Rust", + "languageColor": "#dea584", + "stars": "214", + "forks": "28", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1144160?s=40&v=4", + "name": "trumank", + "url": "https://github.com/trumank" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/71292624?s=40&v=4", + "name": "bananaturtlesandwich", + "url": "https://github.com/bananaturtlesandwich" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39484203?s=40&v=4", + "name": "jieyouxu", + "url": "https://github.com/jieyouxu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2548819?s=40&v=4", + "name": "GiovanniMounir", + "url": "https://github.com/GiovanniMounir" + } + ] + }, + { + "title": "TeamFlos/phira", + "url": "https://github.com/TeamFlos/phira", + "description": "", + "language": "Rust", + "languageColor": "#dea584", + "stars": "1,320", + "forks": "109", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/54128043?s=40&v=4", + "name": "Mivik", + "url": "https://github.com/Mivik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/58244206?s=40&v=4", + "name": "sjfhsjfh", + "url": "https://github.com/sjfhsjfh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/108552898?s=40&v=4", + "name": "Reenouneer", + "url": "https://github.com/Reenouneer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/118240135?s=40&v=4", + "name": "Limefruit1", + "url": "https://github.com/Limefruit1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86252890?s=40&v=4", + "name": "Mishiranuuuuu", + "url": "https://github.com/Mishiranuuuuu" + } + ] + }, + { + "title": "DioxusLabs/dioxus", + "url": "https://github.com/DioxusLabs/dioxus", + "description": "Fullstack app framework for web, desktop, mobile, and more.", "language": "Rust", "languageColor": "#dea584", - "stars": "39,335", - "forks": "1,907", - "addStars": "35", + "stars": "21,627", + "forks": "832", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/725423?s=40&v=4", - "name": "dani-garcia", - "url": "https://github.com/dani-garcia" + "avatar": "https://avatars.githubusercontent.com/u/10237910?s=40&v=4", + "name": "jkelleyrtp", + "url": "https://github.com/jkelleyrtp" }, { - "avatar": "https://avatars.githubusercontent.com/u/610450?s=40&v=4", - "name": "BlackDex", - "url": "https://github.com/BlackDex" + "avatar": "https://avatars.githubusercontent.com/u/66571940?s=40&v=4", + "name": "ealmloff", + "url": "https://github.com/ealmloff" }, { - "avatar": "https://avatars.githubusercontent.com/u/203380?s=40&v=4", - "name": "jjlin", - "url": "https://github.com/jjlin" + "avatar": "https://avatars.githubusercontent.com/u/41265098?s=40&v=4", + "name": "mrxiaozhuox", + "url": "https://github.com/mrxiaozhuox" }, { - "avatar": "https://avatars.githubusercontent.com/u/8255364?s=40&v=4", - "name": "mprasil", - "url": "https://github.com/mprasil" + "avatar": "https://avatars.githubusercontent.com/u/30190859?s=40&v=4", + "name": "DogeDark", + "url": "https://github.com/DogeDark" }, { - "avatar": "https://avatars.githubusercontent.com/u/509385?s=40&v=4", - "name": "stefan0xC", - "url": "https://github.com/stefan0xC" + "avatar": "https://avatars.githubusercontent.com/u/11248241?s=40&v=4", + "name": "rMazeiks", + "url": "https://github.com/rMazeiks" } ] }, @@ -732,7 +680,7 @@ "description": "A tiling window manager for Windows 🍉", "language": "Rust", "languageColor": "#dea584", - "stars": "9,610", + "stars": "9,615", "forks": "200", "addStars": "21", "contributors": [ @@ -757,6 +705,75 @@ "url": "https://github.com/CtByte" } ] + }, + { + "title": "rust-unofficial/awesome-rust", + "url": "https://github.com/rust-unofficial/awesome-rust", + "description": "A curated list of Rust code and resources.", + "language": "Rust", + "languageColor": "#dea584", + "stars": "47,153", + "forks": "2,773", + "addStars": "23", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/38532?s=40&v=4", + "name": "palfrey", + "url": "https://github.com/palfrey" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8401799?s=40&v=4", + "name": "luciusmagn", + "url": "https://github.com/luciusmagn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/391975?s=40&v=4", + "name": "kud1ing", + "url": "https://github.com/kud1ing" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1146369?s=40&v=4", + "name": "iddm", + "url": "https://github.com/iddm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37858097?s=40&v=4", + "name": "BinChengZhao", + "url": "https://github.com/BinChengZhao" + } + ] + }, + { + "title": "gfx-rs/wgpu", + "url": "https://github.com/gfx-rs/wgpu", + "description": "A cross-platform, safe, pure-Rust graphics API.", + "language": "Rust", + "languageColor": "#dea584", + "stars": "12,742", + "forks": "935", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/107301?s=40&v=4", + "name": "kvark", + "url": "https://github.com/kvark" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/751272?s=40&v=4", + "name": "jimblandy", + "url": "https://github.com/jimblandy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/28601907?s=40&v=4", + "name": "teoxoy", + "url": "https://github.com/teoxoy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7861353?s=40&v=4", + "name": "cwfitzgerald", + "url": "https://github.com/cwfitzgerald" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/rust.xml b/data/daily/rust.xml index 2a23ac6ebdaa..dc43a000c693 100644 --- a/data/daily/rust.xml +++ b/data/daily/rust.xml @@ -3,7 +3,40 @@ GitHub Rust Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Rust Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + mediar-ai/screenpipe + https://github.com/mediar-ai/screenpipe + rewind.ai x cursor.com = your AI assistant that has all the context. 24/7 screen & voice recording for the age of super intelligence. get your data ready or be left behind + https://github.com/mediar-ai/screenpipe + Rust + #dea584 + 10,115 + 601 + 252 + + + https://avatars.githubusercontent.com/u/25003283?s=40&v=4 + louis030195 + https://github.com/louis030195 + + + https://avatars.githubusercontent.com/u/104702220?s=40&v=4 + m13v + https://github.com/m13v + + + https://avatars.githubusercontent.com/u/62727185?s=40&v=4 + Neptune650 + https://github.com/Neptune650 + + + https://avatars.githubusercontent.com/u/50280365?s=40&v=4 + EzraEllette + https://github.com/EzraEllette + + + surrealdb/surrealdb https://github.com/surrealdb/surrealdb @@ -11,9 +44,9 @@ https://github.com/surrealdb/surrealdb Rust #dea584 - 27,876 - 912 - 27 + 27,931 + 915 + 329 https://avatars.githubusercontent.com/u/1588290?s=40&v=4 @@ -43,73 +76,78 @@ - mediar-ai/screenpipe - https://github.com/mediar-ai/screenpipe - rewind.ai x cursor.com = your AI assistant that has all the context. 24/7 screen & voice recording for the age of super intelligence. get your data ready or be left behind - https://github.com/mediar-ai/screenpipe + ratatui/ratatui + https://github.com/ratatui/ratatui + A Rust crate for cooking up terminal user interfaces (TUIs) 👨‍🍳🐀 https://ratatui.rs + https://github.com/ratatui/ratatui Rust #dea584 - 10,088 - 599 - 252 + 10,946 + 338 + 41 - https://avatars.githubusercontent.com/u/25003283?s=40&v=4 - louis030195 - https://github.com/louis030195 + https://avatars.githubusercontent.com/u/381361?s=40&v=4 + joshka + https://github.com/joshka - https://avatars.githubusercontent.com/u/104702220?s=40&v=4 - m13v - https://github.com/m13v + https://avatars.githubusercontent.com/u/10096255?s=40&v=4 + fdehau + https://github.com/fdehau - https://avatars.githubusercontent.com/u/62727185?s=40&v=4 - Neptune650 - https://github.com/Neptune650 + https://avatars.githubusercontent.com/u/24392180?s=40&v=4 + orhun + https://github.com/orhun - https://avatars.githubusercontent.com/u/50280365?s=40&v=4 - EzraEllette - https://github.com/EzraEllette + https://avatars.githubusercontent.com/u/7953011?s=40&v=4 + EdJoPaTo + https://github.com/EdJoPaTo + + + https://avatars.githubusercontent.com/u/1813121?s=40&v=4 + kdheepak + https://github.com/kdheepak - Snowiiii/Pumpkin - https://github.com/Snowiiii/Pumpkin - Empowering everyone to host fast and efficient Minecraft servers. - https://github.com/Snowiiii/Pumpkin + foundry-rs/foundry + https://github.com/foundry-rs/foundry + Foundry is a blazing fast, portable and modular toolkit for Ethereum application development written in Rust. + https://github.com/foundry-rs/foundry Rust #dea584 - 3,292 - 118 - 22 + 8,338 + 1,764 + 4 - https://avatars.githubusercontent.com/u/71594357?s=40&v=4 - Snowiiii - https://github.com/Snowiiii + https://avatars.githubusercontent.com/u/19890894?s=40&v=4 + mattsse + https://github.com/mattsse - https://avatars.githubusercontent.com/u/39092238?s=40&v=4 - Bryntet - https://github.com/Bryntet + https://avatars.githubusercontent.com/u/57450786?s=40&v=4 + DaniPopes + https://github.com/DaniPopes - https://avatars.githubusercontent.com/u/70709598?s=40&v=4 - lukas0008 - https://github.com/lukas0008 + https://avatars.githubusercontent.com/u/17802178?s=40&v=4 + gakonst + https://github.com/gakonst - https://avatars.githubusercontent.com/u/80051564?s=40&v=4 - kralverde - https://github.com/kralverde + https://avatars.githubusercontent.com/u/38490174?s=40&v=4 + grandizzy + https://github.com/grandizzy - https://avatars.githubusercontent.com/u/124387056?s=40&v=4 - DaniD3v - https://github.com/DaniD3v + https://avatars.githubusercontent.com/u/26014927?s=40&v=4 + Evalir + https://github.com/Evalir @@ -120,7 +158,7 @@ https://github.com/rust-lang/rust Rust #dea584 - 98,838 + 98,846 12,770 30 @@ -152,489 +190,327 @@ - meilisearch/meilisearch - https://github.com/meilisearch/meilisearch - A lightning-fast search API that fits effortlessly into your apps, websites, and workflow - https://github.com/meilisearch/meilisearch - Rust - #dea584 - 47,583 - 1,857 - 20 - - - https://avatars.githubusercontent.com/u/3610253?s=40&v=4 - Kerollmops - https://github.com/Kerollmops - - - https://avatars.githubusercontent.com/u/7032172?s=40&v=4 - irevoire - https://github.com/irevoire - - - https://avatars.githubusercontent.com/u/28804882?s=40&v=4 - MarinPostma - https://github.com/MarinPostma - - - https://avatars.githubusercontent.com/u/41078892?s=40&v=4 - dureuill - https://github.com/dureuill - - - - - GitoxideLabs/gitoxide - https://github.com/GitoxideLabs/gitoxide - An idiomatic, lean, fast & safe pure Rust implementation of Git - https://github.com/GitoxideLabs/gitoxide - Rust - #dea584 - 9,131 - 315 - 3 - - - https://avatars.githubusercontent.com/u/63622?s=40&v=4 - Byron - https://github.com/Byron - - - https://avatars.githubusercontent.com/u/1771172?s=40&v=4 - EliahKagan - https://github.com/EliahKagan - - - https://avatars.githubusercontent.com/u/6173958?s=40&v=4 - edward-shen - https://github.com/edward-shen - - - https://avatars.githubusercontent.com/u/9403190?s=40&v=4 - svetli-n - https://github.com/svetli-n - - - https://avatars.githubusercontent.com/u/13365102?s=40&v=4 - SidneyDouw - https://github.com/SidneyDouw - - - - - tauri-apps/wry - https://github.com/tauri-apps/wry - Cross-platform WebView library in Rust for Tauri. - https://github.com/tauri-apps/wry - Rust - #dea584 - 3,736 - 284 - 1 - - - https://avatars.githubusercontent.com/u/48618675?s=40&v=4 - amrbashir - https://github.com/amrbashir - - - https://avatars.githubusercontent.com/u/20051258?s=40&v=4 - lucasfernog - https://github.com/lucasfernog - - - https://avatars.githubusercontent.com/u/8409985?s=40&v=4 - wusyong - https://github.com/wusyong - - - - - sxyazi/yazi - https://github.com/sxyazi/yazi - 💥 Blazing fast terminal file manager written in Rust, based on async I/O. - https://github.com/sxyazi/yazi + bevyengine/bevy + https://github.com/bevyengine/bevy + A refreshingly simple data-driven game engine built in Rust + https://github.com/bevyengine/bevy Rust #dea584 - 16,795 - 390 - 27 + 36,394 + 3,588 + 22 - https://avatars.githubusercontent.com/u/17523360?s=40&v=4 - sxyazi - https://github.com/sxyazi - - - https://avatars.githubusercontent.com/u/33489972?s=40&v=4 - ndtoan96 - https://github.com/ndtoan96 - - - https://avatars.githubusercontent.com/u/300791?s=40&v=4 - mikavilpas - https://github.com/mikavilpas + https://avatars.githubusercontent.com/u/2694663?s=40&v=4 + cart + https://github.com/cart - https://avatars.githubusercontent.com/u/20698483?s=40&v=4 - XYenon - https://github.com/XYenon + https://avatars.githubusercontent.com/u/8672791?s=40&v=4 + mockersf + https://github.com/mockersf - https://avatars.githubusercontent.com/u/17216317?s=40&v=4 - g-plane - https://github.com/g-plane + https://avatars.githubusercontent.com/u/3579909?s=40&v=4 + alice-i-cecile + https://github.com/alice-i-cecile - gleam-lang/gleam - https://github.com/gleam-lang/gleam - ⭐️ A friendly language for building type-safe, scalable systems! - https://github.com/gleam-lang/gleam + mainmatter/100-exercises-to-learn-rust + https://github.com/mainmatter/100-exercises-to-learn-rust + A self-paced course to learn Rust, one exercise at a time. + https://github.com/mainmatter/100-exercises-to-learn-rust Rust #dea584 - 18,087 - 757 - 10 + 6,212 + 1,085 + 4 - https://avatars.githubusercontent.com/u/6134406?s=40&v=4 - lpil - https://github.com/lpil + https://avatars.githubusercontent.com/u/20745048?s=40&v=4 + LukeMathWalker + https://github.com/LukeMathWalker - https://avatars.githubusercontent.com/u/20598369?s=40&v=4 - giacomocavalieri - https://github.com/giacomocavalieri + https://avatars.githubusercontent.com/u/1510?s=40&v=4 + marcoow + https://github.com/marcoow - https://avatars.githubusercontent.com/u/93559?s=40&v=4 - tomwhatmore - https://github.com/tomwhatmore + https://avatars.githubusercontent.com/u/43485962?s=40&v=4 + c-git + https://github.com/c-git - https://avatars.githubusercontent.com/u/5996838?s=40&v=4 - Acepie - https://github.com/Acepie + https://avatars.githubusercontent.com/u/17907879?s=40&v=4 + hdoordt + https://github.com/hdoordt - https://avatars.githubusercontent.com/u/5862302?s=40&v=4 - CrowdHailer - https://github.com/CrowdHailer + https://avatars.githubusercontent.com/u/286008?s=40&v=4 + ehershey + https://github.com/ehershey - rust-lang/book - https://github.com/rust-lang/book - The Rust Programming Language - https://github.com/rust-lang/book + eza-community/eza + https://github.com/eza-community/eza + A modern alternative to ls + https://github.com/eza-community/eza Rust #dea584 - 15,255 - 3,434 - 7 + 12,479 + 219 + 32 - https://avatars.githubusercontent.com/u/193874?s=40&v=4 - carols10cents - https://github.com/carols10cents + https://avatars.githubusercontent.com/u/89321978?s=40&v=4 + cafkafk + https://github.com/cafkafk - https://avatars.githubusercontent.com/u/27786?s=40&v=4 - steveklabnik - https://github.com/steveklabnik + https://avatars.githubusercontent.com/u/49617392?s=40&v=4 + gierens + https://github.com/gierens - https://avatars.githubusercontent.com/u/2403023?s=40&v=4 - chriskrycho - https://github.com/chriskrycho + https://avatars.githubusercontent.com/u/2446451?s=40&v=4 + ariasuni + https://github.com/ariasuni - https://avatars.githubusercontent.com/u/113791599?s=40&v=4 - SpectralPixel - https://github.com/SpectralPixel - - - https://avatars.githubusercontent.com/u/10991039?s=40&v=4 - davidde - https://github.com/davidde + https://avatars.githubusercontent.com/u/121899304?s=40&v=4 + PThorpe92 + https://github.com/PThorpe92 - tokio-rs/axum - https://github.com/tokio-rs/axum - Ergonomic and modular web framework built with Tokio, Tower, and Hyper - https://github.com/tokio-rs/axum + awslabs/aws-lambda-web-adapter + https://github.com/awslabs/aws-lambda-web-adapter + Run web applications on AWS Lambda + https://github.com/awslabs/aws-lambda-web-adapter Rust #dea584 - 19,341 - 1,068 - 10 + 1,960 + 119 + 3 - https://avatars.githubusercontent.com/u/718941?s=40&v=4 - davidpdrsn - https://github.com/davidpdrsn - - - https://avatars.githubusercontent.com/u/951129?s=40&v=4 - jplatte - https://github.com/jplatte + https://avatars.githubusercontent.com/u/12918?s=40&v=4 + bnusunny + https://github.com/bnusunny - https://avatars.githubusercontent.com/u/16705820?s=40&v=4 - mladedav - https://github.com/mladedav + https://avatars.githubusercontent.com/u/117131783?s=40&v=4 + mbfreder + https://github.com/mbfreder - https://avatars.githubusercontent.com/u/58880148?s=40&v=4 - SabrinaJewson - https://github.com/SabrinaJewson + https://avatars.githubusercontent.com/u/1050?s=40&v=4 + calavera + https://github.com/calavera - https://avatars.githubusercontent.com/u/51669?s=40&v=4 - yanns - https://github.com/yanns + https://avatars.githubusercontent.com/u/100512604?s=40&v=4 + elonniu + https://github.com/elonniu - ratatui/ratatui - https://github.com/ratatui/ratatui - A Rust crate for cooking up terminal user interfaces (TUIs) 👨‍🍳🐀 https://ratatui.rs - https://github.com/ratatui/ratatui + tokio-rs/mio + https://github.com/tokio-rs/mio + Metal I/O library for Rust. + https://github.com/tokio-rs/mio Rust #dea584 - 10,930 - 338 - 41 + 6,379 + 738 + 4 - https://avatars.githubusercontent.com/u/381361?s=40&v=4 - joshka - https://github.com/joshka + https://avatars.githubusercontent.com/u/3159064?s=40&v=4 + Thomasdezeeuw + https://github.com/Thomasdezeeuw - https://avatars.githubusercontent.com/u/10096255?s=40&v=4 - fdehau - https://github.com/fdehau + https://avatars.githubusercontent.com/u/6180?s=40&v=4 + carllerche + https://github.com/carllerche - https://avatars.githubusercontent.com/u/24392180?s=40&v=4 - orhun - https://github.com/orhun + https://avatars.githubusercontent.com/u/64996?s=40&v=4 + alexcrichton + https://github.com/alexcrichton - https://avatars.githubusercontent.com/u/7953011?s=40&v=4 - EdJoPaTo - https://github.com/EdJoPaTo + https://avatars.githubusercontent.com/u/4572153?s=40&v=4 + kleimkuhler + https://github.com/kleimkuhler - https://avatars.githubusercontent.com/u/1813121?s=40&v=4 - kdheepak - https://github.com/kdheepak + https://avatars.githubusercontent.com/u/2316254?s=40&v=4 + dtacalau + https://github.com/dtacalau - yobix-ai/extractous - https://github.com/yobix-ai/extractous - Fast and efficient unstructured data extraction. Written in Rust with bindings for many languages. - https://github.com/yobix-ai/extractous + juspay/hyperswitch + https://github.com/juspay/hyperswitch + An open source payments switch written in Rust to make payments fast, reliable and affordable + https://github.com/juspay/hyperswitch Rust #dea584 - 390 - 15 - 10 + 12,639 + 1,358 + 5 - https://avatars.githubusercontent.com/u/3158098?s=40&v=4 - nmammeri - https://github.com/nmammeri + https://avatars.githubusercontent.com/u/22217505?s=40&v=4 + SanchithHegde + https://github.com/SanchithHegde - https://avatars.githubusercontent.com/u/5749007?s=40&v=4 - s4zuk3 - https://github.com/s4zuk3 + https://avatars.githubusercontent.com/u/48803246?s=40&v=4 + Narayanbhat166 + https://github.com/Narayanbhat166 - https://avatars.githubusercontent.com/u/14653304?s=40&v=4 - KapiWow - https://github.com/KapiWow + https://avatars.githubusercontent.com/u/61539176?s=40&v=4 + hrithikesh026 + https://github.com/hrithikesh026 - hyperium/tonic - https://github.com/hyperium/tonic - A native gRPC client & server implementation with async/await support. - https://github.com/hyperium/tonic + TabbyML/tabby + https://github.com/TabbyML/tabby + Self-hosted AI coding assistant + https://github.com/TabbyML/tabby Rust #dea584 - 10,060 - 1,020 - 8 + 21,990 + 1,015 + 18 - https://avatars.githubusercontent.com/u/5758045?s=40&v=4 - LucioFranco - https://github.com/LucioFranco - - - https://avatars.githubusercontent.com/u/16907501?s=40&v=4 - tottoto - https://github.com/tottoto + https://avatars.githubusercontent.com/u/388154?s=40&v=4 + wsxiaoys + https://github.com/wsxiaoys - https://avatars.githubusercontent.com/u/718941?s=40&v=4 - davidpdrsn - https://github.com/davidpdrsn + https://avatars.githubusercontent.com/u/13573879?s=40&v=4 + icycodes + https://github.com/icycodes - https://avatars.githubusercontent.com/u/1133?s=40&v=4 - alce - https://github.com/alce + https://avatars.githubusercontent.com/u/17516233?s=40&v=4 + liangfung + https://github.com/liangfung - https://avatars.githubusercontent.com/u/548524?s=40&v=4 - jen20 - https://github.com/jen20 + https://avatars.githubusercontent.com/u/14198267?s=40&v=4 + boxbeam + https://github.com/boxbeam - influxdata/influxdb - https://github.com/influxdata/influxdb - Scalable datastore for metrics, events, and real-time analytics - https://github.com/influxdata/influxdb + ajeetdsouza/zoxide + https://github.com/ajeetdsouza/zoxide + A smarter cd command. Supports all major shells. + https://github.com/ajeetdsouza/zoxide Rust #dea584 - 29,012 - 3,555 - 6 + 23,022 + 558 + 28 - https://avatars.githubusercontent.com/u/2433762?s=40&v=4 - alexpaxton - https://github.com/alexpaxton + https://avatars.githubusercontent.com/u/1777663?s=40&v=4 + ajeetdsouza + https://github.com/ajeetdsouza - https://avatars.githubusercontent.com/u/7582765?s=40&v=4 - 121watts - https://github.com/121watts + https://avatars.githubusercontent.com/u/28582702?s=40&v=4 + cole-h + https://github.com/cole-h - https://avatars.githubusercontent.com/u/193874?s=40&v=4 - carols10cents - https://github.com/carols10cents + https://avatars.githubusercontent.com/u/3819725?s=40&v=4 + alin23 + https://github.com/alin23 - https://avatars.githubusercontent.com/u/536312?s=40&v=4 - otoolep - https://github.com/otoolep + https://avatars.githubusercontent.com/u/56180050?s=40&v=4 + sitiom + https://github.com/sitiom - gfx-rs/wgpu - https://github.com/gfx-rs/wgpu - A cross-platform, safe, pure-Rust graphics API. - https://github.com/gfx-rs/wgpu + shadowsocks/shadowsocks-rust + https://github.com/shadowsocks/shadowsocks-rust + A Rust port of shadowsocks + https://github.com/shadowsocks/shadowsocks-rust Rust #dea584 - 12,737 - 935 - 9 + 8,694 + 1,180 + 7 - https://avatars.githubusercontent.com/u/107301?s=40&v=4 - kvark - https://github.com/kvark - - - https://avatars.githubusercontent.com/u/751272?s=40&v=4 - jimblandy - https://github.com/jimblandy + https://avatars.githubusercontent.com/u/1067951?s=40&v=4 + zonyitoo + https://github.com/zonyitoo - https://avatars.githubusercontent.com/u/28601907?s=40&v=4 - teoxoy - https://github.com/teoxoy + https://avatars.githubusercontent.com/u/3511321?s=40&v=4 + Mygod + https://github.com/Mygod - https://avatars.githubusercontent.com/u/7861353?s=40&v=4 - cwfitzgerald - https://github.com/cwfitzgerald + https://avatars.githubusercontent.com/u/627917?s=40&v=4 + madeye + https://github.com/madeye - Orange-OpenSource/hurl - https://github.com/Orange-OpenSource/hurl - Hurl, run and test HTTP requests with plain text. - https://github.com/Orange-OpenSource/hurl + libp2p/rust-libp2p + https://github.com/libp2p/rust-libp2p + The Rust Implementation of the libp2p networking stack. + https://github.com/libp2p/rust-libp2p Rust #dea584 - 13,161 - 491 - 7 + 4,624 + 961 + 3 - https://avatars.githubusercontent.com/u/16323814?s=40&v=4 - jcamiel - https://github.com/jcamiel + https://avatars.githubusercontent.com/u/1412254?s=40&v=4 + tomaka + https://github.com/tomaka - https://avatars.githubusercontent.com/u/682123?s=40&v=4 - fabricereix - https://github.com/fabricereix + https://avatars.githubusercontent.com/u/7047859?s=40&v=4 + mxinden + https://github.com/mxinden - https://avatars.githubusercontent.com/u/117728909?s=40&v=4 - hurl-bot - https://github.com/hurl-bot + https://avatars.githubusercontent.com/u/5486389?s=40&v=4 + thomaseizinger + https://github.com/thomaseizinger - https://avatars.githubusercontent.com/u/15672496?s=40&v=4 - lepapareil - https://github.com/lepapareil - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - - - - bevyengine/bevy - https://github.com/bevyengine/bevy - A refreshingly simple data-driven game engine built in Rust - https://github.com/bevyengine/bevy - Rust - #dea584 - 36,389 - 3,588 - 22 - - - https://avatars.githubusercontent.com/u/2694663?s=40&v=4 - cart - https://github.com/cart - - - https://avatars.githubusercontent.com/u/8672791?s=40&v=4 - mockersf - https://github.com/mockersf - - - https://avatars.githubusercontent.com/u/3579909?s=40&v=4 - alice-i-cecile - https://github.com/alice-i-cecile + https://avatars.githubusercontent.com/u/177309?s=40&v=4 + romanb + https://github.com/romanb @@ -645,7 +521,7 @@ https://github.com/qarmin/czkawka Rust #dea584 - 20,383 + 20,390 663 23 @@ -683,7 +559,7 @@ https://github.com/tikv/tikv Rust #dea584 - 15,313 + 15,316 2,140 6 @@ -710,40 +586,111 @@ - dani-garcia/vaultwarden - https://github.com/dani-garcia/vaultwarden - Unofficial Bitwarden compatible server written in Rust, formerly known as bitwarden_rs - https://github.com/dani-garcia/vaultwarden + trumank/repak + https://github.com/trumank/repak + Unreal Engine .pak file library and CLI in rust + https://github.com/trumank/repak + Rust + #dea584 + 214 + 28 + 6 + + + https://avatars.githubusercontent.com/u/1144160?s=40&v=4 + trumank + https://github.com/trumank + + + https://avatars.githubusercontent.com/u/71292624?s=40&v=4 + bananaturtlesandwich + https://github.com/bananaturtlesandwich + + + https://avatars.githubusercontent.com/u/39484203?s=40&v=4 + jieyouxu + https://github.com/jieyouxu + + + https://avatars.githubusercontent.com/u/2548819?s=40&v=4 + GiovanniMounir + https://github.com/GiovanniMounir + + + + + TeamFlos/phira + https://github.com/TeamFlos/phira + + https://github.com/TeamFlos/phira Rust #dea584 - 39,335 - 1,907 - 35 + 1,320 + 109 + 2 - https://avatars.githubusercontent.com/u/725423?s=40&v=4 - dani-garcia - https://github.com/dani-garcia + https://avatars.githubusercontent.com/u/54128043?s=40&v=4 + Mivik + https://github.com/Mivik - https://avatars.githubusercontent.com/u/610450?s=40&v=4 - BlackDex - https://github.com/BlackDex + https://avatars.githubusercontent.com/u/58244206?s=40&v=4 + sjfhsjfh + https://github.com/sjfhsjfh - https://avatars.githubusercontent.com/u/203380?s=40&v=4 - jjlin - https://github.com/jjlin + https://avatars.githubusercontent.com/u/108552898?s=40&v=4 + Reenouneer + https://github.com/Reenouneer - https://avatars.githubusercontent.com/u/8255364?s=40&v=4 - mprasil - https://github.com/mprasil + https://avatars.githubusercontent.com/u/118240135?s=40&v=4 + Limefruit1 + https://github.com/Limefruit1 - https://avatars.githubusercontent.com/u/509385?s=40&v=4 - stefan0xC - https://github.com/stefan0xC + https://avatars.githubusercontent.com/u/86252890?s=40&v=4 + Mishiranuuuuu + https://github.com/Mishiranuuuuu + + + + + DioxusLabs/dioxus + https://github.com/DioxusLabs/dioxus + Fullstack app framework for web, desktop, mobile, and more. + https://github.com/DioxusLabs/dioxus + Rust + #dea584 + 21,627 + 832 + 14 + + + https://avatars.githubusercontent.com/u/10237910?s=40&v=4 + jkelleyrtp + https://github.com/jkelleyrtp + + + https://avatars.githubusercontent.com/u/66571940?s=40&v=4 + ealmloff + https://github.com/ealmloff + + + https://avatars.githubusercontent.com/u/41265098?s=40&v=4 + mrxiaozhuox + https://github.com/mrxiaozhuox + + + https://avatars.githubusercontent.com/u/30190859?s=40&v=4 + DogeDark + https://github.com/DogeDark + + + https://avatars.githubusercontent.com/u/11248241?s=40&v=4 + rMazeiks + https://github.com/rMazeiks @@ -754,7 +701,7 @@ https://github.com/LGUG2Z/komorebi Rust #dea584 - 9,610 + 9,615 200 21 @@ -780,5 +727,76 @@ + + rust-unofficial/awesome-rust + https://github.com/rust-unofficial/awesome-rust + A curated list of Rust code and resources. + https://github.com/rust-unofficial/awesome-rust + Rust + #dea584 + 47,153 + 2,773 + 23 + + + https://avatars.githubusercontent.com/u/38532?s=40&v=4 + palfrey + https://github.com/palfrey + + + https://avatars.githubusercontent.com/u/8401799?s=40&v=4 + luciusmagn + https://github.com/luciusmagn + + + https://avatars.githubusercontent.com/u/391975?s=40&v=4 + kud1ing + https://github.com/kud1ing + + + https://avatars.githubusercontent.com/u/1146369?s=40&v=4 + iddm + https://github.com/iddm + + + https://avatars.githubusercontent.com/u/37858097?s=40&v=4 + BinChengZhao + https://github.com/BinChengZhao + + + + + gfx-rs/wgpu + https://github.com/gfx-rs/wgpu + A cross-platform, safe, pure-Rust graphics API. + https://github.com/gfx-rs/wgpu + Rust + #dea584 + 12,742 + 935 + 9 + + + https://avatars.githubusercontent.com/u/107301?s=40&v=4 + kvark + https://github.com/kvark + + + https://avatars.githubusercontent.com/u/751272?s=40&v=4 + jimblandy + https://github.com/jimblandy + + + https://avatars.githubusercontent.com/u/28601907?s=40&v=4 + teoxoy + https://github.com/teoxoy + + + https://avatars.githubusercontent.com/u/7861353?s=40&v=4 + cwfitzgerald + https://github.com/cwfitzgerald + + + \ No newline at end of file diff --git a/data/daily/sage.json b/data/daily/sage.json index 311ee30a18ed..7ac03206f832 100644 --- a/data/daily/sage.json +++ b/data/daily/sage.json @@ -2,6 +2,6 @@ "title": "GitHub Sage Languages Daily Trending", "description": "Daily Trending of Sage Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sage.xml b/data/daily/sage.xml index 01e148800280..813732bf1e45 100644 --- a/data/daily/sage.xml +++ b/data/daily/sage.xml @@ -3,6 +3,6 @@ GitHub Sage Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sage Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/saltstack.json b/data/daily/saltstack.json index 9da3e08c6842..97768bd522e0 100644 --- a/data/daily/saltstack.json +++ b/data/daily/saltstack.json @@ -2,6 +2,6 @@ "title": "GitHub Saltstack Languages Daily Trending", "description": "Daily Trending of Saltstack Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/saltstack.xml b/data/daily/saltstack.xml index f62285db819b..2e3deb6819f8 100644 --- a/data/daily/saltstack.xml +++ b/data/daily/saltstack.xml @@ -3,6 +3,6 @@ GitHub Saltstack Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Saltstack Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sas.json b/data/daily/sas.json index 4acd12808588..4322cd1fe4e2 100644 --- a/data/daily/sas.json +++ b/data/daily/sas.json @@ -2,6 +2,6 @@ "title": "GitHub Sas Languages Daily Trending", "description": "Daily Trending of Sas Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sas.xml b/data/daily/sas.xml index 4a27c46241c9..e8acbe3188c4 100644 --- a/data/daily/sas.xml +++ b/data/daily/sas.xml @@ -3,6 +3,6 @@ GitHub Sas Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sas Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sass.json b/data/daily/sass.json index 63c584dce8c9..b9cd35380c0a 100644 --- a/data/daily/sass.json +++ b/data/daily/sass.json @@ -2,42 +2,32 @@ "title": "GitHub Sass Languages Daily Trending", "description": "Daily Trending of Sass Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "readthedocs/sphinx_rtd_theme", - "url": "https://github.com/readthedocs/sphinx_rtd_theme", - "description": "Sphinx theme from Read the Docs", + "title": "pradyunsg/furo", + "url": "https://github.com/pradyunsg/furo", + "description": "A clean customizable documentation theme for Sphinx", "language": "Sass", "languageColor": "#a53b70", - "stars": "4,805", - "forks": "1,740", - "addStars": "1", + "stars": "2,806", + "forks": "322", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1140183?s=40&v=4", - "name": "agjohnson", - "url": "https://github.com/agjohnson" + "avatar": "https://avatars.githubusercontent.com/u/3275593?s=40&v=4", + "name": "pradyunsg", + "url": "https://github.com/pradyunsg" }, { - "avatar": "https://avatars.githubusercontent.com/u/15183467?s=40&v=4", - "name": "Blendify", - "url": "https://github.com/Blendify" + "avatar": "https://avatars.githubusercontent.com/u/9087854?s=40&v=4", + "name": "AA-Turner", + "url": "https://github.com/AA-Turner" }, { - "avatar": "https://avatars.githubusercontent.com/u/25510?s=40&v=4", - "name": "ericholscher", - "url": "https://github.com/ericholscher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/324519?s=40&v=4", - "name": "snide", - "url": "https://github.com/snide" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/374612?s=40&v=4", - "name": "benjaoming", - "url": "https://github.com/benjaoming" + "avatar": "https://avatars.githubusercontent.com/u/1834509?s=40&v=4", + "name": "jdknight", + "url": "https://github.com/jdknight" } ] } diff --git a/data/daily/sass.xml b/data/daily/sass.xml index d7f0d3cd6781..65043351e95e 100644 --- a/data/daily/sass.xml +++ b/data/daily/sass.xml @@ -3,42 +3,32 @@ GitHub Sass Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sass Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - readthedocs/sphinx_rtd_theme - https://github.com/readthedocs/sphinx_rtd_theme - Sphinx theme from Read the Docs - https://github.com/readthedocs/sphinx_rtd_theme + pradyunsg/furo + https://github.com/pradyunsg/furo + A clean customizable documentation theme for Sphinx + https://github.com/pradyunsg/furo Sass #a53b70 - 4,805 - 1,740 - 1 + 2,806 + 322 + 3 - https://avatars.githubusercontent.com/u/1140183?s=40&v=4 - agjohnson - https://github.com/agjohnson + https://avatars.githubusercontent.com/u/3275593?s=40&v=4 + pradyunsg + https://github.com/pradyunsg - https://avatars.githubusercontent.com/u/15183467?s=40&v=4 - Blendify - https://github.com/Blendify + https://avatars.githubusercontent.com/u/9087854?s=40&v=4 + AA-Turner + https://github.com/AA-Turner - https://avatars.githubusercontent.com/u/25510?s=40&v=4 - ericholscher - https://github.com/ericholscher - - - https://avatars.githubusercontent.com/u/324519?s=40&v=4 - snide - https://github.com/snide - - - https://avatars.githubusercontent.com/u/374612?s=40&v=4 - benjaoming - https://github.com/benjaoming + https://avatars.githubusercontent.com/u/1834509?s=40&v=4 + jdknight + https://github.com/jdknight diff --git a/data/daily/scala.json b/data/daily/scala.json index f3f78c95dde8..5816ec5a7680 100644 --- a/data/daily/scala.json +++ b/data/daily/scala.json @@ -2,111 +2,106 @@ "title": "GitHub Scala Languages Daily Trending", "description": "Daily Trending of Scala Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "joernio/joern", - "url": "https://github.com/joernio/joern", - "description": "Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc", + "title": "twitter/the-algorithm", + "url": "https://github.com/twitter/the-algorithm", + "description": "Source code for Twitter's Recommendation Algorithm", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,118", - "forks": "291", - "addStars": "1", + "stars": "62,462", + "forks": "12,159", + "addStars": "17", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1379115?s=40&v=4", - "name": "fabsx00", - "url": "https://github.com/fabsx00" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1417198?s=40&v=4", - "name": "max-leuthaeuser", - "url": "https://github.com/max-leuthaeuser" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28294550?s=40&v=4", - "name": "DavidBakerEffendi", - "url": "https://github.com/DavidBakerEffendi" + "avatar": "https://avatars.githubusercontent.com/u/25687?s=40&v=4", + "name": "dzhao", + "url": "https://github.com/dzhao" }, { - "avatar": "https://avatars.githubusercontent.com/u/497951?s=40&v=4", - "name": "ursachec", - "url": "https://github.com/ursachec" + "avatar": "https://avatars.githubusercontent.com/u/20663776?s=40&v=4", + "name": "pouriya", + "url": "https://github.com/pouriya" }, { - "avatar": "https://avatars.githubusercontent.com/u/506752?s=40&v=4", - "name": "mpollmeier", - "url": "https://github.com/mpollmeier" + "avatar": "https://avatars.githubusercontent.com/u/35087590?s=40&v=4", + "name": "anqueue", + "url": "https://github.com/anqueue" } ] }, { - "title": "open-korean-text/open-korean-text", - "url": "https://github.com/open-korean-text/open-korean-text", - "description": "Open Korean Text Processor - An Open-source Korean Text Processor", + "title": "apache/spark", + "url": "https://github.com/apache/spark", + "description": "Apache Spark - A unified analytics engine for large-scale data processing", "language": "Scala", "languageColor": "#c22d40", - "stars": "614", - "forks": "97", - "addStars": "0", + "stars": "40,023", + "forks": "28,341", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/690665?s=40&v=4", - "name": "hohyon-ryu", - "url": "https://github.com/hohyon-ryu" + "avatar": "https://avatars.githubusercontent.com/u/6477701?s=40&v=4", + "name": "HyukjinKwon", + "url": "https://github.com/HyukjinKwon" }, { - "avatar": "https://avatars.githubusercontent.com/u/12149783?s=40&v=4", - "name": "MechanicKim", - "url": "https://github.com/MechanicKim" + "avatar": "https://avatars.githubusercontent.com/u/9700541?s=40&v=4", + "name": "dongjoon-hyun", + "url": "https://github.com/dongjoon-hyun" }, { - "avatar": "https://avatars.githubusercontent.com/u/90080?s=40&v=4", - "name": "bigloser", - "url": "https://github.com/bigloser" + "avatar": "https://avatars.githubusercontent.com/u/228859?s=40&v=4", + "name": "mateiz", + "url": "https://github.com/mateiz" }, { - "avatar": "https://avatars.githubusercontent.com/u/2316910?s=40&v=4", - "name": "ksseono", - "url": "https://github.com/ksseono" + "avatar": "https://avatars.githubusercontent.com/u/323388?s=40&v=4", + "name": "rxin", + "url": "https://github.com/rxin" }, { - "avatar": "https://avatars.githubusercontent.com/u/13380251?s=40&v=4", - "name": "ovekyc", - "url": "https://github.com/ovekyc" + "avatar": "https://avatars.githubusercontent.com/u/3182036?s=40&v=4", + "name": "cloud-fan", + "url": "https://github.com/cloud-fan" } ] }, { - "title": "digital-asset/daml", - "url": "https://github.com/digital-asset/daml", - "description": "The Daml smart contract language", + "title": "com-lihaoyi/mill", + "url": "https://github.com/com-lihaoyi/mill", + "description": "Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible", "language": "Scala", "languageColor": "#c22d40", - "stars": "803", - "forks": "203", + "stars": "2,222", + "forks": "358", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1313584?s=40&v=4", - "name": "cocreature", - "url": "https://github.com/cocreature" + "avatar": "https://avatars.githubusercontent.com/u/1321393?s=40&v=4", + "name": "lefou", + "url": "https://github.com/lefou" }, { - "avatar": "https://avatars.githubusercontent.com/u/45561385?s=40&v=4", - "name": "garyverhaegen-da", - "url": "https://github.com/garyverhaegen-da" + "avatar": "https://avatars.githubusercontent.com/u/934140?s=40&v=4", + "name": "lihaoyi", + "url": "https://github.com/lihaoyi" }, { - "avatar": "https://avatars.githubusercontent.com/u/45566104?s=40&v=4", - "name": "remyhaemmerle-da", - "url": "https://github.com/remyhaemmerle-da" + "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", + "name": "scala-steward", + "url": "https://github.com/scala-steward" }, { - "avatar": "https://avatars.githubusercontent.com/u/43749967?s=40&v=4", - "name": "stefanobaghino-da", - "url": "https://github.com/stefanobaghino-da" + "avatar": "https://avatars.githubusercontent.com/u/5793054?s=40&v=4", + "name": "lolgab", + "url": "https://github.com/lolgab" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35768070?s=40&v=4", + "name": "adadima", + "url": "https://github.com/adadima" } ] }, @@ -148,39 +143,76 @@ ] }, { - "title": "twitter/algebird", - "url": "https://github.com/twitter/algebird", - "description": "Abstract Algebra for Scala", + "title": "lichess-org/lila", + "url": "https://github.com/lichess-org/lila", + "description": "♞ lichess.org: the forever free, adless and open source chess server ♞", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,289", - "forks": "345", - "addStars": "0", + "stars": "15,723", + "forks": "2,283", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/67958?s=40&v=4", - "name": "johnynek", - "url": "https://github.com/johnynek" + "avatar": "https://avatars.githubusercontent.com/u/140370?s=40&v=4", + "name": "ornicar", + "url": "https://github.com/ornicar" }, { - "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", - "name": "scala-steward", - "url": "https://github.com/scala-steward" + "avatar": "https://avatars.githubusercontent.com/u/402777?s=40&v=4", + "name": "niklasf", + "url": "https://github.com/niklasf" }, { - "avatar": "https://avatars.githubusercontent.com/u/446652?s=40&v=4", - "name": "ianoc", - "url": "https://github.com/ianoc" + "avatar": "https://avatars.githubusercontent.com/u/101470903?s=40&v=4", + "name": "schlawg", + "url": "https://github.com/schlawg" }, { - "avatar": "https://avatars.githubusercontent.com/u/6425077?s=40&v=4", - "name": "sid-kap", - "url": "https://github.com/sid-kap" + "avatar": "https://avatars.githubusercontent.com/u/19309705?s=40&v=4", + "name": "benediktwerner", + "url": "https://github.com/benediktwerner" }, { - "avatar": "https://avatars.githubusercontent.com/u/69635?s=40&v=4", - "name": "sritchie", - "url": "https://github.com/sritchie" + "avatar": "https://avatars.githubusercontent.com/u/56031107?s=40&v=4", + "name": "kraktus", + "url": "https://github.com/kraktus" + } + ] + }, + { + "title": "scalameta/metals", + "url": "https://github.com/scalameta/metals", + "description": "Scala language server with rich IDE features 🚀", + "language": "Scala", + "languageColor": "#c22d40", + "stars": "2,101", + "forks": "335", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3807253?s=40&v=4", + "name": "tgodzik", + "url": "https://github.com/tgodzik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1408093?s=40&v=4", + "name": "olafurpg", + "url": "https://github.com/olafurpg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13974112?s=40&v=4", + "name": "ckipp01", + "url": "https://github.com/ckipp01" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/691940?s=40&v=4", + "name": "gabro", + "url": "https://github.com/gabro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", + "name": "scala-steward", + "url": "https://github.com/scala-steward" } ] }, @@ -191,7 +223,7 @@ "language": "Scala", "languageColor": "#c22d40", "stars": "999", - "forks": "361", + "forks": "360", "addStars": "0", "contributors": [ { @@ -259,150 +291,71 @@ ] }, { - "title": "apache/incubator-gluten", - "url": "https://github.com/apache/incubator-gluten", - "description": "Gluten is a middle layer responsible for offloading JVM-based SQL engines' execution to native engines.", + "title": "rtyley/bfg-repo-cleaner", + "url": "https://github.com/rtyley/bfg-repo-cleaner", + "description": "Removes large or troublesome blobs like git-filter-branch does, but faster. And written in Scala", "language": "Scala", "languageColor": "#c22d40", - "stars": "1,216", - "forks": "438", - "addStars": "0", + "stars": "11,164", + "forks": "550", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11284395?s=40&v=4", - "name": "zhztheplayer", - "url": "https://github.com/zhztheplayer" + "avatar": "https://avatars.githubusercontent.com/u/52038?s=40&v=4", + "name": "rtyley", + "url": "https://github.com/rtyley" }, { - "avatar": "https://avatars.githubusercontent.com/u/13806761?s=40&v=4", - "name": "PHILO-HE", - "url": "https://github.com/PHILO-HE" + "avatar": "https://avatars.githubusercontent.com/u/1103477?s=40&v=4", + "name": "javabrett", + "url": "https://github.com/javabrett" }, { - "avatar": "https://avatars.githubusercontent.com/u/52736607?s=40&v=4", - "name": "marin-ma", - "url": "https://github.com/marin-ma" + "avatar": "https://avatars.githubusercontent.com/u/344610?s=40&v=4", + "name": "dwijnand", + "url": "https://github.com/dwijnand" }, { - "avatar": "https://avatars.githubusercontent.com/u/41687378?s=40&v=4", - "name": "rui-mo", - "url": "https://github.com/rui-mo" + "avatar": "https://avatars.githubusercontent.com/u/14003?s=40&v=4", + "name": "alecthegeek", + "url": "https://github.com/alecthegeek" }, { - "avatar": "https://avatars.githubusercontent.com/u/137994563?s=40&v=4", - "name": "GlutenPerfBot", - "url": "https://github.com/GlutenPerfBot" + "avatar": "https://avatars.githubusercontent.com/u/1146876?s=40&v=4", + "name": "kevinji", + "url": "https://github.com/kevinji" } ] }, { - "title": "com-lihaoyi/mill", - "url": "https://github.com/com-lihaoyi/mill", - "description": "Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "2,221", - "forks": "358", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1321393?s=40&v=4", - "name": "lefou", - "url": "https://github.com/lefou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/934140?s=40&v=4", - "name": "lihaoyi", - "url": "https://github.com/lihaoyi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", - "name": "scala-steward", - "url": "https://github.com/scala-steward" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5793054?s=40&v=4", - "name": "lolgab", - "url": "https://github.com/lolgab" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35768070?s=40&v=4", - "name": "adadima", - "url": "https://github.com/adadima" - } - ] - }, - { - "title": "snowflakedb/spark-snowflake", - "url": "https://github.com/snowflakedb/spark-snowflake", - "description": "Snowflake Data Source for Apache Spark.", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "219", - "forks": "99", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/29698166?s=40&v=4", - "name": "binglihub", - "url": "https://github.com/binglihub" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/63472932?s=40&v=4", - "name": "sfc-gh-mrui", - "url": "https://github.com/sfc-gh-mrui" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6132897?s=40&v=4", - "name": "etduwx", - "url": "https://github.com/etduwx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4406638?s=40&v=4", - "name": "MarcinZukowski", - "url": "https://github.com/MarcinZukowski" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50748?s=40&v=4", - "name": "JoshRosen", - "url": "https://github.com/JoshRosen" - } - ] - }, - { - "title": "scala/scala", - "url": "https://github.com/scala/scala", - "description": "Scala 2 compiler and standard library. Scala 2 bugs at https://github.com/scala/bug; Scala 3 at https://github.com/scala/scala3", + "title": "digital-asset/daml", + "url": "https://github.com/digital-asset/daml", + "description": "The Daml smart contract language", "language": "Scala", "languageColor": "#c22d40", - "stars": "14,353", - "forks": "3,116", + "stars": "803", + "forks": "203", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9135?s=40&v=4", - "name": "paulp", - "url": "https://github.com/paulp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/119636?s=40&v=4", - "name": "lrytz", - "url": "https://github.com/lrytz" + "avatar": "https://avatars.githubusercontent.com/u/1313584?s=40&v=4", + "name": "cocreature", + "url": "https://github.com/cocreature" }, { - "avatar": "https://avatars.githubusercontent.com/u/65551?s=40&v=4", - "name": "retronym", - "url": "https://github.com/retronym" + "avatar": "https://avatars.githubusercontent.com/u/45561385?s=40&v=4", + "name": "garyverhaegen-da", + "url": "https://github.com/garyverhaegen-da" }, { - "avatar": "https://avatars.githubusercontent.com/u/91083?s=40&v=4", - "name": "adriaanm", - "url": "https://github.com/adriaanm" + "avatar": "https://avatars.githubusercontent.com/u/45566104?s=40&v=4", + "name": "remyhaemmerle-da", + "url": "https://github.com/remyhaemmerle-da" }, { - "avatar": "https://avatars.githubusercontent.com/u/795990?s=40&v=4", - "name": "odersky", - "url": "https://github.com/odersky" + "avatar": "https://avatars.githubusercontent.com/u/43749967?s=40&v=4", + "name": "stefanobaghino-da", + "url": "https://github.com/stefanobaghino-da" } ] }, @@ -412,7 +365,7 @@ "description": "Rocket Chip Generator", "language": "Scala", "languageColor": "#c22d40", - "stars": "3,266", + "stars": "3,267", "forks": "1,131", "addStars": "0", "contributors": [ @@ -444,288 +397,209 @@ ] }, { - "title": "twitter/the-algorithm", - "url": "https://github.com/twitter/the-algorithm", - "description": "Source code for Twitter's Recommendation Algorithm", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "62,459", - "forks": "12,159", - "addStars": "17", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25687?s=40&v=4", - "name": "dzhao", - "url": "https://github.com/dzhao" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20663776?s=40&v=4", - "name": "pouriya", - "url": "https://github.com/pouriya" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35087590?s=40&v=4", - "name": "anqueue", - "url": "https://github.com/anqueue" - } - ] - }, - { - "title": "lichess-org/lila", - "url": "https://github.com/lichess-org/lila", - "description": "♞ lichess.org: the forever free, adless and open source chess server ♞", + "title": "joernio/joern", + "url": "https://github.com/joernio/joern", + "description": "Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc", "language": "Scala", "languageColor": "#c22d40", - "stars": "15,722", - "forks": "2,283", - "addStars": "7", + "stars": "2,117", + "forks": "291", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/140370?s=40&v=4", - "name": "ornicar", - "url": "https://github.com/ornicar" + "avatar": "https://avatars.githubusercontent.com/u/1379115?s=40&v=4", + "name": "fabsx00", + "url": "https://github.com/fabsx00" }, { - "avatar": "https://avatars.githubusercontent.com/u/402777?s=40&v=4", - "name": "niklasf", - "url": "https://github.com/niklasf" + "avatar": "https://avatars.githubusercontent.com/u/1417198?s=40&v=4", + "name": "max-leuthaeuser", + "url": "https://github.com/max-leuthaeuser" }, { - "avatar": "https://avatars.githubusercontent.com/u/101470903?s=40&v=4", - "name": "schlawg", - "url": "https://github.com/schlawg" + "avatar": "https://avatars.githubusercontent.com/u/28294550?s=40&v=4", + "name": "DavidBakerEffendi", + "url": "https://github.com/DavidBakerEffendi" }, { - "avatar": "https://avatars.githubusercontent.com/u/19309705?s=40&v=4", - "name": "benediktwerner", - "url": "https://github.com/benediktwerner" + "avatar": "https://avatars.githubusercontent.com/u/497951?s=40&v=4", + "name": "ursachec", + "url": "https://github.com/ursachec" }, { - "avatar": "https://avatars.githubusercontent.com/u/56031107?s=40&v=4", - "name": "kraktus", - "url": "https://github.com/kraktus" + "avatar": "https://avatars.githubusercontent.com/u/506752?s=40&v=4", + "name": "mpollmeier", + "url": "https://github.com/mpollmeier" } ] }, { - "title": "akka/akka-http", - "url": "https://github.com/akka/akka-http", - "description": "The Streaming-first HTTP server/module of Akka", + "title": "chipsalliance/chisel", + "url": "https://github.com/chipsalliance/chisel", + "description": "Chisel: A Modern Hardware Design Language", "language": "Scala", "languageColor": "#c22d40", - "stars": "1,338", - "forks": "594", + "stars": "4,007", + "forks": "602", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9868?s=40&v=4", - "name": "jrudolph", - "url": "https://github.com/jrudolph" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/131856?s=40&v=4", - "name": "raboof", - "url": "https://github.com/raboof" + "avatar": "https://avatars.githubusercontent.com/u/8584418?s=40&v=4", + "name": "jackkoenig", + "url": "https://github.com/jackkoenig" }, { - "avatar": "https://avatars.githubusercontent.com/u/120979?s=40&v=4", - "name": "ktoso", - "url": "https://github.com/ktoso" + "avatar": "https://avatars.githubusercontent.com/u/1018530?s=40&v=4", + "name": "seldridge", + "url": "https://github.com/seldridge" }, { - "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", - "name": "scala-steward", - "url": "https://github.com/scala-steward" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/666915?s=40&v=4", - "name": "johanandren", - "url": "https://github.com/johanandren" + "avatar": "https://avatars.githubusercontent.com/u/6845888?s=40&v=4", + "name": "ucbjrl", + "url": "https://github.com/ucbjrl" } ] }, { - "title": "apache/spark", - "url": "https://github.com/apache/spark", - "description": "Apache Spark - A unified analytics engine for large-scale data processing", + "title": "microsoft/SynapseML", + "url": "https://github.com/microsoft/SynapseML", + "description": "Simple and Distributed Machine Learning", "language": "Scala", "languageColor": "#c22d40", - "stars": "40,022", - "forks": "28,342", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6477701?s=40&v=4", - "name": "HyukjinKwon", - "url": "https://github.com/HyukjinKwon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9700541?s=40&v=4", - "name": "dongjoon-hyun", - "url": "https://github.com/dongjoon-hyun" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/228859?s=40&v=4", - "name": "mateiz", - "url": "https://github.com/mateiz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/323388?s=40&v=4", - "name": "rxin", - "url": "https://github.com/rxin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3182036?s=40&v=4", - "name": "cloud-fan", - "url": "https://github.com/cloud-fan" - } - ] - }, - { - "title": "delta-io/delta", - "url": "https://github.com/delta-io/delta", - "description": "An open-source storage framework that enables building a Lakehouse architecture with compute engines including Spark, PrestoDB, Flink, Trino, and Hive and APIs", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "7,627", - "forks": "1,712", - "addStars": "1", + "stars": "5,068", + "forks": "831", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/59617782?s=40&v=4", - "name": "scottsand-db", - "url": "https://github.com/scottsand-db" + "avatar": "https://avatars.githubusercontent.com/u/6456637?s=40&v=4", + "name": "mhamilton723", + "url": "https://github.com/mhamilton723" }, { - "avatar": "https://avatars.githubusercontent.com/u/1719945?s=40&v=4", - "name": "vkorukanti", - "url": "https://github.com/vkorukanti" + "avatar": "https://avatars.githubusercontent.com/u/82044803?s=40&v=4", + "name": "serena-ruan", + "url": "https://github.com/serena-ruan" }, { - "avatar": "https://avatars.githubusercontent.com/u/89107911?s=40&v=4", - "name": "allisonport-db", - "url": "https://github.com/allisonport-db" + "avatar": "https://avatars.githubusercontent.com/u/24683184?s=40&v=4", + "name": "imatiach-msft", + "url": "https://github.com/imatiach-msft" }, { - "avatar": "https://avatars.githubusercontent.com/u/1000778?s=40&v=4", - "name": "zsxwing", - "url": "https://github.com/zsxwing" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/663212?s=40&v=4", - "name": "tdas", - "url": "https://github.com/tdas" + "avatar": "https://avatars.githubusercontent.com/u/185905?s=40&v=4", + "name": "elibarzilay", + "url": "https://github.com/elibarzilay" } ] }, { - "title": "scalameta/metals", - "url": "https://github.com/scalameta/metals", - "description": "Scala language server with rich IDE features 🚀", + "title": "scala/scala", + "url": "https://github.com/scala/scala", + "description": "Scala 2 compiler and standard library. Scala 2 bugs at https://github.com/scala/bug; Scala 3 at https://github.com/scala/scala3", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,101", - "forks": "334", - "addStars": "1", + "stars": "14,353", + "forks": "3,116", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3807253?s=40&v=4", - "name": "tgodzik", - "url": "https://github.com/tgodzik" + "avatar": "https://avatars.githubusercontent.com/u/9135?s=40&v=4", + "name": "paulp", + "url": "https://github.com/paulp" }, { - "avatar": "https://avatars.githubusercontent.com/u/1408093?s=40&v=4", - "name": "olafurpg", - "url": "https://github.com/olafurpg" + "avatar": "https://avatars.githubusercontent.com/u/119636?s=40&v=4", + "name": "lrytz", + "url": "https://github.com/lrytz" }, { - "avatar": "https://avatars.githubusercontent.com/u/13974112?s=40&v=4", - "name": "ckipp01", - "url": "https://github.com/ckipp01" + "avatar": "https://avatars.githubusercontent.com/u/65551?s=40&v=4", + "name": "retronym", + "url": "https://github.com/retronym" }, { - "avatar": "https://avatars.githubusercontent.com/u/691940?s=40&v=4", - "name": "gabro", - "url": "https://github.com/gabro" + "avatar": "https://avatars.githubusercontent.com/u/91083?s=40&v=4", + "name": "adriaanm", + "url": "https://github.com/adriaanm" }, { - "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", - "name": "scala-steward", - "url": "https://github.com/scala-steward" + "avatar": "https://avatars.githubusercontent.com/u/795990?s=40&v=4", + "name": "odersky", + "url": "https://github.com/odersky" } ] }, { - "title": "ucb-bar/berkeley-hardfloat", - "url": "https://github.com/ucb-bar/berkeley-hardfloat", - "description": "", + "title": "snowflakedb/spark-snowflake", + "url": "https://github.com/snowflakedb/spark-snowflake", + "description": "Snowflake Data Source for Apache Spark.", "language": "Scala", "languageColor": "#c22d40", - "stars": "291", - "forks": "87", + "stars": "219", + "forks": "99", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", - "name": "aswaterman", - "url": "https://github.com/aswaterman" + "avatar": "https://avatars.githubusercontent.com/u/29698166?s=40&v=4", + "name": "binglihub", + "url": "https://github.com/binglihub" }, { - "avatar": "https://avatars.githubusercontent.com/u/5791019?s=40&v=4", - "name": "sequencer", - "url": "https://github.com/sequencer" + "avatar": "https://avatars.githubusercontent.com/u/63472932?s=40&v=4", + "name": "sfc-gh-mrui", + "url": "https://github.com/sfc-gh-mrui" }, { - "avatar": "https://avatars.githubusercontent.com/u/1168067?s=40&v=4", - "name": "jhauser-ucberkeley", - "url": "https://github.com/jhauser-ucberkeley" + "avatar": "https://avatars.githubusercontent.com/u/6132897?s=40&v=4", + "name": "etduwx", + "url": "https://github.com/etduwx" }, { - "avatar": "https://avatars.githubusercontent.com/u/703957?s=40&v=4", - "name": "yunsup", - "url": "https://github.com/yunsup" + "avatar": "https://avatars.githubusercontent.com/u/4406638?s=40&v=4", + "name": "MarcinZukowski", + "url": "https://github.com/MarcinZukowski" }, { - "avatar": "https://avatars.githubusercontent.com/u/14086183?s=40&v=4", - "name": "jerryz123", - "url": "https://github.com/jerryz123" + "avatar": "https://avatars.githubusercontent.com/u/50748?s=40&v=4", + "name": "JoshRosen", + "url": "https://github.com/JoshRosen" } ] }, { - "title": "typelevel/cats", - "url": "https://github.com/typelevel/cats", - "description": "Lightweight, modular, and extensible library for functional programming.", + "title": "twitter/algebird", + "url": "https://github.com/twitter/algebird", + "description": "Abstract Algebra for Scala", "language": "Scala", "languageColor": "#c22d40", - "stars": "5,259", - "forks": "1,210", + "stars": "2,289", + "forks": "345", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/977929?s=40&v=4", - "name": "ceedubs", - "url": "https://github.com/ceedubs" + "avatar": "https://avatars.githubusercontent.com/u/67958?s=40&v=4", + "name": "johnynek", + "url": "https://github.com/johnynek" }, { - "avatar": "https://avatars.githubusercontent.com/u/3119428?s=40&v=4", - "name": "armanbilge", - "url": "https://github.com/armanbilge" + "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", + "name": "scala-steward", + "url": "https://github.com/scala-steward" }, { - "avatar": "https://avatars.githubusercontent.com/u/218045?s=40&v=4", - "name": "non", - "url": "https://github.com/non" + "avatar": "https://avatars.githubusercontent.com/u/446652?s=40&v=4", + "name": "ianoc", + "url": "https://github.com/ianoc" }, { - "avatar": "https://avatars.githubusercontent.com/u/83257?s=40&v=4", - "name": "kailuowang", - "url": "https://github.com/kailuowang" + "avatar": "https://avatars.githubusercontent.com/u/6425077?s=40&v=4", + "name": "sid-kap", + "url": "https://github.com/sid-kap" }, { - "avatar": "https://avatars.githubusercontent.com/u/316049?s=40&v=4", - "name": "travisbrown", - "url": "https://github.com/travisbrown" + "avatar": "https://avatars.githubusercontent.com/u/69635?s=40&v=4", + "name": "sritchie", + "url": "https://github.com/sritchie" } ] } diff --git a/data/daily/scala.xml b/data/daily/scala.xml index bcaee547474b..fac9c3373bc7 100644 --- a/data/daily/scala.xml +++ b/data/daily/scala.xml @@ -3,113 +3,108 @@ GitHub Scala Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Scala Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - joernio/joern - https://github.com/joernio/joern - Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc - https://github.com/joernio/joern + twitter/the-algorithm + https://github.com/twitter/the-algorithm + Source code for Twitter's Recommendation Algorithm + https://github.com/twitter/the-algorithm Scala #c22d40 - 2,118 - 291 - 1 + 62,462 + 12,159 + 17 - https://avatars.githubusercontent.com/u/1379115?s=40&v=4 - fabsx00 - https://github.com/fabsx00 - - - https://avatars.githubusercontent.com/u/1417198?s=40&v=4 - max-leuthaeuser - https://github.com/max-leuthaeuser - - - https://avatars.githubusercontent.com/u/28294550?s=40&v=4 - DavidBakerEffendi - https://github.com/DavidBakerEffendi + https://avatars.githubusercontent.com/u/25687?s=40&v=4 + dzhao + https://github.com/dzhao - https://avatars.githubusercontent.com/u/497951?s=40&v=4 - ursachec - https://github.com/ursachec + https://avatars.githubusercontent.com/u/20663776?s=40&v=4 + pouriya + https://github.com/pouriya - https://avatars.githubusercontent.com/u/506752?s=40&v=4 - mpollmeier - https://github.com/mpollmeier + https://avatars.githubusercontent.com/u/35087590?s=40&v=4 + anqueue + https://github.com/anqueue - open-korean-text/open-korean-text - https://github.com/open-korean-text/open-korean-text - Open Korean Text Processor - An Open-source Korean Text Processor - https://github.com/open-korean-text/open-korean-text + apache/spark + https://github.com/apache/spark + Apache Spark - A unified analytics engine for large-scale data processing + https://github.com/apache/spark Scala #c22d40 - 614 - 97 - 0 + 40,023 + 28,341 + 5 - https://avatars.githubusercontent.com/u/690665?s=40&v=4 - hohyon-ryu - https://github.com/hohyon-ryu + https://avatars.githubusercontent.com/u/6477701?s=40&v=4 + HyukjinKwon + https://github.com/HyukjinKwon - https://avatars.githubusercontent.com/u/12149783?s=40&v=4 - MechanicKim - https://github.com/MechanicKim + https://avatars.githubusercontent.com/u/9700541?s=40&v=4 + dongjoon-hyun + https://github.com/dongjoon-hyun - https://avatars.githubusercontent.com/u/90080?s=40&v=4 - bigloser - https://github.com/bigloser + https://avatars.githubusercontent.com/u/228859?s=40&v=4 + mateiz + https://github.com/mateiz - https://avatars.githubusercontent.com/u/2316910?s=40&v=4 - ksseono - https://github.com/ksseono + https://avatars.githubusercontent.com/u/323388?s=40&v=4 + rxin + https://github.com/rxin - https://avatars.githubusercontent.com/u/13380251?s=40&v=4 - ovekyc - https://github.com/ovekyc + https://avatars.githubusercontent.com/u/3182036?s=40&v=4 + cloud-fan + https://github.com/cloud-fan - digital-asset/daml - https://github.com/digital-asset/daml - The Daml smart contract language - https://github.com/digital-asset/daml + com-lihaoyi/mill + https://github.com/com-lihaoyi/mill + Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible + https://github.com/com-lihaoyi/mill Scala #c22d40 - 803 - 203 + 2,222 + 358 0 - https://avatars.githubusercontent.com/u/1313584?s=40&v=4 - cocreature - https://github.com/cocreature + https://avatars.githubusercontent.com/u/1321393?s=40&v=4 + lefou + https://github.com/lefou - https://avatars.githubusercontent.com/u/45561385?s=40&v=4 - garyverhaegen-da - https://github.com/garyverhaegen-da + https://avatars.githubusercontent.com/u/934140?s=40&v=4 + lihaoyi + https://github.com/lihaoyi - https://avatars.githubusercontent.com/u/45566104?s=40&v=4 - remyhaemmerle-da - https://github.com/remyhaemmerle-da + https://avatars.githubusercontent.com/u/43047562?s=40&v=4 + scala-steward + https://github.com/scala-steward - https://avatars.githubusercontent.com/u/43749967?s=40&v=4 - stefanobaghino-da - https://github.com/stefanobaghino-da + https://avatars.githubusercontent.com/u/5793054?s=40&v=4 + lolgab + https://github.com/lolgab + + + https://avatars.githubusercontent.com/u/35768070?s=40&v=4 + adadima + https://github.com/adadima @@ -152,40 +147,78 @@ - twitter/algebird - https://github.com/twitter/algebird - Abstract Algebra for Scala - https://github.com/twitter/algebird + lichess-org/lila + https://github.com/lichess-org/lila + ♞ lichess.org: the forever free, adless and open source chess server ♞ + https://github.com/lichess-org/lila Scala #c22d40 - 2,289 - 345 - 0 + 15,723 + 2,283 + 7 - https://avatars.githubusercontent.com/u/67958?s=40&v=4 - johnynek - https://github.com/johnynek + https://avatars.githubusercontent.com/u/140370?s=40&v=4 + ornicar + https://github.com/ornicar - https://avatars.githubusercontent.com/u/43047562?s=40&v=4 - scala-steward - https://github.com/scala-steward + https://avatars.githubusercontent.com/u/402777?s=40&v=4 + niklasf + https://github.com/niklasf - https://avatars.githubusercontent.com/u/446652?s=40&v=4 - ianoc - https://github.com/ianoc + https://avatars.githubusercontent.com/u/101470903?s=40&v=4 + schlawg + https://github.com/schlawg - https://avatars.githubusercontent.com/u/6425077?s=40&v=4 - sid-kap - https://github.com/sid-kap + https://avatars.githubusercontent.com/u/19309705?s=40&v=4 + benediktwerner + https://github.com/benediktwerner - https://avatars.githubusercontent.com/u/69635?s=40&v=4 - sritchie - https://github.com/sritchie + https://avatars.githubusercontent.com/u/56031107?s=40&v=4 + kraktus + https://github.com/kraktus + + + + + scalameta/metals + https://github.com/scalameta/metals + Scala language server with rich IDE features 🚀 + https://github.com/scalameta/metals + Scala + #c22d40 + 2,101 + 335 + 1 + + + https://avatars.githubusercontent.com/u/3807253?s=40&v=4 + tgodzik + https://github.com/tgodzik + + + https://avatars.githubusercontent.com/u/1408093?s=40&v=4 + olafurpg + https://github.com/olafurpg + + + https://avatars.githubusercontent.com/u/13974112?s=40&v=4 + ckipp01 + https://github.com/ckipp01 + + + https://avatars.githubusercontent.com/u/691940?s=40&v=4 + gabro + https://github.com/gabro + + + https://avatars.githubusercontent.com/u/43047562?s=40&v=4 + scala-steward + https://github.com/scala-steward @@ -197,7 +230,7 @@ Scala #c22d40 999 - 361 + 360 0 @@ -266,154 +299,73 @@ - apache/incubator-gluten - https://github.com/apache/incubator-gluten - Gluten is a middle layer responsible for offloading JVM-based SQL engines' execution to native engines. - https://github.com/apache/incubator-gluten - Scala - #c22d40 - 1,216 - 438 - 0 - - - https://avatars.githubusercontent.com/u/11284395?s=40&v=4 - zhztheplayer - https://github.com/zhztheplayer - - - https://avatars.githubusercontent.com/u/13806761?s=40&v=4 - PHILO-HE - https://github.com/PHILO-HE - - - https://avatars.githubusercontent.com/u/52736607?s=40&v=4 - marin-ma - https://github.com/marin-ma - - - https://avatars.githubusercontent.com/u/41687378?s=40&v=4 - rui-mo - https://github.com/rui-mo - - - https://avatars.githubusercontent.com/u/137994563?s=40&v=4 - GlutenPerfBot - https://github.com/GlutenPerfBot - - - - - com-lihaoyi/mill - https://github.com/com-lihaoyi/mill - Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible - https://github.com/com-lihaoyi/mill + rtyley/bfg-repo-cleaner + https://github.com/rtyley/bfg-repo-cleaner + Removes large or troublesome blobs like git-filter-branch does, but faster. And written in Scala + https://github.com/rtyley/bfg-repo-cleaner Scala #c22d40 - 2,221 - 358 - 0 + 11,164 + 550 + 8 - https://avatars.githubusercontent.com/u/1321393?s=40&v=4 - lefou - https://github.com/lefou + https://avatars.githubusercontent.com/u/52038?s=40&v=4 + rtyley + https://github.com/rtyley - https://avatars.githubusercontent.com/u/934140?s=40&v=4 - lihaoyi - https://github.com/lihaoyi + https://avatars.githubusercontent.com/u/1103477?s=40&v=4 + javabrett + https://github.com/javabrett - https://avatars.githubusercontent.com/u/43047562?s=40&v=4 - scala-steward - https://github.com/scala-steward + https://avatars.githubusercontent.com/u/344610?s=40&v=4 + dwijnand + https://github.com/dwijnand - https://avatars.githubusercontent.com/u/5793054?s=40&v=4 - lolgab - https://github.com/lolgab + https://avatars.githubusercontent.com/u/14003?s=40&v=4 + alecthegeek + https://github.com/alecthegeek - https://avatars.githubusercontent.com/u/35768070?s=40&v=4 - adadima - https://github.com/adadima + https://avatars.githubusercontent.com/u/1146876?s=40&v=4 + kevinji + https://github.com/kevinji - snowflakedb/spark-snowflake - https://github.com/snowflakedb/spark-snowflake - Snowflake Data Source for Apache Spark. - https://github.com/snowflakedb/spark-snowflake - Scala - #c22d40 - 219 - 99 - 0 - - - https://avatars.githubusercontent.com/u/29698166?s=40&v=4 - binglihub - https://github.com/binglihub - - - https://avatars.githubusercontent.com/u/63472932?s=40&v=4 - sfc-gh-mrui - https://github.com/sfc-gh-mrui - - - https://avatars.githubusercontent.com/u/6132897?s=40&v=4 - etduwx - https://github.com/etduwx - - - https://avatars.githubusercontent.com/u/4406638?s=40&v=4 - MarcinZukowski - https://github.com/MarcinZukowski - - - https://avatars.githubusercontent.com/u/50748?s=40&v=4 - JoshRosen - https://github.com/JoshRosen - - - - - scala/scala - https://github.com/scala/scala - Scala 2 compiler and standard library. Scala 2 bugs at https://github.com/scala/bug; Scala 3 at https://github.com/scala/scala3 - https://github.com/scala/scala + digital-asset/daml + https://github.com/digital-asset/daml + The Daml smart contract language + https://github.com/digital-asset/daml Scala #c22d40 - 14,353 - 3,116 + 803 + 203 0 - https://avatars.githubusercontent.com/u/9135?s=40&v=4 - paulp - https://github.com/paulp - - - https://avatars.githubusercontent.com/u/119636?s=40&v=4 - lrytz - https://github.com/lrytz + https://avatars.githubusercontent.com/u/1313584?s=40&v=4 + cocreature + https://github.com/cocreature - https://avatars.githubusercontent.com/u/65551?s=40&v=4 - retronym - https://github.com/retronym + https://avatars.githubusercontent.com/u/45561385?s=40&v=4 + garyverhaegen-da + https://github.com/garyverhaegen-da - https://avatars.githubusercontent.com/u/91083?s=40&v=4 - adriaanm - https://github.com/adriaanm + https://avatars.githubusercontent.com/u/45566104?s=40&v=4 + remyhaemmerle-da + https://github.com/remyhaemmerle-da - https://avatars.githubusercontent.com/u/795990?s=40&v=4 - odersky - https://github.com/odersky + https://avatars.githubusercontent.com/u/43749967?s=40&v=4 + stefanobaghino-da + https://github.com/stefanobaghino-da @@ -424,7 +376,7 @@ https://github.com/chipsalliance/rocket-chip Scala #c22d40 - 3,266 + 3,267 1,131 0 @@ -456,296 +408,215 @@ - twitter/the-algorithm - https://github.com/twitter/the-algorithm - Source code for Twitter's Recommendation Algorithm - https://github.com/twitter/the-algorithm - Scala - #c22d40 - 62,459 - 12,159 - 17 - - - https://avatars.githubusercontent.com/u/25687?s=40&v=4 - dzhao - https://github.com/dzhao - - - https://avatars.githubusercontent.com/u/20663776?s=40&v=4 - pouriya - https://github.com/pouriya - - - https://avatars.githubusercontent.com/u/35087590?s=40&v=4 - anqueue - https://github.com/anqueue - - - - - lichess-org/lila - https://github.com/lichess-org/lila - ♞ lichess.org: the forever free, adless and open source chess server ♞ - https://github.com/lichess-org/lila + joernio/joern + https://github.com/joernio/joern + Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc + https://github.com/joernio/joern Scala #c22d40 - 15,722 - 2,283 - 7 + 2,117 + 291 + 1 - https://avatars.githubusercontent.com/u/140370?s=40&v=4 - ornicar - https://github.com/ornicar + https://avatars.githubusercontent.com/u/1379115?s=40&v=4 + fabsx00 + https://github.com/fabsx00 - https://avatars.githubusercontent.com/u/402777?s=40&v=4 - niklasf - https://github.com/niklasf + https://avatars.githubusercontent.com/u/1417198?s=40&v=4 + max-leuthaeuser + https://github.com/max-leuthaeuser - https://avatars.githubusercontent.com/u/101470903?s=40&v=4 - schlawg - https://github.com/schlawg + https://avatars.githubusercontent.com/u/28294550?s=40&v=4 + DavidBakerEffendi + https://github.com/DavidBakerEffendi - https://avatars.githubusercontent.com/u/19309705?s=40&v=4 - benediktwerner - https://github.com/benediktwerner + https://avatars.githubusercontent.com/u/497951?s=40&v=4 + ursachec + https://github.com/ursachec - https://avatars.githubusercontent.com/u/56031107?s=40&v=4 - kraktus - https://github.com/kraktus + https://avatars.githubusercontent.com/u/506752?s=40&v=4 + mpollmeier + https://github.com/mpollmeier - akka/akka-http - https://github.com/akka/akka-http - The Streaming-first HTTP server/module of Akka - https://github.com/akka/akka-http + chipsalliance/chisel + https://github.com/chipsalliance/chisel + Chisel: A Modern Hardware Design Language + https://github.com/chipsalliance/chisel Scala #c22d40 - 1,338 - 594 + 4,007 + 602 0 - https://avatars.githubusercontent.com/u/9868?s=40&v=4 - jrudolph - https://github.com/jrudolph + https://avatars.githubusercontent.com/u/8584418?s=40&v=4 + jackkoenig + https://github.com/jackkoenig - https://avatars.githubusercontent.com/u/131856?s=40&v=4 - raboof - https://github.com/raboof + https://avatars.githubusercontent.com/u/1018530?s=40&v=4 + seldridge + https://github.com/seldridge - https://avatars.githubusercontent.com/u/120979?s=40&v=4 - ktoso - https://github.com/ktoso - - - https://avatars.githubusercontent.com/u/43047562?s=40&v=4 - scala-steward - https://github.com/scala-steward - - - https://avatars.githubusercontent.com/u/666915?s=40&v=4 - johanandren - https://github.com/johanandren + https://avatars.githubusercontent.com/u/6845888?s=40&v=4 + ucbjrl + https://github.com/ucbjrl - apache/spark - https://github.com/apache/spark - Apache Spark - A unified analytics engine for large-scale data processing - https://github.com/apache/spark + microsoft/SynapseML + https://github.com/microsoft/SynapseML + Simple and Distributed Machine Learning + https://github.com/microsoft/SynapseML Scala #c22d40 - 40,022 - 28,342 - 5 - - - https://avatars.githubusercontent.com/u/6477701?s=40&v=4 - HyukjinKwon - https://github.com/HyukjinKwon - - - https://avatars.githubusercontent.com/u/9700541?s=40&v=4 - dongjoon-hyun - https://github.com/dongjoon-hyun - - - https://avatars.githubusercontent.com/u/228859?s=40&v=4 - mateiz - https://github.com/mateiz - - - https://avatars.githubusercontent.com/u/323388?s=40&v=4 - rxin - https://github.com/rxin - - - https://avatars.githubusercontent.com/u/3182036?s=40&v=4 - cloud-fan - https://github.com/cloud-fan - - - - - delta-io/delta - https://github.com/delta-io/delta - An open-source storage framework that enables building a Lakehouse architecture with compute engines including Spark, PrestoDB, Flink, Trino, and Hive and APIs - https://github.com/delta-io/delta - Scala - #c22d40 - 7,627 - 1,712 - 1 + 5,068 + 831 + 0 - https://avatars.githubusercontent.com/u/59617782?s=40&v=4 - scottsand-db - https://github.com/scottsand-db - - - https://avatars.githubusercontent.com/u/1719945?s=40&v=4 - vkorukanti - https://github.com/vkorukanti + https://avatars.githubusercontent.com/u/6456637?s=40&v=4 + mhamilton723 + https://github.com/mhamilton723 - https://avatars.githubusercontent.com/u/89107911?s=40&v=4 - allisonport-db - https://github.com/allisonport-db + https://avatars.githubusercontent.com/u/82044803?s=40&v=4 + serena-ruan + https://github.com/serena-ruan - https://avatars.githubusercontent.com/u/1000778?s=40&v=4 - zsxwing - https://github.com/zsxwing + https://avatars.githubusercontent.com/u/24683184?s=40&v=4 + imatiach-msft + https://github.com/imatiach-msft - https://avatars.githubusercontent.com/u/663212?s=40&v=4 - tdas - https://github.com/tdas + https://avatars.githubusercontent.com/u/185905?s=40&v=4 + elibarzilay + https://github.com/elibarzilay - scalameta/metals - https://github.com/scalameta/metals - Scala language server with rich IDE features 🚀 - https://github.com/scalameta/metals + scala/scala + https://github.com/scala/scala + Scala 2 compiler and standard library. Scala 2 bugs at https://github.com/scala/bug; Scala 3 at https://github.com/scala/scala3 + https://github.com/scala/scala Scala #c22d40 - 2,101 - 334 - 1 + 14,353 + 3,116 + 0 - https://avatars.githubusercontent.com/u/3807253?s=40&v=4 - tgodzik - https://github.com/tgodzik + https://avatars.githubusercontent.com/u/9135?s=40&v=4 + paulp + https://github.com/paulp - https://avatars.githubusercontent.com/u/1408093?s=40&v=4 - olafurpg - https://github.com/olafurpg + https://avatars.githubusercontent.com/u/119636?s=40&v=4 + lrytz + https://github.com/lrytz - https://avatars.githubusercontent.com/u/13974112?s=40&v=4 - ckipp01 - https://github.com/ckipp01 + https://avatars.githubusercontent.com/u/65551?s=40&v=4 + retronym + https://github.com/retronym - https://avatars.githubusercontent.com/u/691940?s=40&v=4 - gabro - https://github.com/gabro + https://avatars.githubusercontent.com/u/91083?s=40&v=4 + adriaanm + https://github.com/adriaanm - https://avatars.githubusercontent.com/u/43047562?s=40&v=4 - scala-steward - https://github.com/scala-steward + https://avatars.githubusercontent.com/u/795990?s=40&v=4 + odersky + https://github.com/odersky - ucb-bar/berkeley-hardfloat - https://github.com/ucb-bar/berkeley-hardfloat - - https://github.com/ucb-bar/berkeley-hardfloat + snowflakedb/spark-snowflake + https://github.com/snowflakedb/spark-snowflake + Snowflake Data Source for Apache Spark. + https://github.com/snowflakedb/spark-snowflake Scala #c22d40 - 291 - 87 + 219 + 99 0 - https://avatars.githubusercontent.com/u/1031106?s=40&v=4 - aswaterman - https://github.com/aswaterman + https://avatars.githubusercontent.com/u/29698166?s=40&v=4 + binglihub + https://github.com/binglihub - https://avatars.githubusercontent.com/u/5791019?s=40&v=4 - sequencer - https://github.com/sequencer + https://avatars.githubusercontent.com/u/63472932?s=40&v=4 + sfc-gh-mrui + https://github.com/sfc-gh-mrui - https://avatars.githubusercontent.com/u/1168067?s=40&v=4 - jhauser-ucberkeley - https://github.com/jhauser-ucberkeley + https://avatars.githubusercontent.com/u/6132897?s=40&v=4 + etduwx + https://github.com/etduwx - https://avatars.githubusercontent.com/u/703957?s=40&v=4 - yunsup - https://github.com/yunsup + https://avatars.githubusercontent.com/u/4406638?s=40&v=4 + MarcinZukowski + https://github.com/MarcinZukowski - https://avatars.githubusercontent.com/u/14086183?s=40&v=4 - jerryz123 - https://github.com/jerryz123 + https://avatars.githubusercontent.com/u/50748?s=40&v=4 + JoshRosen + https://github.com/JoshRosen - typelevel/cats - https://github.com/typelevel/cats - Lightweight, modular, and extensible library for functional programming. - https://github.com/typelevel/cats + twitter/algebird + https://github.com/twitter/algebird + Abstract Algebra for Scala + https://github.com/twitter/algebird Scala #c22d40 - 5,259 - 1,210 + 2,289 + 345 0 - https://avatars.githubusercontent.com/u/977929?s=40&v=4 - ceedubs - https://github.com/ceedubs + https://avatars.githubusercontent.com/u/67958?s=40&v=4 + johnynek + https://github.com/johnynek - https://avatars.githubusercontent.com/u/3119428?s=40&v=4 - armanbilge - https://github.com/armanbilge + https://avatars.githubusercontent.com/u/43047562?s=40&v=4 + scala-steward + https://github.com/scala-steward - https://avatars.githubusercontent.com/u/218045?s=40&v=4 - non - https://github.com/non + https://avatars.githubusercontent.com/u/446652?s=40&v=4 + ianoc + https://github.com/ianoc - https://avatars.githubusercontent.com/u/83257?s=40&v=4 - kailuowang - https://github.com/kailuowang + https://avatars.githubusercontent.com/u/6425077?s=40&v=4 + sid-kap + https://github.com/sid-kap - https://avatars.githubusercontent.com/u/316049?s=40&v=4 - travisbrown - https://github.com/travisbrown + https://avatars.githubusercontent.com/u/69635?s=40&v=4 + sritchie + https://github.com/sritchie diff --git a/data/daily/scaml.json b/data/daily/scaml.json index 467a0c6be8a3..94d41e40a4a2 100644 --- a/data/daily/scaml.json +++ b/data/daily/scaml.json @@ -2,6 +2,6 @@ "title": "GitHub Scaml Languages Daily Trending", "description": "Daily Trending of Scaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/scaml.xml b/data/daily/scaml.xml index c82e2f85297e..92ab4e774fbe 100644 --- a/data/daily/scaml.xml +++ b/data/daily/scaml.xml @@ -3,6 +3,6 @@ GitHub Scaml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Scaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/scenic.json b/data/daily/scenic.json index 29c909587ae2..972191b993cd 100644 --- a/data/daily/scenic.json +++ b/data/daily/scenic.json @@ -2,6 +2,6 @@ "title": "GitHub Scenic Languages Daily Trending", "description": "Daily Trending of Scenic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/scenic.xml b/data/daily/scenic.xml index 87cc307cf28d..8b5af8abd6db 100644 --- a/data/daily/scenic.xml +++ b/data/daily/scenic.xml @@ -3,6 +3,6 @@ GitHub Scenic Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Scenic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/scheme.json b/data/daily/scheme.json index d4f22cca23c8..e41484acfbec 100644 --- a/data/daily/scheme.json +++ b/data/daily/scheme.json @@ -2,7 +2,7 @@ "title": "GitHub Scheme Languages Daily Trending", "description": "Daily Trending of Scheme Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nvim-treesitter/nvim-treesitter", @@ -10,7 +10,7 @@ "description": "Nvim Treesitter configurations and abstraction layer", "language": "Scheme", "languageColor": "#1e4aec", - "stars": "10,881", + "stars": "10,883", "forks": "907", "addStars": "6", "contributors": [ diff --git a/data/daily/scheme.xml b/data/daily/scheme.xml index e6c80d175e85..5361f6a7e022 100644 --- a/data/daily/scheme.xml +++ b/data/daily/scheme.xml @@ -3,7 +3,7 @@ GitHub Scheme Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Scheme Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nvim-treesitter/nvim-treesitter https://github.com/nvim-treesitter/nvim-treesitter @@ -11,7 +11,7 @@ https://github.com/nvim-treesitter/nvim-treesitter Scheme #1e4aec - 10,881 + 10,883 907 6 diff --git a/data/daily/scilab.json b/data/daily/scilab.json index 21c41a64e9aa..22a35a52dabf 100644 --- a/data/daily/scilab.json +++ b/data/daily/scilab.json @@ -2,6 +2,6 @@ "title": "GitHub Scilab Languages Daily Trending", "description": "Daily Trending of Scilab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/scilab.xml b/data/daily/scilab.xml index f8f81babf549..224ffd5a7dd7 100644 --- a/data/daily/scilab.xml +++ b/data/daily/scilab.xml @@ -3,6 +3,6 @@ GitHub Scilab Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Scilab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/scss.json b/data/daily/scss.json index 89243cbf1e7f..2b6b1564a177 100644 --- a/data/daily/scss.json +++ b/data/daily/scss.json @@ -2,42 +2,42 @@ "title": "GitHub Scss Languages Daily Trending", "description": "Daily Trending of Scss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "jekyll/minima", - "url": "https://github.com/jekyll/minima", - "description": "Minima is a one-size-fits-all Jekyll theme for writers.", + "title": "vinceliuice/WhiteSur-gtk-theme", + "url": "https://github.com/vinceliuice/WhiteSur-gtk-theme", + "description": "MacOS like theme for all gtk based desktops", "language": "SCSS", "languageColor": "#c6538c", - "stars": "3,450", - "forks": "3,638", - "addStars": "0", + "stars": "6,008", + "forks": "616", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6166343?s=40&v=4", - "name": "jekyllbot", - "url": "https://github.com/jekyllbot" + "avatar": "https://avatars.githubusercontent.com/u/7604295?s=40&v=4", + "name": "vinceliuice", + "url": "https://github.com/vinceliuice" }, { - "avatar": "https://avatars.githubusercontent.com/u/12479464?s=40&v=4", - "name": "ashmaroli", - "url": "https://github.com/ashmaroli" + "avatar": "https://avatars.githubusercontent.com/u/6300910?s=40&v=4", + "name": "stormbeforesunsetbee", + "url": "https://github.com/stormbeforesunsetbee" }, { - "avatar": "https://avatars.githubusercontent.com/u/103008?s=40&v=4", - "name": "DirtyF", - "url": "https://github.com/DirtyF" + "avatar": "https://avatars.githubusercontent.com/u/71726270?s=40&v=4", + "name": "fernandesafp", + "url": "https://github.com/fernandesafp" }, { - "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", - "name": "benbalter", - "url": "https://github.com/benbalter" + "avatar": "https://avatars.githubusercontent.com/u/6800453?s=40&v=4", + "name": "AdamXweb", + "url": "https://github.com/AdamXweb" }, { - "avatar": "https://avatars.githubusercontent.com/u/358615?s=40&v=4", - "name": "ashawley", - "url": "https://github.com/ashawley" + "avatar": "https://avatars.githubusercontent.com/u/49402019?s=40&v=4", + "name": "s-ahmad461", + "url": "https://github.com/s-ahmad461" } ] }, @@ -47,7 +47,7 @@ "description": "Orchis is a [Material Design](https://material.io) theme for GNOME/GTK based desktop environments.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "3,071", + "stars": "3,072", "forks": "217", "addStars": "4", "contributors": [ @@ -79,39 +79,39 @@ ] }, { - "title": "vinceliuice/WhiteSur-gtk-theme", - "url": "https://github.com/vinceliuice/WhiteSur-gtk-theme", - "description": "MacOS like theme for all gtk based desktops", + "title": "rstacruz/cheatsheets", + "url": "https://github.com/rstacruz/cheatsheets", + "description": "Cheatsheets for web development - devhints.io", "language": "SCSS", "languageColor": "#c6538c", - "stars": "6,008", - "forks": "616", - "addStars": "6", + "stars": "13,844", + "forks": "3,592", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7604295?s=40&v=4", - "name": "vinceliuice", - "url": "https://github.com/vinceliuice" + "avatar": "https://avatars.githubusercontent.com/u/74385?s=40&v=4", + "name": "rstacruz", + "url": "https://github.com/rstacruz" }, { - "avatar": "https://avatars.githubusercontent.com/u/6300910?s=40&v=4", - "name": "stormbeforesunsetbee", - "url": "https://github.com/stormbeforesunsetbee" + "avatar": "https://avatars.githubusercontent.com/u/259196?s=40&v=4", + "name": "chadwithuhc", + "url": "https://github.com/chadwithuhc" }, { - "avatar": "https://avatars.githubusercontent.com/u/71726270?s=40&v=4", - "name": "fernandesafp", - "url": "https://github.com/fernandesafp" + "avatar": "https://avatars.githubusercontent.com/u/40914576?s=40&v=4", + "name": "mhienle", + "url": "https://github.com/mhienle" }, { - "avatar": "https://avatars.githubusercontent.com/u/6800453?s=40&v=4", - "name": "AdamXweb", - "url": "https://github.com/AdamXweb" + "avatar": "https://avatars.githubusercontent.com/u/1437428?s=40&v=4", + "name": "bezhermoso", + "url": "https://github.com/bezhermoso" }, { - "avatar": "https://avatars.githubusercontent.com/u/49402019?s=40&v=4", - "name": "s-ahmad461", - "url": "https://github.com/s-ahmad461" + "avatar": "https://avatars.githubusercontent.com/u/760204?s=40&v=4", + "name": "forivall", + "url": "https://github.com/forivall" } ] }, @@ -122,7 +122,7 @@ "language": "SCSS", "languageColor": "#c6538c", "stars": "13,476", - "forks": "5,752", + "forks": "5,751", "addStars": "2", "contributors": [ { @@ -153,130 +153,177 @@ ] }, { - "title": "pages-themes/cayman", - "url": "https://github.com/pages-themes/cayman", - "description": "Cayman is a Jekyll theme for GitHub Pages", + "title": "just-the-docs/just-the-docs", + "url": "https://github.com/just-the-docs/just-the-docs", + "description": "A modern, high customizable, responsive Jekyll theme for documentation with built-in search.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "1,227", - "forks": "3,192", - "addStars": "0", + "stars": "7,632", + "forks": "3,684", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", - "name": "tsusdere", - "url": "https://github.com/tsusdere" + "avatar": "https://avatars.githubusercontent.com/u/896475?s=40&v=4", + "name": "pmarsceill", + "url": "https://github.com/pmarsceill" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14893287?s=40&v=4", + "name": "mattxwang", + "url": "https://github.com/mattxwang" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/28134127?s=40&v=4", + "name": "SgtSilvio", + "url": "https://github.com/SgtSilvio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18308236?s=40&v=4", + "name": "pdmosses", + "url": "https://github.com/pdmosses" } ] }, { - "title": "pages-themes/hacker", - "url": "https://github.com/pages-themes/hacker", - "description": "Hacker is a Jekyll theme for GitHub Pages", + "title": "RyanFitzgerald/devportfolio", + "url": "https://github.com/RyanFitzgerald/devportfolio", + "description": "A lightweight, customizable single-page personal portfolio website template built with JavaScript and Sass", "language": "SCSS", "languageColor": "#c6538c", - "stars": "985", - "forks": "1,209", + "stars": "4,391", + "forks": "3,779", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", - "name": "benbalter", - "url": "https://github.com/benbalter" + "avatar": "https://avatars.githubusercontent.com/u/9112801?s=40&v=4", + "name": "RyanFitzgerald", + "url": "https://github.com/RyanFitzgerald" }, { - "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", - "name": "parkr", - "url": "https://github.com/parkr" + "avatar": "https://avatars.githubusercontent.com/u/3077684?s=40&v=4", + "name": "Minimalistic", + "url": "https://github.com/Minimalistic" }, { - "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", - "name": "tsusdere", - "url": "https://github.com/tsusdere" + "avatar": "https://avatars.githubusercontent.com/u/14777542?s=40&v=4", + "name": "sav-valerio", + "url": "https://github.com/sav-valerio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/999235?s=40&v=4", + "name": "yousefamar", + "url": "https://github.com/yousefamar" + } + ] + }, + { + "title": "kitian616/jekyll-TeXt-theme", + "url": "https://github.com/kitian616/jekyll-TeXt-theme", + "description": "💎 🐳 A super customizable Jekyll theme for personal site, team site, blog, project, documentation, etc.", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "3,171", + "forks": "2,569", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9103558?s=40&v=4", + "name": "kitian616", + "url": "https://github.com/kitian616" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" }, { - "avatar": "https://avatars.githubusercontent.com/u/166759?s=40&v=4", - "name": "olifre", - "url": "https://github.com/olifre" + "avatar": "https://avatars.githubusercontent.com/u/1129155?s=40&v=4", + "name": "tanersenyurt", + "url": "https://github.com/tanersenyurt" }, { - "avatar": "https://avatars.githubusercontent.com/u/203767?s=40&v=4", - "name": "ousia", - "url": "https://github.com/ousia" + "avatar": "https://avatars.githubusercontent.com/u/5896343?s=40&v=4", + "name": "HenryQW", + "url": "https://github.com/HenryQW" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20252212?s=40&v=4", + "name": "ssosso", + "url": "https://github.com/ssosso" } ] }, { - "title": "pages-themes/minimal", - "url": "https://github.com/pages-themes/minimal", - "description": "Minimal is a Jekyll theme for GitHub Pages", + "title": "abhinavs/moonwalk", + "url": "https://github.com/abhinavs/moonwalk", + "description": "A fast & minimal Jekyll blog theme with clean dark mode", "language": "SCSS", "languageColor": "#c6538c", - "stars": "1,598", - "forks": "6,035", - "addStars": "0", + "stars": "365", + "forks": "522", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", - "name": "benbalter", - "url": "https://github.com/benbalter" + "avatar": "https://avatars.githubusercontent.com/u/188896?s=40&v=4", + "name": "abhinavs", + "url": "https://github.com/abhinavs" }, { - "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", - "name": "parkr", - "url": "https://github.com/parkr" + "avatar": "https://avatars.githubusercontent.com/u/6516878?s=40&v=4", + "name": "kleest", + "url": "https://github.com/kleest" }, { - "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", - "name": "tsusdere", - "url": "https://github.com/tsusdere" + "avatar": "https://avatars.githubusercontent.com/u/8339771?s=40&v=4", + "name": "Mydayyy", + "url": "https://github.com/Mydayyy" }, { - "avatar": "https://avatars.githubusercontent.com/u/615115?s=40&v=4", - "name": "Jmuccigr", - "url": "https://github.com/Jmuccigr" + "avatar": "https://avatars.githubusercontent.com/u/12686250?s=40&v=4", + "name": "bwees", + "url": "https://github.com/bwees" }, { - "avatar": "https://avatars.githubusercontent.com/u/3324775?s=40&v=4", - "name": "EricFromCanada", - "url": "https://github.com/EricFromCanada" + "avatar": "https://avatars.githubusercontent.com/u/30587015?s=40&v=4", + "name": "xyzzy", + "url": "https://github.com/xyzzy" } ] }, { - "title": "AboutRSS/ALL-about-RSS", - "url": "https://github.com/AboutRSS/ALL-about-RSS", - "description": "A list of RSS related stuff: tools, services, communities and tutorials, etc.", + "title": "thingsym/hugo-theme-techdoc", + "url": "https://github.com/thingsym/hugo-theme-techdoc", + "description": "The Techdoc is a Hugo Theme for technical documentation.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "4,852", - "forks": "191", - "addStars": "1", + "stars": "214", + "forks": "146", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/63651465?s=40&v=4", - "name": "AboutRSS", - "url": "https://github.com/AboutRSS" + "avatar": "https://avatars.githubusercontent.com/u/1084604?s=40&v=4", + "name": "thingsym", + "url": "https://github.com/thingsym" }, { - "avatar": "https://avatars.githubusercontent.com/u/30341059?s=40&v=4", - "name": "yzqzss", - "url": "https://github.com/yzqzss" + "avatar": "https://avatars.githubusercontent.com/u/18169566?s=40&v=4", + "name": "deining", + "url": "https://github.com/deining" }, { - "avatar": "https://avatars.githubusercontent.com/u/68507234?s=40&v=4", - "name": "xavierxross", - "url": "https://github.com/xavierxross" + "avatar": "https://avatars.githubusercontent.com/u/1212885?s=40&v=4", + "name": "coliff", + "url": "https://github.com/coliff" }, { - "avatar": "https://avatars.githubusercontent.com/u/546570?s=40&v=4", - "name": "dvikan", - "url": "https://github.com/dvikan" + "avatar": "https://avatars.githubusercontent.com/u/94029?s=40&v=4", + "name": "moul", + "url": "https://github.com/moul" }, { - "avatar": "https://avatars.githubusercontent.com/u/32519285?s=40&v=4", - "name": "kytrun", - "url": "https://github.com/kytrun" + "avatar": "https://avatars.githubusercontent.com/u/304193?s=40&v=4", + "name": "antoniovazquezblanco", + "url": "https://github.com/antoniovazquezblanco" } ] }, @@ -286,8 +333,8 @@ "description": "Notes on books I read, talks I watch, articles I study, and papers I love", "language": "SCSS", "languageColor": "#c6538c", - "stars": "5,498", - "forks": "1,186", + "stars": "5,501", + "forks": "1,187", "addStars": "3", "contributors": [ { @@ -308,187 +355,241 @@ ] }, { - "title": "LineageOS/lineage_wiki", - "url": "https://github.com/LineageOS/lineage_wiki", - "description": "", + "title": "jekyll/minima", + "url": "https://github.com/jekyll/minima", + "description": "Minima is a one-size-fits-all Jekyll theme for writers.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "740", - "forks": "517", + "stars": "3,451", + "forks": "3,639", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6830979?s=40&v=4", - "name": "luk1337", - "url": "https://github.com/luk1337" + "avatar": "https://avatars.githubusercontent.com/u/6166343?s=40&v=4", + "name": "jekyllbot", + "url": "https://github.com/jekyllbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/5876468?s=40&v=4", - "name": "npjohnson", - "url": "https://github.com/npjohnson" + "avatar": "https://avatars.githubusercontent.com/u/12479464?s=40&v=4", + "name": "ashmaroli", + "url": "https://github.com/ashmaroli" }, { - "avatar": "https://avatars.githubusercontent.com/u/17656887?s=40&v=4", - "name": "BadDaemon", - "url": "https://github.com/BadDaemon" + "avatar": "https://avatars.githubusercontent.com/u/103008?s=40&v=4", + "name": "DirtyF", + "url": "https://github.com/DirtyF" }, { - "avatar": "https://avatars.githubusercontent.com/u/876521?s=40&v=4", - "name": "razorloves", - "url": "https://github.com/razorloves" + "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", + "name": "benbalter", + "url": "https://github.com/benbalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/1696148?s=40&v=4", - "name": "bgcngm", - "url": "https://github.com/bgcngm" + "avatar": "https://avatars.githubusercontent.com/u/358615?s=40&v=4", + "name": "ashawley", + "url": "https://github.com/ashawley" } ] }, { - "title": "Ileriayo/markdown-badges", - "url": "https://github.com/Ileriayo/markdown-badges", - "description": "Badges for your personal developer branding, profile, and projects.", + "title": "emilbaehr/automatic-app-landing-page", + "url": "https://github.com/emilbaehr/automatic-app-landing-page", + "description": "A Jekyll theme for automatically generating and deploying landing page sites for mobile apps.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "13,608", - "forks": "1,629", - "addStars": "7", + "stars": "3,450", + "forks": "1,664", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13166712?s=40&v=4", - "name": "Ileriayo", - "url": "https://github.com/Ileriayo" + "avatar": "https://avatars.githubusercontent.com/u/1547766?s=40&v=4", + "name": "emilbaehr", + "url": "https://github.com/emilbaehr" }, { - "avatar": "https://avatars.githubusercontent.com/u/66284362?s=40&v=4", - "name": "kohasummons", - "url": "https://github.com/kohasummons" + "avatar": "https://avatars.githubusercontent.com/u/1503280?s=40&v=4", + "name": "QYL", + "url": "https://github.com/QYL" }, { - "avatar": "https://avatars.githubusercontent.com/u/46837820?s=40&v=4", - "name": "mehtaAnsh", - "url": "https://github.com/mehtaAnsh" + "avatar": "https://avatars.githubusercontent.com/u/1477010?s=40&v=4", + "name": "stnguyen90", + "url": "https://github.com/stnguyen90" }, { - "avatar": "https://avatars.githubusercontent.com/u/73753957?s=40&v=4", - "name": "gurjeetsinghvirdee", - "url": "https://github.com/gurjeetsinghvirdee" + "avatar": "https://avatars.githubusercontent.com/u/5361?s=40&v=4", + "name": "cowlibob", + "url": "https://github.com/cowlibob" }, { - "avatar": "https://avatars.githubusercontent.com/u/16662291?s=40&v=4", - "name": "rajrohanyadav", - "url": "https://github.com/rajrohanyadav" + "avatar": "https://avatars.githubusercontent.com/u/40849?s=40&v=4", + "name": "marcpalmer", + "url": "https://github.com/marcpalmer" } ] }, { - "title": "angelbruni/Geckium", - "url": "https://github.com/angelbruni/Geckium", - "description": "Chrome 1 - 58 theme for Firefox 115+", + "title": "Hacker0x01/hacker101", + "url": "https://github.com/Hacker0x01/hacker101", + "description": "Source code for Hacker101.com - a free online web and mobile security class.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "321", - "forks": "32", + "stars": "13,838", + "forks": "2,534", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/48385307?s=40&v=4", - "name": "angelbruni", - "url": "https://github.com/angelbruni" + "avatar": "https://avatars.githubusercontent.com/u/2359?s=40&v=4", + "name": "daeken", + "url": "https://github.com/daeken" }, { - "avatar": "https://avatars.githubusercontent.com/u/11057934?s=40&v=4", - "name": "dominichayesferen", - "url": "https://github.com/dominichayesferen" + "avatar": "https://avatars.githubusercontent.com/u/352251?s=40&v=4", + "name": "reedloden", + "url": "https://github.com/reedloden" }, { - "avatar": "https://avatars.githubusercontent.com/u/54137141?s=40&v=4", - "name": "Kiki79250CoC", - "url": "https://github.com/Kiki79250CoC" + "avatar": "https://avatars.githubusercontent.com/u/5761179?s=40&v=4", + "name": "djwy", + "url": "https://github.com/djwy" }, { - "avatar": "https://avatars.githubusercontent.com/u/52015098?s=40&v=4", - "name": "ImSwordQueen", - "url": "https://github.com/ImSwordQueen" + "avatar": "https://avatars.githubusercontent.com/u/18099289?s=40&v=4", + "name": "EdOverflow", + "url": "https://github.com/EdOverflow" }, { - "avatar": "https://avatars.githubusercontent.com/u/71982930?s=40&v=4", - "name": "KonaloboStudio", - "url": "https://github.com/KonaloboStudio" + "avatar": "https://avatars.githubusercontent.com/u/23737561?s=40&v=4", + "name": "iMartzen", + "url": "https://github.com/iMartzen" } ] }, { - "title": "piharpi/jekyll-klise", - "url": "https://github.com/piharpi/jekyll-klise", - "description": "🏖️ Klisé is a minimalist Jekyll theme for running a personal site or blog, light & dark mode support. (https://klise.vercel.app)", + "title": "lavinir/hassio-onedrive-backup", + "url": "https://github.com/lavinir/hassio-onedrive-backup", + "description": "", "language": "SCSS", "languageColor": "#c6538c", - "stars": "948", - "forks": "394", + "stars": "272", + "forks": "11", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/56975945?s=40&v=4", + "name": "lavinir", + "url": "https://github.com/lavinir" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7654380?s=40&v=4", + "name": "philjn", + "url": "https://github.com/philjn" + } + ] + }, + { + "title": "oleeskild/digitalgarden", + "url": "https://github.com/oleeskild/digitalgarden", + "description": "", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "297", + "forks": "160", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20749264?s=40&v=4", - "name": "piharpi", - "url": "https://github.com/piharpi" + "avatar": "https://avatars.githubusercontent.com/u/4207230?s=40&v=4", + "name": "uroybd", + "url": "https://github.com/uroybd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6201338?s=40&v=4", + "name": "oleeskild", + "url": "https://github.com/oleeskild" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/55749227?s=40&v=4", + "name": "Luke-zhang-04", + "url": "https://github.com/Luke-zhang-04" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14344702?s=40&v=4", + "name": "1ARdotNO", + "url": "https://github.com/1ARdotNO" + } + ] + }, + { + "title": "IanLunn/Hover", + "url": "https://github.com/IanLunn/Hover", + "description": "A collection of CSS3 powered hover effects to be applied to links, buttons, logos, SVG, featured images and so on. Easily apply to your own elements, modify or just use for inspiration. Available in CSS, Sass, and LESS.", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "29,246", + "forks": "5,783", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/694641?s=40&v=4", + "name": "IanLunn", + "url": "https://github.com/IanLunn" }, { - "avatar": "https://avatars.githubusercontent.com/u/63054324?s=40&v=4", - "name": "aliifam", - "url": "https://github.com/aliifam" + "avatar": "https://avatars.githubusercontent.com/u/3077684?s=40&v=4", + "name": "Minimalistic", + "url": "https://github.com/Minimalistic" }, { - "avatar": "https://avatars.githubusercontent.com/u/1573904?s=40&v=4", - "name": "simonaco", - "url": "https://github.com/simonaco" + "avatar": "https://avatars.githubusercontent.com/u/4254854?s=40&v=4", + "name": "Jaspur", + "url": "https://github.com/Jaspur" }, { - "avatar": "https://avatars.githubusercontent.com/u/5411840?s=40&v=4", - "name": "alfredcc", - "url": "https://github.com/alfredcc" + "avatar": "https://avatars.githubusercontent.com/u/199807?s=40&v=4", + "name": "mdavis1982", + "url": "https://github.com/mdavis1982" }, { - "avatar": "https://avatars.githubusercontent.com/u/15648068?s=40&v=4", - "name": "mluerig", - "url": "https://github.com/mluerig" + "avatar": "https://avatars.githubusercontent.com/u/925197?s=40&v=4", + "name": "sturobson", + "url": "https://github.com/sturobson" } ] }, { - "title": "thingsym/hugo-theme-techdoc", - "url": "https://github.com/thingsym/hugo-theme-techdoc", - "description": "The Techdoc is a Hugo Theme for technical documentation.", + "title": "pages-themes/architect", + "url": "https://github.com/pages-themes/architect", + "description": "Architect is a Jekyll theme for GitHub Pages", "language": "SCSS", "languageColor": "#c6538c", - "stars": "214", - "forks": "146", - "addStars": "0", + "stars": "323", + "forks": "819", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1084604?s=40&v=4", - "name": "thingsym", - "url": "https://github.com/thingsym" + "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", + "name": "benbalter", + "url": "https://github.com/benbalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/18169566?s=40&v=4", - "name": "deining", - "url": "https://github.com/deining" + "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", + "name": "parkr", + "url": "https://github.com/parkr" }, { - "avatar": "https://avatars.githubusercontent.com/u/1212885?s=40&v=4", - "name": "coliff", - "url": "https://github.com/coliff" + "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", + "name": "tsusdere", + "url": "https://github.com/tsusdere" }, { - "avatar": "https://avatars.githubusercontent.com/u/94029?s=40&v=4", - "name": "moul", - "url": "https://github.com/moul" + "avatar": "https://avatars.githubusercontent.com/u/5982701?s=40&v=4", + "name": "mguerreiro", + "url": "https://github.com/mguerreiro" }, { - "avatar": "https://avatars.githubusercontent.com/u/304193?s=40&v=4", - "name": "antoniovazquezblanco", - "url": "https://github.com/antoniovazquezblanco" + "avatar": "https://avatars.githubusercontent.com/u/6104?s=40&v=4", + "name": "jasonlong", + "url": "https://github.com/jasonlong" } ] } diff --git a/data/daily/scss.xml b/data/daily/scss.xml index 613c18bd320c..e91ce70adbd7 100644 --- a/data/daily/scss.xml +++ b/data/daily/scss.xml @@ -3,42 +3,42 @@ GitHub Scss Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Scss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - jekyll/minima - https://github.com/jekyll/minima - Minima is a one-size-fits-all Jekyll theme for writers. - https://github.com/jekyll/minima + vinceliuice/WhiteSur-gtk-theme + https://github.com/vinceliuice/WhiteSur-gtk-theme + MacOS like theme for all gtk based desktops + https://github.com/vinceliuice/WhiteSur-gtk-theme SCSS #c6538c - 3,450 - 3,638 - 0 + 6,008 + 616 + 6 - https://avatars.githubusercontent.com/u/6166343?s=40&v=4 - jekyllbot - https://github.com/jekyllbot + https://avatars.githubusercontent.com/u/7604295?s=40&v=4 + vinceliuice + https://github.com/vinceliuice - https://avatars.githubusercontent.com/u/12479464?s=40&v=4 - ashmaroli - https://github.com/ashmaroli + https://avatars.githubusercontent.com/u/6300910?s=40&v=4 + stormbeforesunsetbee + https://github.com/stormbeforesunsetbee - https://avatars.githubusercontent.com/u/103008?s=40&v=4 - DirtyF - https://github.com/DirtyF + https://avatars.githubusercontent.com/u/71726270?s=40&v=4 + fernandesafp + https://github.com/fernandesafp - https://avatars.githubusercontent.com/u/282759?s=40&v=4 - benbalter - https://github.com/benbalter + https://avatars.githubusercontent.com/u/6800453?s=40&v=4 + AdamXweb + https://github.com/AdamXweb - https://avatars.githubusercontent.com/u/358615?s=40&v=4 - ashawley - https://github.com/ashawley + https://avatars.githubusercontent.com/u/49402019?s=40&v=4 + s-ahmad461 + https://github.com/s-ahmad461 @@ -49,7 +49,7 @@ https://github.com/vinceliuice/Orchis-theme SCSS #c6538c - 3,071 + 3,072 217 4 @@ -81,40 +81,40 @@ - vinceliuice/WhiteSur-gtk-theme - https://github.com/vinceliuice/WhiteSur-gtk-theme - MacOS like theme for all gtk based desktops - https://github.com/vinceliuice/WhiteSur-gtk-theme + rstacruz/cheatsheets + https://github.com/rstacruz/cheatsheets + Cheatsheets for web development - devhints.io + https://github.com/rstacruz/cheatsheets SCSS #c6538c - 6,008 - 616 - 6 + 13,844 + 3,592 + 3 - https://avatars.githubusercontent.com/u/7604295?s=40&v=4 - vinceliuice - https://github.com/vinceliuice + https://avatars.githubusercontent.com/u/74385?s=40&v=4 + rstacruz + https://github.com/rstacruz - https://avatars.githubusercontent.com/u/6300910?s=40&v=4 - stormbeforesunsetbee - https://github.com/stormbeforesunsetbee + https://avatars.githubusercontent.com/u/259196?s=40&v=4 + chadwithuhc + https://github.com/chadwithuhc - https://avatars.githubusercontent.com/u/71726270?s=40&v=4 - fernandesafp - https://github.com/fernandesafp + https://avatars.githubusercontent.com/u/40914576?s=40&v=4 + mhienle + https://github.com/mhienle - https://avatars.githubusercontent.com/u/6800453?s=40&v=4 - AdamXweb - https://github.com/AdamXweb + https://avatars.githubusercontent.com/u/1437428?s=40&v=4 + bezhermoso + https://github.com/bezhermoso - https://avatars.githubusercontent.com/u/49402019?s=40&v=4 - s-ahmad461 - https://github.com/s-ahmad461 + https://avatars.githubusercontent.com/u/760204?s=40&v=4 + forivall + https://github.com/forivall @@ -126,7 +126,7 @@ SCSS #c6538c 13,476 - 5,752 + 5,751 2 @@ -157,134 +157,182 @@ - pages-themes/cayman - https://github.com/pages-themes/cayman - Cayman is a Jekyll theme for GitHub Pages - https://github.com/pages-themes/cayman + just-the-docs/just-the-docs + https://github.com/just-the-docs/just-the-docs + A modern, high customizable, responsive Jekyll theme for documentation with built-in search. + https://github.com/just-the-docs/just-the-docs SCSS #c6538c - 1,227 - 3,192 - 0 + 7,632 + 3,684 + 3 - https://avatars.githubusercontent.com/u/27039604?s=40&v=4 - tsusdere - https://github.com/tsusdere + https://avatars.githubusercontent.com/u/896475?s=40&v=4 + pmarsceill + https://github.com/pmarsceill + + + https://avatars.githubusercontent.com/u/14893287?s=40&v=4 + mattxwang + https://github.com/mattxwang + + + https://avatars.githubusercontent.com/u/28134127?s=40&v=4 + SgtSilvio + https://github.com/SgtSilvio + + + https://avatars.githubusercontent.com/u/18308236?s=40&v=4 + pdmosses + https://github.com/pdmosses - pages-themes/hacker - https://github.com/pages-themes/hacker - Hacker is a Jekyll theme for GitHub Pages - https://github.com/pages-themes/hacker + RyanFitzgerald/devportfolio + https://github.com/RyanFitzgerald/devportfolio + A lightweight, customizable single-page personal portfolio website template built with JavaScript and Sass + https://github.com/RyanFitzgerald/devportfolio SCSS #c6538c - 985 - 1,209 + 4,391 + 3,779 0 - https://avatars.githubusercontent.com/u/282759?s=40&v=4 - benbalter - https://github.com/benbalter + https://avatars.githubusercontent.com/u/9112801?s=40&v=4 + RyanFitzgerald + https://github.com/RyanFitzgerald - https://avatars.githubusercontent.com/u/237985?s=40&v=4 - parkr - https://github.com/parkr + https://avatars.githubusercontent.com/u/3077684?s=40&v=4 + Minimalistic + https://github.com/Minimalistic - https://avatars.githubusercontent.com/u/27039604?s=40&v=4 - tsusdere - https://github.com/tsusdere + https://avatars.githubusercontent.com/u/14777542?s=40&v=4 + sav-valerio + https://github.com/sav-valerio - https://avatars.githubusercontent.com/u/166759?s=40&v=4 - olifre - https://github.com/olifre + https://avatars.githubusercontent.com/u/999235?s=40&v=4 + yousefamar + https://github.com/yousefamar + + + + + kitian616/jekyll-TeXt-theme + https://github.com/kitian616/jekyll-TeXt-theme + 💎 🐳 A super customizable Jekyll theme for personal site, team site, blog, project, documentation, etc. + https://github.com/kitian616/jekyll-TeXt-theme + SCSS + #c6538c + 3,171 + 2,569 + 4 + + + https://avatars.githubusercontent.com/u/9103558?s=40&v=4 + kitian616 + https://github.com/kitian616 - https://avatars.githubusercontent.com/u/203767?s=40&v=4 - ousia - https://github.com/ousia + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot + + + https://avatars.githubusercontent.com/u/1129155?s=40&v=4 + tanersenyurt + https://github.com/tanersenyurt + + + https://avatars.githubusercontent.com/u/5896343?s=40&v=4 + HenryQW + https://github.com/HenryQW + + + https://avatars.githubusercontent.com/u/20252212?s=40&v=4 + ssosso + https://github.com/ssosso - pages-themes/minimal - https://github.com/pages-themes/minimal - Minimal is a Jekyll theme for GitHub Pages - https://github.com/pages-themes/minimal + abhinavs/moonwalk + https://github.com/abhinavs/moonwalk + A fast & minimal Jekyll blog theme with clean dark mode + https://github.com/abhinavs/moonwalk SCSS #c6538c - 1,598 - 6,035 - 0 + 365 + 522 + 1 - https://avatars.githubusercontent.com/u/282759?s=40&v=4 - benbalter - https://github.com/benbalter + https://avatars.githubusercontent.com/u/188896?s=40&v=4 + abhinavs + https://github.com/abhinavs - https://avatars.githubusercontent.com/u/237985?s=40&v=4 - parkr - https://github.com/parkr + https://avatars.githubusercontent.com/u/6516878?s=40&v=4 + kleest + https://github.com/kleest - https://avatars.githubusercontent.com/u/27039604?s=40&v=4 - tsusdere - https://github.com/tsusdere + https://avatars.githubusercontent.com/u/8339771?s=40&v=4 + Mydayyy + https://github.com/Mydayyy - https://avatars.githubusercontent.com/u/615115?s=40&v=4 - Jmuccigr - https://github.com/Jmuccigr + https://avatars.githubusercontent.com/u/12686250?s=40&v=4 + bwees + https://github.com/bwees - https://avatars.githubusercontent.com/u/3324775?s=40&v=4 - EricFromCanada - https://github.com/EricFromCanada + https://avatars.githubusercontent.com/u/30587015?s=40&v=4 + xyzzy + https://github.com/xyzzy - AboutRSS/ALL-about-RSS - https://github.com/AboutRSS/ALL-about-RSS - A list of RSS related stuff: tools, services, communities and tutorials, etc. - https://github.com/AboutRSS/ALL-about-RSS + thingsym/hugo-theme-techdoc + https://github.com/thingsym/hugo-theme-techdoc + The Techdoc is a Hugo Theme for technical documentation. + https://github.com/thingsym/hugo-theme-techdoc SCSS #c6538c - 4,852 - 191 - 1 + 214 + 146 + 0 - https://avatars.githubusercontent.com/u/63651465?s=40&v=4 - AboutRSS - https://github.com/AboutRSS + https://avatars.githubusercontent.com/u/1084604?s=40&v=4 + thingsym + https://github.com/thingsym - https://avatars.githubusercontent.com/u/30341059?s=40&v=4 - yzqzss - https://github.com/yzqzss + https://avatars.githubusercontent.com/u/18169566?s=40&v=4 + deining + https://github.com/deining - https://avatars.githubusercontent.com/u/68507234?s=40&v=4 - xavierxross - https://github.com/xavierxross + https://avatars.githubusercontent.com/u/1212885?s=40&v=4 + coliff + https://github.com/coliff - https://avatars.githubusercontent.com/u/546570?s=40&v=4 - dvikan - https://github.com/dvikan + https://avatars.githubusercontent.com/u/94029?s=40&v=4 + moul + https://github.com/moul - https://avatars.githubusercontent.com/u/32519285?s=40&v=4 - kytrun - https://github.com/kytrun + https://avatars.githubusercontent.com/u/304193?s=40&v=4 + antoniovazquezblanco + https://github.com/antoniovazquezblanco @@ -295,8 +343,8 @@ https://github.com/keyvanakbary/learning-notes SCSS #c6538c - 5,498 - 1,186 + 5,501 + 1,187 3 @@ -317,192 +365,248 @@ - LineageOS/lineage_wiki - https://github.com/LineageOS/lineage_wiki - - https://github.com/LineageOS/lineage_wiki + jekyll/minima + https://github.com/jekyll/minima + Minima is a one-size-fits-all Jekyll theme for writers. + https://github.com/jekyll/minima SCSS #c6538c - 740 - 517 + 3,451 + 3,639 0 - https://avatars.githubusercontent.com/u/6830979?s=40&v=4 - luk1337 - https://github.com/luk1337 + https://avatars.githubusercontent.com/u/6166343?s=40&v=4 + jekyllbot + https://github.com/jekyllbot - https://avatars.githubusercontent.com/u/5876468?s=40&v=4 - npjohnson - https://github.com/npjohnson + https://avatars.githubusercontent.com/u/12479464?s=40&v=4 + ashmaroli + https://github.com/ashmaroli - https://avatars.githubusercontent.com/u/17656887?s=40&v=4 - BadDaemon - https://github.com/BadDaemon + https://avatars.githubusercontent.com/u/103008?s=40&v=4 + DirtyF + https://github.com/DirtyF - https://avatars.githubusercontent.com/u/876521?s=40&v=4 - razorloves - https://github.com/razorloves + https://avatars.githubusercontent.com/u/282759?s=40&v=4 + benbalter + https://github.com/benbalter - https://avatars.githubusercontent.com/u/1696148?s=40&v=4 - bgcngm - https://github.com/bgcngm + https://avatars.githubusercontent.com/u/358615?s=40&v=4 + ashawley + https://github.com/ashawley - Ileriayo/markdown-badges - https://github.com/Ileriayo/markdown-badges - Badges for your personal developer branding, profile, and projects. - https://github.com/Ileriayo/markdown-badges + emilbaehr/automatic-app-landing-page + https://github.com/emilbaehr/automatic-app-landing-page + A Jekyll theme for automatically generating and deploying landing page sites for mobile apps. + https://github.com/emilbaehr/automatic-app-landing-page SCSS #c6538c - 13,608 - 1,629 - 7 + 3,450 + 1,664 + 1 - https://avatars.githubusercontent.com/u/13166712?s=40&v=4 - Ileriayo - https://github.com/Ileriayo + https://avatars.githubusercontent.com/u/1547766?s=40&v=4 + emilbaehr + https://github.com/emilbaehr - https://avatars.githubusercontent.com/u/66284362?s=40&v=4 - kohasummons - https://github.com/kohasummons + https://avatars.githubusercontent.com/u/1503280?s=40&v=4 + QYL + https://github.com/QYL - https://avatars.githubusercontent.com/u/46837820?s=40&v=4 - mehtaAnsh - https://github.com/mehtaAnsh + https://avatars.githubusercontent.com/u/1477010?s=40&v=4 + stnguyen90 + https://github.com/stnguyen90 - https://avatars.githubusercontent.com/u/73753957?s=40&v=4 - gurjeetsinghvirdee - https://github.com/gurjeetsinghvirdee + https://avatars.githubusercontent.com/u/5361?s=40&v=4 + cowlibob + https://github.com/cowlibob - https://avatars.githubusercontent.com/u/16662291?s=40&v=4 - rajrohanyadav - https://github.com/rajrohanyadav + https://avatars.githubusercontent.com/u/40849?s=40&v=4 + marcpalmer + https://github.com/marcpalmer - angelbruni/Geckium - https://github.com/angelbruni/Geckium - Chrome 1 - 58 theme for Firefox 115+ - https://github.com/angelbruni/Geckium + Hacker0x01/hacker101 + https://github.com/Hacker0x01/hacker101 + Source code for Hacker101.com - a free online web and mobile security class. + https://github.com/Hacker0x01/hacker101 SCSS #c6538c - 321 - 32 + 13,838 + 2,534 1 - https://avatars.githubusercontent.com/u/48385307?s=40&v=4 - angelbruni - https://github.com/angelbruni + https://avatars.githubusercontent.com/u/2359?s=40&v=4 + daeken + https://github.com/daeken - https://avatars.githubusercontent.com/u/11057934?s=40&v=4 - dominichayesferen - https://github.com/dominichayesferen + https://avatars.githubusercontent.com/u/352251?s=40&v=4 + reedloden + https://github.com/reedloden - https://avatars.githubusercontent.com/u/54137141?s=40&v=4 - Kiki79250CoC - https://github.com/Kiki79250CoC + https://avatars.githubusercontent.com/u/5761179?s=40&v=4 + djwy + https://github.com/djwy - https://avatars.githubusercontent.com/u/52015098?s=40&v=4 - ImSwordQueen - https://github.com/ImSwordQueen + https://avatars.githubusercontent.com/u/18099289?s=40&v=4 + EdOverflow + https://github.com/EdOverflow + + + https://avatars.githubusercontent.com/u/23737561?s=40&v=4 + iMartzen + https://github.com/iMartzen + + + + + lavinir/hassio-onedrive-backup + https://github.com/lavinir/hassio-onedrive-backup + + https://github.com/lavinir/hassio-onedrive-backup + SCSS + #c6538c + 272 + 11 + 0 + + + https://avatars.githubusercontent.com/u/56975945?s=40&v=4 + lavinir + https://github.com/lavinir - https://avatars.githubusercontent.com/u/71982930?s=40&v=4 - KonaloboStudio - https://github.com/KonaloboStudio + https://avatars.githubusercontent.com/u/7654380?s=40&v=4 + philjn + https://github.com/philjn - piharpi/jekyll-klise - https://github.com/piharpi/jekyll-klise - 🏖️ Klisé is a minimalist Jekyll theme for running a personal site or blog, light & dark mode support. (https://klise.vercel.app) - https://github.com/piharpi/jekyll-klise + oleeskild/digitalgarden + https://github.com/oleeskild/digitalgarden + + https://github.com/oleeskild/digitalgarden SCSS #c6538c - 948 - 394 + 297 + 160 1 - https://avatars.githubusercontent.com/u/20749264?s=40&v=4 - piharpi - https://github.com/piharpi + https://avatars.githubusercontent.com/u/4207230?s=40&v=4 + uroybd + https://github.com/uroybd - https://avatars.githubusercontent.com/u/63054324?s=40&v=4 - aliifam - https://github.com/aliifam + https://avatars.githubusercontent.com/u/6201338?s=40&v=4 + oleeskild + https://github.com/oleeskild - https://avatars.githubusercontent.com/u/1573904?s=40&v=4 - simonaco - https://github.com/simonaco + https://avatars.githubusercontent.com/u/55749227?s=40&v=4 + Luke-zhang-04 + https://github.com/Luke-zhang-04 - https://avatars.githubusercontent.com/u/5411840?s=40&v=4 - alfredcc - https://github.com/alfredcc + https://avatars.githubusercontent.com/u/14344702?s=40&v=4 + 1ARdotNO + https://github.com/1ARdotNO + + + + IanLunn/Hover + https://github.com/IanLunn/Hover + A collection of CSS3 powered hover effects to be applied to links, buttons, logos, SVG, featured images and so on. Easily apply to your own elements, modify or just use for inspiration. Available in CSS, Sass, and LESS. + https://github.com/IanLunn/Hover + SCSS + #c6538c + 29,246 + 5,783 + 2 + - https://avatars.githubusercontent.com/u/15648068?s=40&v=4 - mluerig - https://github.com/mluerig + https://avatars.githubusercontent.com/u/694641?s=40&v=4 + IanLunn + https://github.com/IanLunn + + + https://avatars.githubusercontent.com/u/3077684?s=40&v=4 + Minimalistic + https://github.com/Minimalistic + + + https://avatars.githubusercontent.com/u/4254854?s=40&v=4 + Jaspur + https://github.com/Jaspur + + + https://avatars.githubusercontent.com/u/199807?s=40&v=4 + mdavis1982 + https://github.com/mdavis1982 + + + https://avatars.githubusercontent.com/u/925197?s=40&v=4 + sturobson + https://github.com/sturobson - thingsym/hugo-theme-techdoc - https://github.com/thingsym/hugo-theme-techdoc - The Techdoc is a Hugo Theme for technical documentation. - https://github.com/thingsym/hugo-theme-techdoc + pages-themes/architect + https://github.com/pages-themes/architect + Architect is a Jekyll theme for GitHub Pages + https://github.com/pages-themes/architect SCSS #c6538c - 214 - 146 - 0 + 323 + 819 + 1 - https://avatars.githubusercontent.com/u/1084604?s=40&v=4 - thingsym - https://github.com/thingsym + https://avatars.githubusercontent.com/u/282759?s=40&v=4 + benbalter + https://github.com/benbalter - https://avatars.githubusercontent.com/u/18169566?s=40&v=4 - deining - https://github.com/deining + https://avatars.githubusercontent.com/u/237985?s=40&v=4 + parkr + https://github.com/parkr - https://avatars.githubusercontent.com/u/1212885?s=40&v=4 - coliff - https://github.com/coliff + https://avatars.githubusercontent.com/u/27039604?s=40&v=4 + tsusdere + https://github.com/tsusdere - https://avatars.githubusercontent.com/u/94029?s=40&v=4 - moul - https://github.com/moul + https://avatars.githubusercontent.com/u/5982701?s=40&v=4 + mguerreiro + https://github.com/mguerreiro - https://avatars.githubusercontent.com/u/304193?s=40&v=4 - antoniovazquezblanco - https://github.com/antoniovazquezblanco + https://avatars.githubusercontent.com/u/6104?s=40&v=4 + jasonlong + https://github.com/jasonlong diff --git a/data/daily/sed.json b/data/daily/sed.json index 1044fe030baa..6df0542b9f08 100644 --- a/data/daily/sed.json +++ b/data/daily/sed.json @@ -2,6 +2,6 @@ "title": "GitHub Sed Languages Daily Trending", "description": "Daily Trending of Sed Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sed.xml b/data/daily/sed.xml index a11491251118..1209292d9227 100644 --- a/data/daily/sed.xml +++ b/data/daily/sed.xml @@ -3,6 +3,6 @@ GitHub Sed Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sed Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/self.json b/data/daily/self.json index e562e34c0291..008b7f439d17 100644 --- a/data/daily/self.json +++ b/data/daily/self.json @@ -2,6 +2,6 @@ "title": "GitHub Self Languages Daily Trending", "description": "Daily Trending of Self Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/self.xml b/data/daily/self.xml index 23321b9320f0..ce48706e8eef 100644 --- a/data/daily/self.xml +++ b/data/daily/self.xml @@ -3,6 +3,6 @@ GitHub Self Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Self Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/selinux-policy.json b/data/daily/selinux-policy.json index 30b96f72dca7..6a76052efb2d 100644 --- a/data/daily/selinux-policy.json +++ b/data/daily/selinux-policy.json @@ -2,6 +2,6 @@ "title": "GitHub Selinux-policy Languages Daily Trending", "description": "Daily Trending of Selinux-policy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/selinux-policy.xml b/data/daily/selinux-policy.xml index f400468244db..d4e375854a5f 100644 --- a/data/daily/selinux-policy.xml +++ b/data/daily/selinux-policy.xml @@ -3,6 +3,6 @@ GitHub Selinux-policy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Selinux-policy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/shaderlab.json b/data/daily/shaderlab.json index 888dcd7e96c4..9f1efa411032 100644 --- a/data/daily/shaderlab.json +++ b/data/daily/shaderlab.json @@ -2,7 +2,7 @@ "title": "GitHub Shaderlab Languages Daily Trending", "description": "Daily Trending of Shaderlab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "poiyomi/PoiyomiToonShader", @@ -41,6 +41,28 @@ } ] }, + { + "title": "candycat1992/Unity_Shaders_Book", + "url": "https://github.com/candycat1992/Unity_Shaders_Book", + "description": "📖 书籍《Unity Shader入门精要》源代码", + "language": "ShaderLab", + "languageColor": "#222c37", + "stars": "5,544", + "forks": "1,617", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5907064?s=40&v=4", + "name": "candycat1992", + "url": "https://github.com/candycat1992" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4500186?s=40&v=4", + "name": "gary9716", + "url": "https://github.com/gary9716" + } + ] + }, { "title": "lilxyzw/lilToon", "url": "https://github.com/lilxyzw/lilToon", @@ -84,8 +106,8 @@ "description": "UnityChanToonShaderVer2 Project / v.2.0.9 Release", "language": "ShaderLab", "languageColor": "#222c37", - "stars": "3,839", - "forks": "813", + "stars": "3,840", + "forks": "814", "addStars": "0", "contributors": [ { diff --git a/data/daily/shaderlab.xml b/data/daily/shaderlab.xml index e441f193260f..0abc1a6bf96b 100644 --- a/data/daily/shaderlab.xml +++ b/data/daily/shaderlab.xml @@ -3,7 +3,7 @@ GitHub Shaderlab Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Shaderlab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT poiyomi/PoiyomiToonShader https://github.com/poiyomi/PoiyomiToonShader @@ -42,6 +42,29 @@ + + candycat1992/Unity_Shaders_Book + https://github.com/candycat1992/Unity_Shaders_Book + 📖 书籍《Unity Shader入门精要》源代码 + https://github.com/candycat1992/Unity_Shaders_Book + ShaderLab + #222c37 + 5,544 + 1,617 + 2 + + + https://avatars.githubusercontent.com/u/5907064?s=40&v=4 + candycat1992 + https://github.com/candycat1992 + + + https://avatars.githubusercontent.com/u/4500186?s=40&v=4 + gary9716 + https://github.com/gary9716 + + + lilxyzw/lilToon https://github.com/lilxyzw/lilToon @@ -87,8 +110,8 @@ https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project ShaderLab #222c37 - 3,839 - 813 + 3,840 + 814 0 diff --git a/data/daily/shell.json b/data/daily/shell.json index 42c31175f565..78fca3504324 100644 --- a/data/daily/shell.json +++ b/data/daily/shell.json @@ -2,42 +2,74 @@ "title": "GitHub Shell Languages Daily Trending", "description": "Daily Trending of Shell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "termux/termux-packages", - "url": "https://github.com/termux/termux-packages", - "description": "A package build system for Termux.", + "title": "itzg/docker-minecraft-server", + "url": "https://github.com/itzg/docker-minecraft-server", + "description": "Docker image that provides a Minecraft Server that will automatically download selected version at startup", "language": "Shell", "languageColor": "#89e051", - "stars": "13,359", - "forks": "3,078", - "addStars": "4", + "stars": "9,601", + "forks": "1,557", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/988985?s=40&v=4", + "name": "itzg", + "url": "https://github.com/itzg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8572809?s=40&v=4", + "name": "shotah", + "url": "https://github.com/shotah" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/755327?s=40&v=4", + "name": "Silthus", + "url": "https://github.com/Silthus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67296752?s=40&v=4", + "name": "Jawa-Juice", + "url": "https://github.com/Jawa-Juice" + } + ] + }, + { + "title": "prasanthrangan/hyprdots", + "url": "https://github.com/prasanthrangan/hyprdots", + "description": "// Aesthetic, dynamic and minimal dots for Arch hyprland", + "language": "Shell", + "languageColor": "#89e051", + "stars": "7,623", + "forks": "891", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/99250145?s=40&v=4", - "name": "termuxbot2", - "url": "https://github.com/termuxbot2" + "avatar": "https://avatars.githubusercontent.com/u/106020512?s=40&v=4", + "name": "prasanthrangan", + "url": "https://github.com/prasanthrangan" }, { - "avatar": "https://avatars.githubusercontent.com/u/277251?s=40&v=4", - "name": "fornwall", - "url": "https://github.com/fornwall" + "avatar": "https://avatars.githubusercontent.com/u/132922589?s=40&v=4", + "name": "T-Crypt", + "url": "https://github.com/T-Crypt" }, { - "avatar": "https://avatars.githubusercontent.com/u/69125751?s=40&v=4", - "name": "xtkoba", - "url": "https://github.com/xtkoba" + "avatar": "https://avatars.githubusercontent.com/u/53417443?s=40&v=4", + "name": "kRHYME7", + "url": "https://github.com/kRHYME7" }, { - "avatar": "https://avatars.githubusercontent.com/u/18900601?s=40&v=4", - "name": "Grimler91", - "url": "https://github.com/Grimler91" + "avatar": "https://avatars.githubusercontent.com/u/8222059?s=40&v=4", + "name": "rubiin", + "url": "https://github.com/rubiin" }, { - "avatar": "https://avatars.githubusercontent.com/u/9674930?s=40&v=4", - "name": "twaik", - "url": "https://github.com/twaik" + "avatar": "https://avatars.githubusercontent.com/u/39863829?s=40&v=4", + "name": "joshrandall8478", + "url": "https://github.com/joshrandall8478" } ] }, @@ -47,7 +79,7 @@ "description": "Pi-hole in a docker container", "language": "Shell", "languageColor": "#89e051", - "stars": "8,611", + "stars": "8,613", "forks": "1,134", "addStars": "9", "contributors": [ @@ -74,34 +106,39 @@ ] }, { - "title": "juewuy/ShellCrash", - "url": "https://github.com/juewuy/ShellCrash", - "description": "Run sing-box/mihomo as client in shell", + "title": "ublue-os/bluefin", + "url": "https://github.com/ublue-os/bluefin", + "description": "The next generation Linux workstation, designed for reliability, performance, and sustainability.", "language": "Shell", "languageColor": "#89e051", - "stars": "9,055", - "forks": "1,497", - "addStars": "6", + "stars": "1,257", + "forks": "162", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/61367819?s=40&v=4", - "name": "juewuy", - "url": "https://github.com/juewuy" + "avatar": "https://avatars.githubusercontent.com/u/1264109?s=40&v=4", + "name": "castrojo", + "url": "https://github.com/castrojo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/69128853?s=40&v=4", + "name": "m2Giles", + "url": "https://github.com/m2Giles" }, { - "avatar": "https://avatars.githubusercontent.com/u/68163551?s=40&v=4", - "name": "RikudouPatrickstar", - "url": "https://github.com/RikudouPatrickstar" + "avatar": "https://avatars.githubusercontent.com/u/10704358?s=40&v=4", + "name": "KyleGospo", + "url": "https://github.com/KyleGospo" }, { - "avatar": "https://avatars.githubusercontent.com/u/45238096?s=40&v=4", - "name": "DustinWin", - "url": "https://github.com/DustinWin" + "avatar": "https://avatars.githubusercontent.com/u/38557801?s=40&v=4", + "name": "bobslept", + "url": "https://github.com/bobslept" }, { - "avatar": "https://avatars.githubusercontent.com/u/50480726?s=40&v=4", - "name": "A7T", - "url": "https://github.com/A7T" + "avatar": "https://avatars.githubusercontent.com/u/46304672?s=40&v=4", + "name": "p5", + "url": "https://github.com/p5" } ] }, @@ -111,8 +148,8 @@ "description": "超级速查表 - 编程语言、框架和开发工具的速查表,单个文件包含一切你需要知道的东西 ⚡", "language": "Shell", "languageColor": "#89e051", - "stars": "11,547", - "forks": "2,085", + "stars": "11,555", + "forks": "2,088", "addStars": "135", "contributors": [ { @@ -143,219 +180,283 @@ ] }, { - "title": "pi-hole/pi-hole", - "url": "https://github.com/pi-hole/pi-hole", - "description": "A black hole for Internet advertisements", + "title": "christianhaitian/arkos", + "url": "https://github.com/christianhaitian/arkos", + "description": "Another rockchip Operating System", "language": "Shell", "languageColor": "#89e051", - "stars": "49,304", - "forks": "2,702", - "addStars": "10", + "stars": "1,572", + "forks": "86", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1998970?s=40&v=4", - "name": "PromoFaux", - "url": "https://github.com/PromoFaux" + "avatar": "https://avatars.githubusercontent.com/u/22970448?s=40&v=4", + "name": "christianhaitian", + "url": "https://github.com/christianhaitian" }, { - "avatar": "https://avatars.githubusercontent.com/u/16748619?s=40&v=4", - "name": "DL6ER", - "url": "https://github.com/DL6ER" + "avatar": "https://avatars.githubusercontent.com/u/108437?s=40&v=4", + "name": "arthurlutz", + "url": "https://github.com/arthurlutz" }, { - "avatar": "https://avatars.githubusercontent.com/u/2782850?s=40&v=4", - "name": "dschaper", - "url": "https://github.com/dschaper" + "avatar": "https://avatars.githubusercontent.com/u/7066611?s=40&v=4", + "name": "ridgekuhn", + "url": "https://github.com/ridgekuhn" + } + ] + }, + { + "title": "myxuchangbin/dnsmasq_sniproxy_install", + "url": "https://github.com/myxuchangbin/dnsmasq_sniproxy_install", + "description": "One-click Install and Configure Dnsmasq and Sniproxy for CentOS/Debian/Ubuntu", + "language": "Shell", + "languageColor": "#89e051", + "stars": "1,276", + "forks": "562", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/17875554?s=40&v=4", + "name": "myxuchangbin", + "url": "https://github.com/myxuchangbin" }, { - "avatar": "https://avatars.githubusercontent.com/u/26622301?s=40&v=4", - "name": "yubiuser", - "url": "https://github.com/yubiuser" + "avatar": "https://avatars.githubusercontent.com/u/23046078?s=40&v=4", + "name": "ellermister", + "url": "https://github.com/ellermister" }, { - "avatar": "https://avatars.githubusercontent.com/u/4417660?s=40&v=4", - "name": "AzureMarker", - "url": "https://github.com/AzureMarker" + "avatar": "https://avatars.githubusercontent.com/u/42986378?s=40&v=4", + "name": "52znn", + "url": "https://github.com/52znn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47627026?s=40&v=4", + "name": "lostornot", + "url": "https://github.com/lostornot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/49067853?s=40&v=4", + "name": "Scholar-m", + "url": "https://github.com/Scholar-m" } ] }, { - "title": "olegos2/mobox", - "url": "https://github.com/olegos2/mobox", - "description": "", + "title": "JamesTurland/JimsGarage", + "url": "https://github.com/JamesTurland/JimsGarage", + "description": "Homelab Goodies", "language": "Shell", "languageColor": "#89e051", - "stars": "3,043", - "forks": "137", + "stars": "2,169", + "forks": "485", "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/74909582?s=40&v=4", - "name": "olegos2", - "url": "https://github.com/olegos2" + "avatar": "https://avatars.githubusercontent.com/u/125163838?s=40&v=4", + "name": "JamesTurland", + "url": "https://github.com/JamesTurland" }, { - "avatar": "https://avatars.githubusercontent.com/u/111647816?s=40&v=4", - "name": "SwedMlite", - "url": "https://github.com/SwedMlite" + "avatar": "https://avatars.githubusercontent.com/u/5897001?s=40&v=4", + "name": "jdratlif", + "url": "https://github.com/jdratlif" }, { - "avatar": "https://avatars.githubusercontent.com/u/110785596?s=40&v=4", - "name": "girshcatt", - "url": "https://github.com/girshcatt" + "avatar": "https://avatars.githubusercontent.com/u/62862738?s=40&v=4", + "name": "rc14193", + "url": "https://github.com/rc14193" }, { - "avatar": "https://avatars.githubusercontent.com/u/21007545?s=40&v=4", - "name": "PSzczepanski1996", - "url": "https://github.com/PSzczepanski1996" + "avatar": "https://avatars.githubusercontent.com/u/292446?s=40&v=4", + "name": "Simon-CR", + "url": "https://github.com/Simon-CR" }, { - "avatar": "https://avatars.githubusercontent.com/u/137641537?s=40&v=4", - "name": "Huggo2", - "url": "https://github.com/Huggo2" + "avatar": "https://avatars.githubusercontent.com/u/377222?s=40&v=4", + "name": "ratnose", + "url": "https://github.com/ratnose" } ] }, { - "title": "mylinuxforwork/dotfiles", - "url": "https://github.com/mylinuxforwork/dotfiles", - "description": "The ML4W Dotfiles for Hyprland - An advanced and full-featured configuration for the dynamic tiling window manager Hyprland including an easy to use installation script for Arch based Linux distributions.", + "title": "quickemu-project/quickemu", + "url": "https://github.com/quickemu-project/quickemu", + "description": "Quickly create and run optimised Windows, macOS and Linux virtual machines", "language": "Shell", "languageColor": "#89e051", - "stars": "1,156", - "forks": "88", - "addStars": "8", + "stars": "10,913", + "forks": "478", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/145253254?s=40&v=4", - "name": "mylinuxforwork", - "url": "https://github.com/mylinuxforwork" + "avatar": "https://avatars.githubusercontent.com/u/304639?s=40&v=4", + "name": "flexiondotorg", + "url": "https://github.com/flexiondotorg" }, { - "avatar": "https://avatars.githubusercontent.com/u/76483474?s=40&v=4", - "name": "ElectroPerf", - "url": "https://github.com/ElectroPerf" + "avatar": "https://avatars.githubusercontent.com/u/8797027?s=40&v=4", + "name": "philclifford", + "url": "https://github.com/philclifford" }, { - "avatar": "https://avatars.githubusercontent.com/u/117557273?s=40&v=4", - "name": "Codesmith28", - "url": "https://github.com/Codesmith28" + "avatar": "https://avatars.githubusercontent.com/u/6384793?s=40&v=4", + "name": "zen0bit", + "url": "https://github.com/zen0bit" }, { - "avatar": "https://avatars.githubusercontent.com/u/154270760?s=40&v=4", - "name": "jamesrahhh", - "url": "https://github.com/jamesrahhh" + "avatar": "https://avatars.githubusercontent.com/u/33645555?s=40&v=4", + "name": "lj3954", + "url": "https://github.com/lj3954" }, { - "avatar": "https://avatars.githubusercontent.com/u/6632120?s=40&v=4", - "name": "Benjman", - "url": "https://github.com/Benjman" + "avatar": "https://avatars.githubusercontent.com/u/2527227?s=40&v=4", + "name": "ymauray", + "url": "https://github.com/ymauray" } ] }, { - "title": "ophub/amlogic-s9xxx-openwrt", - "url": "https://github.com/ophub/amlogic-s9xxx-openwrt", - "description": "Support for OpenWrt in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc.", + "title": "adi1090x/rofi", + "url": "https://github.com/adi1090x/rofi", + "description": "A huge collection of Rofi based custom Applets, Launchers & Powermenus.", "language": "Shell", "languageColor": "#89e051", - "stars": "1,905", - "forks": "1,694", - "addStars": "0", + "stars": "6,801", + "forks": "317", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/68696949?s=40&v=4", - "name": "ophub", - "url": "https://github.com/ophub" + "avatar": "https://avatars.githubusercontent.com/u/26059688?s=40&v=4", + "name": "adi1090x", + "url": "https://github.com/adi1090x" }, { - "avatar": "https://avatars.githubusercontent.com/u/39355261?s=40&v=4", - "name": "unifreq", - "url": "https://github.com/unifreq" + "avatar": "https://avatars.githubusercontent.com/u/59540625?s=40&v=4", + "name": "GroosL", + "url": "https://github.com/GroosL" }, { - "avatar": "https://avatars.githubusercontent.com/u/67037511?s=40&v=4", - "name": "codesnas", - "url": "https://github.com/codesnas" + "avatar": "https://avatars.githubusercontent.com/u/712999?s=40&v=4", + "name": "scollado", + "url": "https://github.com/scollado" }, { - "avatar": "https://avatars.githubusercontent.com/u/15697803?s=40&v=4", - "name": "0neday", - "url": "https://github.com/0neday" + "avatar": "https://avatars.githubusercontent.com/u/60951303?s=40&v=4", + "name": "fishyfishfish55", + "url": "https://github.com/fishyfishfish55" }, { - "avatar": "https://avatars.githubusercontent.com/u/10005540?s=40&v=4", - "name": "Calmact", - "url": "https://github.com/Calmact" + "avatar": "https://avatars.githubusercontent.com/u/72765224?s=40&v=4", + "name": "braveatnight", + "url": "https://github.com/braveatnight" } ] }, { - "title": "itzg/docker-minecraft-server", - "url": "https://github.com/itzg/docker-minecraft-server", - "description": "Docker image that provides a Minecraft Server that will automatically download selected version at startup", + "title": "winapps-org/winapps", + "url": "https://github.com/winapps-org/winapps", + "description": "The winapps main project, forked from https://github.com/Fmstrat/winapps/", "language": "Shell", "languageColor": "#89e051", - "stars": "9,598", - "forks": "1,557", - "addStars": "5", + "stars": "1,254", + "forks": "57", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/988985?s=40&v=4", - "name": "itzg", - "url": "https://github.com/itzg" + "avatar": "https://avatars.githubusercontent.com/u/971474?s=40&v=4", + "name": "Fmstrat", + "url": "https://github.com/Fmstrat" }, { - "avatar": "https://avatars.githubusercontent.com/u/8572809?s=40&v=4", - "name": "shotah", - "url": "https://github.com/shotah" + "avatar": "https://avatars.githubusercontent.com/u/52569953?s=40&v=4", + "name": "oskardotglobal", + "url": "https://github.com/oskardotglobal" }, { - "avatar": "https://avatars.githubusercontent.com/u/755327?s=40&v=4", - "name": "Silthus", - "url": "https://github.com/Silthus" + "avatar": "https://avatars.githubusercontent.com/u/57999059?s=40&v=4", + "name": "KernelGhost", + "url": "https://github.com/KernelGhost" }, { - "avatar": "https://avatars.githubusercontent.com/u/67296752?s=40&v=4", - "name": "Jawa-Juice", - "url": "https://github.com/Jawa-Juice" + "avatar": "https://avatars.githubusercontent.com/u/71488985?s=40&v=4", + "name": "LDprg", + "url": "https://github.com/LDprg" } ] }, { - "title": "termux/proot-distro", - "url": "https://github.com/termux/proot-distro", - "description": "An utility for managing installations of the Linux distributions in Termux.", + "title": "basecamp/omakub", + "url": "https://github.com/basecamp/omakub", + "description": "Opinionated Ubuntu Setup", "language": "Shell", "languageColor": "#89e051", - "stars": "1,816", - "forks": "209", - "addStars": "4", + "stars": "5,581", + "forks": "488", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2741?s=40&v=4", + "name": "dhh", + "url": "https://github.com/dhh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1863540?s=40&v=4", + "name": "rmacklin", + "url": "https://github.com/rmacklin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25423853?s=40&v=4", + "name": "2KAbhishek", + "url": "https://github.com/2KAbhishek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67892?s=40&v=4", + "name": "alanmaciel", + "url": "https://github.com/alanmaciel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/99070354?s=40&v=4", + "name": "MislavPukljak", + "url": "https://github.com/MislavPukljak" + } + ] + }, + { + "title": "tmux-plugins/tmux-yank", + "url": "https://github.com/tmux-plugins/tmux-yank", + "description": "Tmux plugin for copying to system clipboard. Works on OSX, Linux and Cygwin.", + "language": "Shell", + "languageColor": "#89e051", + "stars": "2,718", + "forks": "122", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/107305601?s=40&v=4", - "name": "sylirre", - "url": "https://github.com/sylirre" + "avatar": "https://avatars.githubusercontent.com/u/40799?s=40&v=4", + "name": "docwhat", + "url": "https://github.com/docwhat" }, { - "avatar": "https://avatars.githubusercontent.com/u/83947761?s=40&v=4", - "name": "HPPinata", - "url": "https://github.com/HPPinata" + "avatar": "https://avatars.githubusercontent.com/u/1042682?s=40&v=4", + "name": "bruno-", + "url": "https://github.com/bruno-" }, { - "avatar": "https://avatars.githubusercontent.com/u/31443074?s=40&v=4", - "name": "Biswa96", - "url": "https://github.com/Biswa96" + "avatar": "https://avatars.githubusercontent.com/u/1683303?s=40&v=4", + "name": "keidax", + "url": "https://github.com/keidax" }, { - "avatar": "https://avatars.githubusercontent.com/u/52627687?s=40&v=4", - "name": "chenchongbiao", - "url": "https://github.com/chenchongbiao" + "avatar": "https://avatars.githubusercontent.com/u/1936828?s=40&v=4", + "name": "bosr", + "url": "https://github.com/bosr" }, { - "avatar": "https://avatars.githubusercontent.com/u/9674930?s=40&v=4", - "name": "twaik", - "url": "https://github.com/twaik" + "avatar": "https://avatars.githubusercontent.com/u/2071336?s=40&v=4", + "name": "edi9999", + "url": "https://github.com/edi9999" } ] }, @@ -365,7 +466,7 @@ "description": "A collection of awesome Jellyfin Plugins, Themes. Guides and Companion Software (Not affiliated with Jellyfin)", "language": "Shell", "languageColor": "#89e051", - "stars": "2,069", + "stars": "2,070", "forks": "54", "addStars": "6", "contributors": [ @@ -397,39 +498,71 @@ ] }, { - "title": "dtcooper/raspotify", - "url": "https://github.com/dtcooper/raspotify", - "description": "A Spotify Connect client that mostly Just Works™", + "title": "pi-hole/pi-hole", + "url": "https://github.com/pi-hole/pi-hole", + "description": "A black hole for Internet advertisements", "language": "Shell", "languageColor": "#89e051", - "stars": "4,678", - "forks": "217", - "addStars": "2", + "stars": "49,307", + "forks": "2,702", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6667703?s=40&v=4", - "name": "JasonLG1979", - "url": "https://github.com/JasonLG1979" + "avatar": "https://avatars.githubusercontent.com/u/1998970?s=40&v=4", + "name": "PromoFaux", + "url": "https://github.com/PromoFaux" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16748619?s=40&v=4", + "name": "DL6ER", + "url": "https://github.com/DL6ER" }, { - "avatar": "https://avatars.githubusercontent.com/u/873944?s=40&v=4", - "name": "dtcooper", - "url": "https://github.com/dtcooper" + "avatar": "https://avatars.githubusercontent.com/u/2782850?s=40&v=4", + "name": "dschaper", + "url": "https://github.com/dschaper" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26622301?s=40&v=4", + "name": "yubiuser", + "url": "https://github.com/yubiuser" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4417660?s=40&v=4", + "name": "AzureMarker", + "url": "https://github.com/AzureMarker" + } + ] + }, + { + "title": "RROrg/rr", + "url": "https://github.com/RROrg/rr", + "description": "Redpill Recovery (arpl-i18n)", + "language": "Shell", + "languageColor": "#89e051", + "stars": "4,869", + "forks": "826", + "addStars": "18", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5615843?s=40&v=4", + "name": "wjz304", + "url": "https://github.com/wjz304" }, { - "avatar": "https://avatars.githubusercontent.com/u/791756?s=40&v=4", - "name": "kimtore", - "url": "https://github.com/kimtore" + "avatar": "https://avatars.githubusercontent.com/u/11461290?s=40&v=4", + "name": "fbelavenuto", + "url": "https://github.com/fbelavenuto" }, { - "avatar": "https://avatars.githubusercontent.com/u/10158964?s=40&v=4", - "name": "BurningKarl", - "url": "https://github.com/BurningKarl" + "avatar": "https://avatars.githubusercontent.com/u/115027125?s=40&v=4", + "name": "marchfun1", + "url": "https://github.com/marchfun1" }, { - "avatar": "https://avatars.githubusercontent.com/u/3356474?s=40&v=4", - "name": "skywinder", - "url": "https://github.com/skywinder" + "avatar": "https://avatars.githubusercontent.com/u/110688601?s=40&v=4", + "name": "servnas", + "url": "https://github.com/servnas" } ] } diff --git a/data/daily/shell.xml b/data/daily/shell.xml index 4202a27b1894..61776ec40aff 100644 --- a/data/daily/shell.xml +++ b/data/daily/shell.xml @@ -3,42 +3,75 @@ GitHub Shell Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Shell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - termux/termux-packages - https://github.com/termux/termux-packages - A package build system for Termux. - https://github.com/termux/termux-packages + itzg/docker-minecraft-server + https://github.com/itzg/docker-minecraft-server + Docker image that provides a Minecraft Server that will automatically download selected version at startup + https://github.com/itzg/docker-minecraft-server Shell #89e051 - 13,359 - 3,078 - 4 + 9,601 + 1,557 + 5 - https://avatars.githubusercontent.com/u/99250145?s=40&v=4 - termuxbot2 - https://github.com/termuxbot2 + https://avatars.githubusercontent.com/u/988985?s=40&v=4 + itzg + https://github.com/itzg - https://avatars.githubusercontent.com/u/277251?s=40&v=4 - fornwall - https://github.com/fornwall + https://avatars.githubusercontent.com/u/8572809?s=40&v=4 + shotah + https://github.com/shotah - https://avatars.githubusercontent.com/u/69125751?s=40&v=4 - xtkoba - https://github.com/xtkoba + https://avatars.githubusercontent.com/u/755327?s=40&v=4 + Silthus + https://github.com/Silthus - https://avatars.githubusercontent.com/u/18900601?s=40&v=4 - Grimler91 - https://github.com/Grimler91 + https://avatars.githubusercontent.com/u/67296752?s=40&v=4 + Jawa-Juice + https://github.com/Jawa-Juice + + + + + prasanthrangan/hyprdots + https://github.com/prasanthrangan/hyprdots + // Aesthetic, dynamic and minimal dots for Arch hyprland + https://github.com/prasanthrangan/hyprdots + Shell + #89e051 + 7,623 + 891 + 12 + + + https://avatars.githubusercontent.com/u/106020512?s=40&v=4 + prasanthrangan + https://github.com/prasanthrangan + + + https://avatars.githubusercontent.com/u/132922589?s=40&v=4 + T-Crypt + https://github.com/T-Crypt + + + https://avatars.githubusercontent.com/u/53417443?s=40&v=4 + kRHYME7 + https://github.com/kRHYME7 + + + https://avatars.githubusercontent.com/u/8222059?s=40&v=4 + rubiin + https://github.com/rubiin - https://avatars.githubusercontent.com/u/9674930?s=40&v=4 - twaik - https://github.com/twaik + https://avatars.githubusercontent.com/u/39863829?s=40&v=4 + joshrandall8478 + https://github.com/joshrandall8478 @@ -49,7 +82,7 @@ https://github.com/pi-hole/docker-pi-hole Shell #89e051 - 8,611 + 8,613 1,134 9 @@ -76,35 +109,40 @@ - juewuy/ShellCrash - https://github.com/juewuy/ShellCrash - Run sing-box/mihomo as client in shell - https://github.com/juewuy/ShellCrash + ublue-os/bluefin + https://github.com/ublue-os/bluefin + The next generation Linux workstation, designed for reliability, performance, and sustainability. + https://github.com/ublue-os/bluefin Shell #89e051 - 9,055 - 1,497 - 6 + 1,257 + 162 + 2 - https://avatars.githubusercontent.com/u/61367819?s=40&v=4 - juewuy - https://github.com/juewuy + https://avatars.githubusercontent.com/u/1264109?s=40&v=4 + castrojo + https://github.com/castrojo + + + https://avatars.githubusercontent.com/u/69128853?s=40&v=4 + m2Giles + https://github.com/m2Giles - https://avatars.githubusercontent.com/u/68163551?s=40&v=4 - RikudouPatrickstar - https://github.com/RikudouPatrickstar + https://avatars.githubusercontent.com/u/10704358?s=40&v=4 + KyleGospo + https://github.com/KyleGospo - https://avatars.githubusercontent.com/u/45238096?s=40&v=4 - DustinWin - https://github.com/DustinWin + https://avatars.githubusercontent.com/u/38557801?s=40&v=4 + bobslept + https://github.com/bobslept - https://avatars.githubusercontent.com/u/50480726?s=40&v=4 - A7T - https://github.com/A7T + https://avatars.githubusercontent.com/u/46304672?s=40&v=4 + p5 + https://github.com/p5 @@ -115,8 +153,8 @@ https://github.com/skywind3000/awesome-cheatsheets Shell #89e051 - 11,547 - 2,085 + 11,555 + 2,088 135 @@ -147,225 +185,291 @@ - pi-hole/pi-hole - https://github.com/pi-hole/pi-hole - A black hole for Internet advertisements - https://github.com/pi-hole/pi-hole + christianhaitian/arkos + https://github.com/christianhaitian/arkos + Another rockchip Operating System + https://github.com/christianhaitian/arkos Shell #89e051 - 49,304 - 2,702 - 10 + 1,572 + 86 + 4 - https://avatars.githubusercontent.com/u/1998970?s=40&v=4 - PromoFaux - https://github.com/PromoFaux + https://avatars.githubusercontent.com/u/22970448?s=40&v=4 + christianhaitian + https://github.com/christianhaitian - https://avatars.githubusercontent.com/u/16748619?s=40&v=4 - DL6ER - https://github.com/DL6ER + https://avatars.githubusercontent.com/u/108437?s=40&v=4 + arthurlutz + https://github.com/arthurlutz - https://avatars.githubusercontent.com/u/2782850?s=40&v=4 - dschaper - https://github.com/dschaper + https://avatars.githubusercontent.com/u/7066611?s=40&v=4 + ridgekuhn + https://github.com/ridgekuhn + + + + myxuchangbin/dnsmasq_sniproxy_install + https://github.com/myxuchangbin/dnsmasq_sniproxy_install + One-click Install and Configure Dnsmasq and Sniproxy for CentOS/Debian/Ubuntu + https://github.com/myxuchangbin/dnsmasq_sniproxy_install + Shell + #89e051 + 1,276 + 562 + 4 + - https://avatars.githubusercontent.com/u/26622301?s=40&v=4 - yubiuser - https://github.com/yubiuser + https://avatars.githubusercontent.com/u/17875554?s=40&v=4 + myxuchangbin + https://github.com/myxuchangbin - https://avatars.githubusercontent.com/u/4417660?s=40&v=4 - AzureMarker - https://github.com/AzureMarker + https://avatars.githubusercontent.com/u/23046078?s=40&v=4 + ellermister + https://github.com/ellermister + + + https://avatars.githubusercontent.com/u/42986378?s=40&v=4 + 52znn + https://github.com/52znn + + + https://avatars.githubusercontent.com/u/47627026?s=40&v=4 + lostornot + https://github.com/lostornot + + + https://avatars.githubusercontent.com/u/49067853?s=40&v=4 + Scholar-m + https://github.com/Scholar-m - olegos2/mobox - https://github.com/olegos2/mobox - - https://github.com/olegos2/mobox + JamesTurland/JimsGarage + https://github.com/JamesTurland/JimsGarage + Homelab Goodies + https://github.com/JamesTurland/JimsGarage Shell #89e051 - 3,043 - 137 + 2,169 + 485 4 - https://avatars.githubusercontent.com/u/74909582?s=40&v=4 - olegos2 - https://github.com/olegos2 + https://avatars.githubusercontent.com/u/125163838?s=40&v=4 + JamesTurland + https://github.com/JamesTurland - https://avatars.githubusercontent.com/u/111647816?s=40&v=4 - SwedMlite - https://github.com/SwedMlite + https://avatars.githubusercontent.com/u/5897001?s=40&v=4 + jdratlif + https://github.com/jdratlif - https://avatars.githubusercontent.com/u/110785596?s=40&v=4 - girshcatt - https://github.com/girshcatt + https://avatars.githubusercontent.com/u/62862738?s=40&v=4 + rc14193 + https://github.com/rc14193 - https://avatars.githubusercontent.com/u/21007545?s=40&v=4 - PSzczepanski1996 - https://github.com/PSzczepanski1996 + https://avatars.githubusercontent.com/u/292446?s=40&v=4 + Simon-CR + https://github.com/Simon-CR - https://avatars.githubusercontent.com/u/137641537?s=40&v=4 - Huggo2 - https://github.com/Huggo2 + https://avatars.githubusercontent.com/u/377222?s=40&v=4 + ratnose + https://github.com/ratnose - mylinuxforwork/dotfiles - https://github.com/mylinuxforwork/dotfiles - The ML4W Dotfiles for Hyprland - An advanced and full-featured configuration for the dynamic tiling window manager Hyprland including an easy to use installation script for Arch based Linux distributions. - https://github.com/mylinuxforwork/dotfiles + quickemu-project/quickemu + https://github.com/quickemu-project/quickemu + Quickly create and run optimised Windows, macOS and Linux virtual machines + https://github.com/quickemu-project/quickemu Shell #89e051 - 1,156 - 88 - 8 + 10,913 + 478 + 15 - https://avatars.githubusercontent.com/u/145253254?s=40&v=4 - mylinuxforwork - https://github.com/mylinuxforwork + https://avatars.githubusercontent.com/u/304639?s=40&v=4 + flexiondotorg + https://github.com/flexiondotorg - https://avatars.githubusercontent.com/u/76483474?s=40&v=4 - ElectroPerf - https://github.com/ElectroPerf + https://avatars.githubusercontent.com/u/8797027?s=40&v=4 + philclifford + https://github.com/philclifford - https://avatars.githubusercontent.com/u/117557273?s=40&v=4 - Codesmith28 - https://github.com/Codesmith28 + https://avatars.githubusercontent.com/u/6384793?s=40&v=4 + zen0bit + https://github.com/zen0bit - https://avatars.githubusercontent.com/u/154270760?s=40&v=4 - jamesrahhh - https://github.com/jamesrahhh + https://avatars.githubusercontent.com/u/33645555?s=40&v=4 + lj3954 + https://github.com/lj3954 - https://avatars.githubusercontent.com/u/6632120?s=40&v=4 - Benjman - https://github.com/Benjman + https://avatars.githubusercontent.com/u/2527227?s=40&v=4 + ymauray + https://github.com/ymauray - ophub/amlogic-s9xxx-openwrt - https://github.com/ophub/amlogic-s9xxx-openwrt - Support for OpenWrt in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc. - https://github.com/ophub/amlogic-s9xxx-openwrt + adi1090x/rofi + https://github.com/adi1090x/rofi + A huge collection of Rofi based custom Applets, Launchers & Powermenus. + https://github.com/adi1090x/rofi Shell #89e051 - 1,905 - 1,694 - 0 + 6,801 + 317 + 5 - https://avatars.githubusercontent.com/u/68696949?s=40&v=4 - ophub - https://github.com/ophub + https://avatars.githubusercontent.com/u/26059688?s=40&v=4 + adi1090x + https://github.com/adi1090x - https://avatars.githubusercontent.com/u/39355261?s=40&v=4 - unifreq - https://github.com/unifreq + https://avatars.githubusercontent.com/u/59540625?s=40&v=4 + GroosL + https://github.com/GroosL - https://avatars.githubusercontent.com/u/67037511?s=40&v=4 - codesnas - https://github.com/codesnas + https://avatars.githubusercontent.com/u/712999?s=40&v=4 + scollado + https://github.com/scollado - https://avatars.githubusercontent.com/u/15697803?s=40&v=4 - 0neday - https://github.com/0neday + https://avatars.githubusercontent.com/u/60951303?s=40&v=4 + fishyfishfish55 + https://github.com/fishyfishfish55 - https://avatars.githubusercontent.com/u/10005540?s=40&v=4 - Calmact - https://github.com/Calmact + https://avatars.githubusercontent.com/u/72765224?s=40&v=4 + braveatnight + https://github.com/braveatnight - itzg/docker-minecraft-server - https://github.com/itzg/docker-minecraft-server - Docker image that provides a Minecraft Server that will automatically download selected version at startup - https://github.com/itzg/docker-minecraft-server + winapps-org/winapps + https://github.com/winapps-org/winapps + The winapps main project, forked from https://github.com/Fmstrat/winapps/ + https://github.com/winapps-org/winapps Shell #89e051 - 9,598 - 1,557 - 5 + 1,254 + 57 + 4 - https://avatars.githubusercontent.com/u/988985?s=40&v=4 - itzg - https://github.com/itzg + https://avatars.githubusercontent.com/u/971474?s=40&v=4 + Fmstrat + https://github.com/Fmstrat - https://avatars.githubusercontent.com/u/8572809?s=40&v=4 - shotah - https://github.com/shotah + https://avatars.githubusercontent.com/u/52569953?s=40&v=4 + oskardotglobal + https://github.com/oskardotglobal - https://avatars.githubusercontent.com/u/755327?s=40&v=4 - Silthus - https://github.com/Silthus + https://avatars.githubusercontent.com/u/57999059?s=40&v=4 + KernelGhost + https://github.com/KernelGhost - https://avatars.githubusercontent.com/u/67296752?s=40&v=4 - Jawa-Juice - https://github.com/Jawa-Juice + https://avatars.githubusercontent.com/u/71488985?s=40&v=4 + LDprg + https://github.com/LDprg - termux/proot-distro - https://github.com/termux/proot-distro - An utility for managing installations of the Linux distributions in Termux. - https://github.com/termux/proot-distro + basecamp/omakub + https://github.com/basecamp/omakub + Opinionated Ubuntu Setup + https://github.com/basecamp/omakub Shell #89e051 - 1,816 - 209 - 4 + 5,581 + 488 + 8 + + + https://avatars.githubusercontent.com/u/2741?s=40&v=4 + dhh + https://github.com/dhh + + + https://avatars.githubusercontent.com/u/1863540?s=40&v=4 + rmacklin + https://github.com/rmacklin + + + https://avatars.githubusercontent.com/u/25423853?s=40&v=4 + 2KAbhishek + https://github.com/2KAbhishek + + + https://avatars.githubusercontent.com/u/67892?s=40&v=4 + alanmaciel + https://github.com/alanmaciel + + + https://avatars.githubusercontent.com/u/99070354?s=40&v=4 + MislavPukljak + https://github.com/MislavPukljak + + + + + tmux-plugins/tmux-yank + https://github.com/tmux-plugins/tmux-yank + Tmux plugin for copying to system clipboard. Works on OSX, Linux and Cygwin. + https://github.com/tmux-plugins/tmux-yank + Shell + #89e051 + 2,718 + 122 + 1 - https://avatars.githubusercontent.com/u/107305601?s=40&v=4 - sylirre - https://github.com/sylirre + https://avatars.githubusercontent.com/u/40799?s=40&v=4 + docwhat + https://github.com/docwhat - https://avatars.githubusercontent.com/u/83947761?s=40&v=4 - HPPinata - https://github.com/HPPinata + https://avatars.githubusercontent.com/u/1042682?s=40&v=4 + bruno- + https://github.com/bruno- - https://avatars.githubusercontent.com/u/31443074?s=40&v=4 - Biswa96 - https://github.com/Biswa96 + https://avatars.githubusercontent.com/u/1683303?s=40&v=4 + keidax + https://github.com/keidax - https://avatars.githubusercontent.com/u/52627687?s=40&v=4 - chenchongbiao - https://github.com/chenchongbiao + https://avatars.githubusercontent.com/u/1936828?s=40&v=4 + bosr + https://github.com/bosr - https://avatars.githubusercontent.com/u/9674930?s=40&v=4 - twaik - https://github.com/twaik + https://avatars.githubusercontent.com/u/2071336?s=40&v=4 + edi9999 + https://github.com/edi9999 @@ -376,7 +480,7 @@ https://github.com/awesome-jellyfin/awesome-jellyfin Shell #89e051 - 2,069 + 2,070 54 6 @@ -408,40 +512,73 @@ - dtcooper/raspotify - https://github.com/dtcooper/raspotify - A Spotify Connect client that mostly Just Works™ - https://github.com/dtcooper/raspotify + pi-hole/pi-hole + https://github.com/pi-hole/pi-hole + A black hole for Internet advertisements + https://github.com/pi-hole/pi-hole Shell #89e051 - 4,678 - 217 - 2 + 49,307 + 2,702 + 10 - https://avatars.githubusercontent.com/u/6667703?s=40&v=4 - JasonLG1979 - https://github.com/JasonLG1979 + https://avatars.githubusercontent.com/u/1998970?s=40&v=4 + PromoFaux + https://github.com/PromoFaux + + + https://avatars.githubusercontent.com/u/16748619?s=40&v=4 + DL6ER + https://github.com/DL6ER + + + https://avatars.githubusercontent.com/u/2782850?s=40&v=4 + dschaper + https://github.com/dschaper + + + https://avatars.githubusercontent.com/u/26622301?s=40&v=4 + yubiuser + https://github.com/yubiuser + + + https://avatars.githubusercontent.com/u/4417660?s=40&v=4 + AzureMarker + https://github.com/AzureMarker + + + + RROrg/rr + https://github.com/RROrg/rr + Redpill Recovery (arpl-i18n) + https://github.com/RROrg/rr + Shell + #89e051 + 4,869 + 826 + 18 + - https://avatars.githubusercontent.com/u/873944?s=40&v=4 - dtcooper - https://github.com/dtcooper + https://avatars.githubusercontent.com/u/5615843?s=40&v=4 + wjz304 + https://github.com/wjz304 - https://avatars.githubusercontent.com/u/791756?s=40&v=4 - kimtore - https://github.com/kimtore + https://avatars.githubusercontent.com/u/11461290?s=40&v=4 + fbelavenuto + https://github.com/fbelavenuto - https://avatars.githubusercontent.com/u/10158964?s=40&v=4 - BurningKarl - https://github.com/BurningKarl + https://avatars.githubusercontent.com/u/115027125?s=40&v=4 + marchfun1 + https://github.com/marchfun1 - https://avatars.githubusercontent.com/u/3356474?s=40&v=4 - skywinder - https://github.com/skywinder + https://avatars.githubusercontent.com/u/110688601?s=40&v=4 + servnas + https://github.com/servnas diff --git a/data/daily/shellcheck-config.json b/data/daily/shellcheck-config.json index e1a533b1858c..9e4a726d2c31 100644 --- a/data/daily/shellcheck-config.json +++ b/data/daily/shellcheck-config.json @@ -2,6 +2,6 @@ "title": "GitHub Shellcheck-config Languages Daily Trending", "description": "Daily Trending of Shellcheck-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/shellcheck-config.xml b/data/daily/shellcheck-config.xml index 5add3a0c4c1c..9188c540d744 100644 --- a/data/daily/shellcheck-config.xml +++ b/data/daily/shellcheck-config.xml @@ -3,6 +3,6 @@ GitHub Shellcheck-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Shellcheck-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/shellsession.json b/data/daily/shellsession.json index 2483e5e509c1..eaf11df9f5a9 100644 --- a/data/daily/shellsession.json +++ b/data/daily/shellsession.json @@ -2,6 +2,6 @@ "title": "GitHub Shellsession Languages Daily Trending", "description": "Daily Trending of Shellsession Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/shellsession.xml b/data/daily/shellsession.xml index dbe9a69dcd18..a6f0adfeae2d 100644 --- a/data/daily/shellsession.xml +++ b/data/daily/shellsession.xml @@ -3,6 +3,6 @@ GitHub Shellsession Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Shellsession Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/shen.json b/data/daily/shen.json index fae535cf2a06..eaf59cee0cb2 100644 --- a/data/daily/shen.json +++ b/data/daily/shen.json @@ -2,6 +2,6 @@ "title": "GitHub Shen Languages Daily Trending", "description": "Daily Trending of Shen Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/shen.xml b/data/daily/shen.xml index 79e8d3f0e0b9..8382a6e4479c 100644 --- a/data/daily/shen.xml +++ b/data/daily/shen.xml @@ -3,6 +3,6 @@ GitHub Shen Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Shen Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sieve.json b/data/daily/sieve.json index d25234392813..10acad4a70e1 100644 --- a/data/daily/sieve.json +++ b/data/daily/sieve.json @@ -2,6 +2,6 @@ "title": "GitHub Sieve Languages Daily Trending", "description": "Daily Trending of Sieve Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sieve.xml b/data/daily/sieve.xml index 4e18fe913c4c..c8ce695c7278 100644 --- a/data/daily/sieve.xml +++ b/data/daily/sieve.xml @@ -3,6 +3,6 @@ GitHub Sieve Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sieve Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/simple-file-verification.json b/data/daily/simple-file-verification.json index 0f81a3c2a0d9..4ba31b8d7884 100644 --- a/data/daily/simple-file-verification.json +++ b/data/daily/simple-file-verification.json @@ -2,6 +2,6 @@ "title": "GitHub Simple-file-verification Languages Daily Trending", "description": "Daily Trending of Simple-file-verification Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/simple-file-verification.xml b/data/daily/simple-file-verification.xml index 9aa55656c3fa..9587bcf6bd4a 100644 --- a/data/daily/simple-file-verification.xml +++ b/data/daily/simple-file-verification.xml @@ -3,6 +3,6 @@ GitHub Simple-file-verification Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Simple-file-verification Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/singularity.json b/data/daily/singularity.json index 0a8f4c989949..30998ff343c5 100644 --- a/data/daily/singularity.json +++ b/data/daily/singularity.json @@ -2,6 +2,6 @@ "title": "GitHub Singularity Languages Daily Trending", "description": "Daily Trending of Singularity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/singularity.xml b/data/daily/singularity.xml index b268b16ef9f2..026d793d4334 100644 --- a/data/daily/singularity.xml +++ b/data/daily/singularity.xml @@ -3,6 +3,6 @@ GitHub Singularity Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Singularity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/slash.json b/data/daily/slash.json index b7c258098961..ac3ce0c25ad6 100644 --- a/data/daily/slash.json +++ b/data/daily/slash.json @@ -2,6 +2,6 @@ "title": "GitHub Slash Languages Daily Trending", "description": "Daily Trending of Slash Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/slash.xml b/data/daily/slash.xml index 6ccf2aa3fceb..7792a53ec65a 100644 --- a/data/daily/slash.xml +++ b/data/daily/slash.xml @@ -3,6 +3,6 @@ GitHub Slash Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Slash Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/slice.json b/data/daily/slice.json index ad2c1b147595..1627a0fe7b54 100644 --- a/data/daily/slice.json +++ b/data/daily/slice.json @@ -2,6 +2,6 @@ "title": "GitHub Slice Languages Daily Trending", "description": "Daily Trending of Slice Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/slice.xml b/data/daily/slice.xml index bb119c3e76b7..ae410cf4af27 100644 --- a/data/daily/slice.xml +++ b/data/daily/slice.xml @@ -3,6 +3,6 @@ GitHub Slice Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Slice Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/slim.json b/data/daily/slim.json index 9489b9f42515..b1b7f7769041 100644 --- a/data/daily/slim.json +++ b/data/daily/slim.json @@ -2,6 +2,6 @@ "title": "GitHub Slim Languages Daily Trending", "description": "Daily Trending of Slim Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/slim.xml b/data/daily/slim.xml index e798b1e5fc99..ba2eab842080 100644 --- a/data/daily/slim.xml +++ b/data/daily/slim.xml @@ -3,6 +3,6 @@ GitHub Slim Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Slim Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/slint.json b/data/daily/slint.json index 550c05cfa5b2..de4a7c978ba4 100644 --- a/data/daily/slint.json +++ b/data/daily/slint.json @@ -2,6 +2,6 @@ "title": "GitHub Slint Languages Daily Trending", "description": "Daily Trending of Slint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/slint.xml b/data/daily/slint.xml index 5df386009740..c8d1c785e970 100644 --- a/data/daily/slint.xml +++ b/data/daily/slint.xml @@ -3,6 +3,6 @@ GitHub Slint Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Slint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/smali.json b/data/daily/smali.json index 9087f7803de0..ad4ebf9cf4df 100644 --- a/data/daily/smali.json +++ b/data/daily/smali.json @@ -2,7 +2,7 @@ "title": "GitHub Smali Languages Daily Trending", "description": "Daily Trending of Smali Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "phhusson/treble_experimentations", @@ -84,7 +84,7 @@ "description": "Cross-Platform Android Remote Administration Tool | Official maintained repository for the AhMyth R.A.T Project | A dedicated revival of the original repository at https://GitHub.com/AhMyth/AhMyth-Android-RAT", "language": "Smali", "languageColor": "#ccc", - "stars": "824", + "stars": "823", "forks": "206", "addStars": "0", "contributors": [ @@ -109,6 +109,65 @@ "url": "https://github.com/YagamiLight17" } ] + }, + { + "title": "vtosters/lite", + "url": "https://github.com/vtosters/lite", + "description": "Модифицированный клиент VK", + "language": "Smali", + "languageColor": "#ccc", + "stars": "556", + "forks": "28", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/41114720?s=40&v=4", + "name": "gdlbo", + "url": "https://github.com/gdlbo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53598473?s=40&v=4", + "name": "aliveoutside", + "url": "https://github.com/aliveoutside" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47892605?s=40&v=4", + "name": "Vologhat", + "url": "https://github.com/Vologhat" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46452865?s=40&v=4", + "name": "k1zn", + "url": "https://github.com/k1zn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/100377648?s=40&v=4", + "name": "inotflying", + "url": "https://github.com/inotflying" + } + ] + }, + { + "title": "qidian55/bilibilitv1.6.6-repair", + "url": "https://github.com/qidian55/bilibilitv1.6.6-repair", + "description": "尝试修复经典的 bilibili tv 1.6.6 版本", + "language": "Smali", + "languageColor": "#ccc", + "stars": "1,312", + "forks": "72", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/64849836?s=40&v=4", + "name": "qidian55", + "url": "https://github.com/qidian55" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/122852659?s=40&v=4", + "name": "starmi6397", + "url": "https://github.com/starmi6397" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/smali.xml b/data/daily/smali.xml index dc60196f4153..7882b0231a55 100644 --- a/data/daily/smali.xml +++ b/data/daily/smali.xml @@ -3,7 +3,7 @@ GitHub Smali Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Smali Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT phhusson/treble_experimentations https://github.com/phhusson/treble_experimentations @@ -87,7 +87,7 @@ https://github.com/Morsmalleo/AhMyth Smali #ccc - 824 + 823 206 0 @@ -113,5 +113,66 @@ + + vtosters/lite + https://github.com/vtosters/lite + Модифицированный клиент VK + https://github.com/vtosters/lite + Smali + #ccc + 556 + 28 + 1 + + + https://avatars.githubusercontent.com/u/41114720?s=40&v=4 + gdlbo + https://github.com/gdlbo + + + https://avatars.githubusercontent.com/u/53598473?s=40&v=4 + aliveoutside + https://github.com/aliveoutside + + + https://avatars.githubusercontent.com/u/47892605?s=40&v=4 + Vologhat + https://github.com/Vologhat + + + https://avatars.githubusercontent.com/u/46452865?s=40&v=4 + k1zn + https://github.com/k1zn + + + https://avatars.githubusercontent.com/u/100377648?s=40&v=4 + inotflying + https://github.com/inotflying + + + + + qidian55/bilibilitv1.6.6-repair + https://github.com/qidian55/bilibilitv1.6.6-repair + 尝试修复经典的 bilibili tv 1.6.6 版本 + https://github.com/qidian55/bilibilitv1.6.6-repair + Smali + #ccc + 1,312 + 72 + 2 + + + https://avatars.githubusercontent.com/u/64849836?s=40&v=4 + qidian55 + https://github.com/qidian55 + + + https://avatars.githubusercontent.com/u/122852659?s=40&v=4 + starmi6397 + https://github.com/starmi6397 + + + \ No newline at end of file diff --git a/data/daily/smalltalk.json b/data/daily/smalltalk.json index 857b44c0a079..f480de4121c0 100644 --- a/data/daily/smalltalk.json +++ b/data/daily/smalltalk.json @@ -2,44 +2,6 @@ "title": "GitHub Smalltalk Languages Daily Trending", "description": "Daily Trending of Smalltalk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "j-brant/SmaCC", - "url": "https://github.com/j-brant/SmaCC", - "description": "", - "language": "Smalltalk", - "languageColor": "#596706", - "stars": "24", - "forks": "16", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/16563825?s=40&v=4", - "name": "j-brant", - "url": "https://github.com/j-brant" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32526923?s=40&v=4", - "name": "mariari", - "url": "https://github.com/mariari" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15268625?s=40&v=4", - "name": "refactoringdr", - "url": "https://github.com/refactoringdr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/465505?s=40&v=4", - "name": "JurajKubelka", - "url": "https://github.com/JurajKubelka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6225039?s=40&v=4", - "name": "badetitou", - "url": "https://github.com/badetitou" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/smalltalk.xml b/data/daily/smalltalk.xml index 438d89337e4e..355a28583bde 100644 --- a/data/daily/smalltalk.xml +++ b/data/daily/smalltalk.xml @@ -3,44 +3,6 @@ GitHub Smalltalk Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Smalltalk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - j-brant/SmaCC - https://github.com/j-brant/SmaCC - - https://github.com/j-brant/SmaCC - Smalltalk - #596706 - 24 - 16 - 0 - - - https://avatars.githubusercontent.com/u/16563825?s=40&v=4 - j-brant - https://github.com/j-brant - - - https://avatars.githubusercontent.com/u/32526923?s=40&v=4 - mariari - https://github.com/mariari - - - https://avatars.githubusercontent.com/u/15268625?s=40&v=4 - refactoringdr - https://github.com/refactoringdr - - - https://avatars.githubusercontent.com/u/465505?s=40&v=4 - JurajKubelka - https://github.com/JurajKubelka - - - https://avatars.githubusercontent.com/u/6225039?s=40&v=4 - badetitou - https://github.com/badetitou - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/smarty.json b/data/daily/smarty.json index 2f3b2c657426..d46950c42532 100644 --- a/data/daily/smarty.json +++ b/data/daily/smarty.json @@ -2,7 +2,7 @@ "title": "GitHub Smarty Languages Daily Trending", "description": "Daily Trending of Smarty Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "plexinc/pms-docker", @@ -74,98 +74,145 @@ ] }, { - "title": "cloudflare/helm-charts", - "url": "https://github.com/cloudflare/helm-charts", + "title": "mongodb/helm-charts", + "url": "https://github.com/mongodb/helm-charts", "description": "", "language": "Smarty", "languageColor": "#f0c040", - "stars": "83", - "forks": "66", + "stars": "99", + "forks": "92", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6054865?s=40&v=4", - "name": "mattalberts", - "url": "https://github.com/mattalberts" + "avatar": "https://avatars.githubusercontent.com/u/2648502?s=40&v=4", + "name": "theburi", + "url": "https://github.com/theburi" }, { - "avatar": "https://avatars.githubusercontent.com/u/501943?s=40&v=4", - "name": "obezuk", - "url": "https://github.com/obezuk" + "avatar": "https://avatars.githubusercontent.com/u/1688827?s=40&v=4", + "name": "igor-karpukhin", + "url": "https://github.com/igor-karpukhin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1454089?s=40&v=4", - "name": "jalateras", - "url": "https://github.com/jalateras" + "avatar": "https://avatars.githubusercontent.com/u/124291?s=40&v=4", + "name": "rodrigovalin", + "url": "https://github.com/rodrigovalin" }, { - "avatar": "https://avatars.githubusercontent.com/u/141166531?s=40&v=4", - "name": "evmos-lde", - "url": "https://github.com/evmos-lde" + "avatar": "https://avatars.githubusercontent.com/u/5437289?s=40&v=4", + "name": "leo-ri", + "url": "https://github.com/leo-ri" }, { - "avatar": "https://avatars.githubusercontent.com/u/24921?s=40&v=4", - "name": "larcher", - "url": "https://github.com/larcher" + "avatar": "https://avatars.githubusercontent.com/u/514554?s=40&v=4", + "name": "josvazg", + "url": "https://github.com/josvazg" } ] }, { - "title": "sidpalas/devops-directive-kubernetes-course", - "url": "https://github.com/sidpalas/devops-directive-kubernetes-course", - "description": "This is the companion repo for the DevOps Directive \"Kubernetes: Beginner to Pro\" course!", + "title": "traefik/traefik-helm-chart", + "url": "https://github.com/traefik/traefik-helm-chart", + "description": "Traefik Proxy Helm Chart", "language": "Smarty", "languageColor": "#f0c040", - "stars": "745", - "forks": "282", + "stars": "1,086", + "forks": "762", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", - "name": "sidpalas", - "url": "https://github.com/sidpalas" + "avatar": "https://avatars.githubusercontent.com/u/97035654?s=40&v=4", + "name": "mloiseleur", + "url": "https://github.com/mloiseleur" }, { - "avatar": "https://avatars.githubusercontent.com/u/592430?s=40&v=4", - "name": "accessd", - "url": "https://github.com/accessd" + "avatar": "https://avatars.githubusercontent.com/u/1776972?s=40&v=4", + "name": "mmatur", + "url": "https://github.com/mmatur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1105441?s=40&v=4", + "name": "darkweaver87", + "url": "https://github.com/darkweaver87" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27928201?s=40&v=4", + "name": "charlie-haley", + "url": "https://github.com/charlie-haley" } ] }, { - "title": "bitnami/charts", - "url": "https://github.com/bitnami/charts", - "description": "Bitnami Helm Charts", + "title": "wiz-sec/charts", + "url": "https://github.com/wiz-sec/charts", + "description": "", "language": "Smarty", "languageColor": "#f0c040", - "stars": "9,053", - "forks": "9,223", - "addStars": "3", + "stars": "38", + "forks": "38", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13025337?s=40&v=4", - "name": "bitnami-bot", - "url": "https://github.com/bitnami-bot" + "avatar": "https://avatars.githubusercontent.com/u/122028115?s=40&v=4", + "name": "circleci-wiz", + "url": "https://github.com/circleci-wiz" }, { - "avatar": "https://avatars.githubusercontent.com/u/1133777?s=40&v=4", - "name": "javsalgar", - "url": "https://github.com/javsalgar" + "avatar": "https://avatars.githubusercontent.com/u/12391811?s=40&v=4", + "name": "barmagnezi", + "url": "https://github.com/barmagnezi" }, { - "avatar": "https://avatars.githubusercontent.com/u/13216600?s=40&v=4", - "name": "carrodher", - "url": "https://github.com/carrodher" + "avatar": "https://avatars.githubusercontent.com/u/1383193?s=40&v=4", + "name": "r-darwish", + "url": "https://github.com/r-darwish" }, { - "avatar": "https://avatars.githubusercontent.com/u/6740773?s=40&v=4", - "name": "juan131", - "url": "https://github.com/juan131" + "avatar": "https://avatars.githubusercontent.com/u/5991621?s=40&v=4", + "name": "yarinm", + "url": "https://github.com/yarinm" }, { - "avatar": "https://avatars.githubusercontent.com/u/37381070?s=40&v=4", - "name": "migruiz4", - "url": "https://github.com/migruiz4" + "avatar": "https://avatars.githubusercontent.com/u/71496861?s=40&v=4", + "name": "nitzanzuler", + "url": "https://github.com/nitzanzuler" + } + ] + }, + { + "title": "cloudflare/helm-charts", + "url": "https://github.com/cloudflare/helm-charts", + "description": "", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "83", + "forks": "66", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6054865?s=40&v=4", + "name": "mattalberts", + "url": "https://github.com/mattalberts" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/501943?s=40&v=4", + "name": "obezuk", + "url": "https://github.com/obezuk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1454089?s=40&v=4", + "name": "jalateras", + "url": "https://github.com/jalateras" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/141166531?s=40&v=4", + "name": "evmos-lde", + "url": "https://github.com/evmos-lde" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24921?s=40&v=4", + "name": "larcher", + "url": "https://github.com/larcher" } ] }, @@ -206,43 +253,6 @@ } ] }, - { - "title": "mongodb/helm-charts", - "url": "https://github.com/mongodb/helm-charts", - "description": "", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "99", - "forks": "92", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2648502?s=40&v=4", - "name": "theburi", - "url": "https://github.com/theburi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1688827?s=40&v=4", - "name": "igor-karpukhin", - "url": "https://github.com/igor-karpukhin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/124291?s=40&v=4", - "name": "rodrigovalin", - "url": "https://github.com/rodrigovalin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5437289?s=40&v=4", - "name": "leo-ri", - "url": "https://github.com/leo-ri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/514554?s=40&v=4", - "name": "josvazg", - "url": "https://github.com/josvazg" - } - ] - }, { "title": "truenas/charts", "url": "https://github.com/truenas/charts", @@ -280,33 +290,6 @@ } ] }, - { - "title": "grafana/k8s-monitoring-helm", - "url": "https://github.com/grafana/k8s-monitoring-helm", - "description": "", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "225", - "forks": "86", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2235675?s=40&v=4", - "name": "petewall", - "url": "https://github.com/petewall" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/514343?s=40&v=4", - "name": "skl", - "url": "https://github.com/skl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/442745?s=40&v=4", - "name": "bentonam", - "url": "https://github.com/bentonam" - } - ] - }, { "title": "open-telemetry/opentelemetry-helm-charts", "url": "https://github.com/open-telemetry/opentelemetry-helm-charts", @@ -343,6 +326,65 @@ "url": "https://github.com/jaronoff97" } ] + }, + { + "title": "bitnami/charts", + "url": "https://github.com/bitnami/charts", + "description": "Bitnami Helm Charts", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "9,054", + "forks": "9,224", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13025337?s=40&v=4", + "name": "bitnami-bot", + "url": "https://github.com/bitnami-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1133777?s=40&v=4", + "name": "javsalgar", + "url": "https://github.com/javsalgar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13216600?s=40&v=4", + "name": "carrodher", + "url": "https://github.com/carrodher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6740773?s=40&v=4", + "name": "juan131", + "url": "https://github.com/juan131" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37381070?s=40&v=4", + "name": "migruiz4", + "url": "https://github.com/migruiz4" + } + ] + }, + { + "title": "sidpalas/devops-directive-kubernetes-course", + "url": "https://github.com/sidpalas/devops-directive-kubernetes-course", + "description": "This is the companion repo for the DevOps Directive \"Kubernetes: Beginner to Pro\" course!", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "745", + "forks": "282", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", + "name": "sidpalas", + "url": "https://github.com/sidpalas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/592430?s=40&v=4", + "name": "accessd", + "url": "https://github.com/accessd" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/smarty.xml b/data/daily/smarty.xml index 37fabc5d2757..101146954978 100644 --- a/data/daily/smarty.xml +++ b/data/daily/smarty.xml @@ -3,7 +3,7 @@ GitHub Smarty Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Smarty Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT plexinc/pms-docker https://github.com/plexinc/pms-docker @@ -76,101 +76,149 @@ - cloudflare/helm-charts - https://github.com/cloudflare/helm-charts + mongodb/helm-charts + https://github.com/mongodb/helm-charts - https://github.com/cloudflare/helm-charts + https://github.com/mongodb/helm-charts Smarty #f0c040 - 83 - 66 + 99 + 92 0 - https://avatars.githubusercontent.com/u/6054865?s=40&v=4 - mattalberts - https://github.com/mattalberts + https://avatars.githubusercontent.com/u/2648502?s=40&v=4 + theburi + https://github.com/theburi - https://avatars.githubusercontent.com/u/501943?s=40&v=4 - obezuk - https://github.com/obezuk + https://avatars.githubusercontent.com/u/1688827?s=40&v=4 + igor-karpukhin + https://github.com/igor-karpukhin - https://avatars.githubusercontent.com/u/1454089?s=40&v=4 - jalateras - https://github.com/jalateras + https://avatars.githubusercontent.com/u/124291?s=40&v=4 + rodrigovalin + https://github.com/rodrigovalin - https://avatars.githubusercontent.com/u/141166531?s=40&v=4 - evmos-lde - https://github.com/evmos-lde + https://avatars.githubusercontent.com/u/5437289?s=40&v=4 + leo-ri + https://github.com/leo-ri - https://avatars.githubusercontent.com/u/24921?s=40&v=4 - larcher - https://github.com/larcher + https://avatars.githubusercontent.com/u/514554?s=40&v=4 + josvazg + https://github.com/josvazg - sidpalas/devops-directive-kubernetes-course - https://github.com/sidpalas/devops-directive-kubernetes-course - This is the companion repo for the DevOps Directive "Kubernetes: Beginner to Pro" course! - https://github.com/sidpalas/devops-directive-kubernetes-course + traefik/traefik-helm-chart + https://github.com/traefik/traefik-helm-chart + Traefik Proxy Helm Chart + https://github.com/traefik/traefik-helm-chart Smarty #f0c040 - 745 - 282 + 1,086 + 762 2 - https://avatars.githubusercontent.com/u/1320389?s=40&v=4 - sidpalas - https://github.com/sidpalas + https://avatars.githubusercontent.com/u/97035654?s=40&v=4 + mloiseleur + https://github.com/mloiseleur - https://avatars.githubusercontent.com/u/592430?s=40&v=4 - accessd - https://github.com/accessd + https://avatars.githubusercontent.com/u/1776972?s=40&v=4 + mmatur + https://github.com/mmatur + + + https://avatars.githubusercontent.com/u/1105441?s=40&v=4 + darkweaver87 + https://github.com/darkweaver87 + + + https://avatars.githubusercontent.com/u/27928201?s=40&v=4 + charlie-haley + https://github.com/charlie-haley - bitnami/charts - https://github.com/bitnami/charts - Bitnami Helm Charts - https://github.com/bitnami/charts + wiz-sec/charts + https://github.com/wiz-sec/charts + + https://github.com/wiz-sec/charts Smarty #f0c040 - 9,053 - 9,223 - 3 + 38 + 38 + 0 - https://avatars.githubusercontent.com/u/13025337?s=40&v=4 - bitnami-bot - https://github.com/bitnami-bot + https://avatars.githubusercontent.com/u/122028115?s=40&v=4 + circleci-wiz + https://github.com/circleci-wiz - https://avatars.githubusercontent.com/u/1133777?s=40&v=4 - javsalgar - https://github.com/javsalgar + https://avatars.githubusercontent.com/u/12391811?s=40&v=4 + barmagnezi + https://github.com/barmagnezi - https://avatars.githubusercontent.com/u/13216600?s=40&v=4 - carrodher - https://github.com/carrodher + https://avatars.githubusercontent.com/u/1383193?s=40&v=4 + r-darwish + https://github.com/r-darwish - https://avatars.githubusercontent.com/u/6740773?s=40&v=4 - juan131 - https://github.com/juan131 + https://avatars.githubusercontent.com/u/5991621?s=40&v=4 + yarinm + https://github.com/yarinm - https://avatars.githubusercontent.com/u/37381070?s=40&v=4 - migruiz4 - https://github.com/migruiz4 + https://avatars.githubusercontent.com/u/71496861?s=40&v=4 + nitzanzuler + https://github.com/nitzanzuler + + + + + cloudflare/helm-charts + https://github.com/cloudflare/helm-charts + + https://github.com/cloudflare/helm-charts + Smarty + #f0c040 + 83 + 66 + 0 + + + https://avatars.githubusercontent.com/u/6054865?s=40&v=4 + mattalberts + https://github.com/mattalberts + + + https://avatars.githubusercontent.com/u/501943?s=40&v=4 + obezuk + https://github.com/obezuk + + + https://avatars.githubusercontent.com/u/1454089?s=40&v=4 + jalateras + https://github.com/jalateras + + + https://avatars.githubusercontent.com/u/141166531?s=40&v=4 + evmos-lde + https://github.com/evmos-lde + + + https://avatars.githubusercontent.com/u/24921?s=40&v=4 + larcher + https://github.com/larcher @@ -212,44 +260,6 @@ - - mongodb/helm-charts - https://github.com/mongodb/helm-charts - - https://github.com/mongodb/helm-charts - Smarty - #f0c040 - 99 - 92 - 0 - - - https://avatars.githubusercontent.com/u/2648502?s=40&v=4 - theburi - https://github.com/theburi - - - https://avatars.githubusercontent.com/u/1688827?s=40&v=4 - igor-karpukhin - https://github.com/igor-karpukhin - - - https://avatars.githubusercontent.com/u/124291?s=40&v=4 - rodrigovalin - https://github.com/rodrigovalin - - - https://avatars.githubusercontent.com/u/5437289?s=40&v=4 - leo-ri - https://github.com/leo-ri - - - https://avatars.githubusercontent.com/u/514554?s=40&v=4 - josvazg - https://github.com/josvazg - - - truenas/charts https://github.com/truenas/charts @@ -288,34 +298,6 @@ - - grafana/k8s-monitoring-helm - https://github.com/grafana/k8s-monitoring-helm - - https://github.com/grafana/k8s-monitoring-helm - Smarty - #f0c040 - 225 - 86 - 0 - - - https://avatars.githubusercontent.com/u/2235675?s=40&v=4 - petewall - https://github.com/petewall - - - https://avatars.githubusercontent.com/u/514343?s=40&v=4 - skl - https://github.com/skl - - - https://avatars.githubusercontent.com/u/442745?s=40&v=4 - bentonam - https://github.com/bentonam - - - open-telemetry/opentelemetry-helm-charts https://github.com/open-telemetry/opentelemetry-helm-charts @@ -354,5 +336,66 @@ + + bitnami/charts + https://github.com/bitnami/charts + Bitnami Helm Charts + https://github.com/bitnami/charts + Smarty + #f0c040 + 9,054 + 9,224 + 3 + + + https://avatars.githubusercontent.com/u/13025337?s=40&v=4 + bitnami-bot + https://github.com/bitnami-bot + + + https://avatars.githubusercontent.com/u/1133777?s=40&v=4 + javsalgar + https://github.com/javsalgar + + + https://avatars.githubusercontent.com/u/13216600?s=40&v=4 + carrodher + https://github.com/carrodher + + + https://avatars.githubusercontent.com/u/6740773?s=40&v=4 + juan131 + https://github.com/juan131 + + + https://avatars.githubusercontent.com/u/37381070?s=40&v=4 + migruiz4 + https://github.com/migruiz4 + + + + + sidpalas/devops-directive-kubernetes-course + https://github.com/sidpalas/devops-directive-kubernetes-course + This is the companion repo for the DevOps Directive "Kubernetes: Beginner to Pro" course! + https://github.com/sidpalas/devops-directive-kubernetes-course + Smarty + #f0c040 + 745 + 282 + 2 + + + https://avatars.githubusercontent.com/u/1320389?s=40&v=4 + sidpalas + https://github.com/sidpalas + + + https://avatars.githubusercontent.com/u/592430?s=40&v=4 + accessd + https://github.com/accessd + + + \ No newline at end of file diff --git a/data/daily/smithy.json b/data/daily/smithy.json index a33ce7254c93..e335a239efb0 100644 --- a/data/daily/smithy.json +++ b/data/daily/smithy.json @@ -2,6 +2,6 @@ "title": "GitHub Smithy Languages Daily Trending", "description": "Daily Trending of Smithy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/smithy.xml b/data/daily/smithy.xml index 3b6f8d058e1c..199d1fd4ec5a 100644 --- a/data/daily/smithy.xml +++ b/data/daily/smithy.xml @@ -3,6 +3,6 @@ GitHub Smithy Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Smithy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/smpl.json b/data/daily/smpl.json index 0d8a9e884b98..18c2aaec2b2a 100644 --- a/data/daily/smpl.json +++ b/data/daily/smpl.json @@ -2,6 +2,6 @@ "title": "GitHub Smpl Languages Daily Trending", "description": "Daily Trending of Smpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/smpl.xml b/data/daily/smpl.xml index 9ae3edcccbfe..c1070673799a 100644 --- a/data/daily/smpl.xml +++ b/data/daily/smpl.xml @@ -3,6 +3,6 @@ GitHub Smpl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Smpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/smt.json b/data/daily/smt.json index a7f51e21286e..0011151e4c00 100644 --- a/data/daily/smt.json +++ b/data/daily/smt.json @@ -2,6 +2,6 @@ "title": "GitHub Smt Languages Daily Trending", "description": "Daily Trending of Smt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/smt.xml b/data/daily/smt.xml index 018f82c113cd..af16ef24ccea 100644 --- a/data/daily/smt.xml +++ b/data/daily/smt.xml @@ -3,6 +3,6 @@ GitHub Smt Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Smt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/snakemake.json b/data/daily/snakemake.json index dbd041ddd1e2..cbb658bbd29d 100644 --- a/data/daily/snakemake.json +++ b/data/daily/snakemake.json @@ -2,6 +2,6 @@ "title": "GitHub Snakemake Languages Daily Trending", "description": "Daily Trending of Snakemake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/snakemake.xml b/data/daily/snakemake.xml index 4e7593a5dfaa..3697348e4b09 100644 --- a/data/daily/snakemake.xml +++ b/data/daily/snakemake.xml @@ -3,6 +3,6 @@ GitHub Snakemake Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Snakemake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/solidity.json b/data/daily/solidity.json index 597dcf7a2dff..1bf1fe07ccca 100644 --- a/data/daily/solidity.json +++ b/data/daily/solidity.json @@ -2,79 +2,74 @@ "title": "GitHub Solidity Languages Daily Trending", "description": "Daily Trending of Solidity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "OpenZeppelin/openzeppelin-contracts", - "url": "https://github.com/OpenZeppelin/openzeppelin-contracts", - "description": "OpenZeppelin Contracts is a library for secure smart contract development.", + "title": "Uniswap/v4-core", + "url": "https://github.com/Uniswap/v4-core", + "description": "🦄 🦄 🦄 🦄 Core smart contracts of Uniswap v4", "language": "Solidity", "languageColor": "#AA6746", - "stars": "24,989", - "forks": "11,808", - "addStars": "4", + "stars": "2,020", + "forks": "977", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/481465?s=40&v=4", - "name": "frangio", - "url": "https://github.com/frangio" + "avatar": "https://avatars.githubusercontent.com/u/7897876?s=40&v=4", + "name": "moodysalem", + "url": "https://github.com/moodysalem" }, { - "avatar": "https://avatars.githubusercontent.com/u/2432299?s=40&v=4", - "name": "Amxx", - "url": "https://github.com/Amxx" + "avatar": "https://avatars.githubusercontent.com/u/3760414?s=40&v=4", + "name": "NoahZinsmeister", + "url": "https://github.com/NoahZinsmeister" }, { - "avatar": "https://avatars.githubusercontent.com/u/2530770?s=40&v=4", - "name": "nventuro", - "url": "https://github.com/nventuro" + "avatar": "https://avatars.githubusercontent.com/u/34962750?s=40&v=4", + "name": "hensha256", + "url": "https://github.com/hensha256" }, { - "avatar": "https://avatars.githubusercontent.com/u/287189?s=40&v=4", - "name": "maraoz", - "url": "https://github.com/maraoz" + "avatar": "https://avatars.githubusercontent.com/u/30504811?s=40&v=4", + "name": "snreynolds", + "url": "https://github.com/snreynolds" }, { - "avatar": "https://avatars.githubusercontent.com/u/33379285?s=40&v=4", - "name": "ernestognw", - "url": "https://github.com/ernestognw" + "avatar": "https://avatars.githubusercontent.com/u/59492811?s=40&v=4", + "name": "dianakocsis", + "url": "https://github.com/dianakocsis" } ] }, { - "title": "transmissions11/solmate", - "url": "https://github.com/transmissions11/solmate", - "description": "Modern, opinionated, and gas optimized building blocks for smart contract development.", + "title": "Uniswap/v2-periphery", + "url": "https://github.com/Uniswap/v2-periphery", + "description": "🎚 Peripheral smart contracts for interacting with Uniswap V2", "language": "Solidity", "languageColor": "#AA6746", - "stars": "3,952", - "forks": "653", - "addStars": "1", + "stars": "1,132", + "forks": "1,687", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26209401?s=40&v=4", - "name": "transmissions11", - "url": "https://github.com/transmissions11" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31974730?s=40&v=4", - "name": "Joeysantoro", - "url": "https://github.com/Joeysantoro" + "avatar": "https://avatars.githubusercontent.com/u/3760414?s=40&v=4", + "name": "NoahZinsmeister", + "url": "https://github.com/NoahZinsmeister" }, { - "avatar": "https://avatars.githubusercontent.com/u/92001561?s=40&v=4", - "name": "z0r0z", - "url": "https://github.com/z0r0z" + "avatar": "https://avatars.githubusercontent.com/u/7897876?s=40&v=4", + "name": "moodysalem", + "url": "https://github.com/moodysalem" }, { - "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", - "name": "brockelmore", - "url": "https://github.com/brockelmore" + "avatar": "https://avatars.githubusercontent.com/u/9343483?s=40&v=4", + "name": "haydenadams", + "url": "https://github.com/haydenadams" }, { - "avatar": "https://avatars.githubusercontent.com/u/5889274?s=40&v=4", - "name": "Vectorized", - "url": "https://github.com/Vectorized" + "avatar": "https://avatars.githubusercontent.com/u/40770586?s=40&v=4", + "name": "marktoda", + "url": "https://github.com/marktoda" } ] }, @@ -84,7 +79,7 @@ "description": "BNB Evolution Proposals", "language": "Solidity", "languageColor": "#AA6746", - "stars": "824", + "stars": "825", "forks": "557", "addStars": "1", "contributors": [ @@ -115,45 +110,13 @@ } ] }, - { - "title": "Uniswap/v2-periphery", - "url": "https://github.com/Uniswap/v2-periphery", - "description": "🎚 Peripheral smart contracts for interacting with Uniswap V2", - "language": "Solidity", - "languageColor": "#AA6746", - "stars": "1,132", - "forks": "1,687", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3760414?s=40&v=4", - "name": "NoahZinsmeister", - "url": "https://github.com/NoahZinsmeister" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7897876?s=40&v=4", - "name": "moodysalem", - "url": "https://github.com/moodysalem" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9343483?s=40&v=4", - "name": "haydenadams", - "url": "https://github.com/haydenadams" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40770586?s=40&v=4", - "name": "marktoda", - "url": "https://github.com/marktoda" - } - ] - }, { "title": "PaulRBerg/prb-math", "url": "https://github.com/PaulRBerg/prb-math", "description": "Solidity library for advanced fixed-point math", "language": "Solidity", "languageColor": "#AA6746", - "stars": "885", + "stars": "886", "forks": "125", "addStars": "0", "contributors": [ @@ -184,6 +147,80 @@ } ] }, + { + "title": "0xmetaschool/Learning-Projects", + "url": "https://github.com/0xmetaschool/Learning-Projects", + "description": "All the learning projects code from Metaschool website.", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "903", + "forks": "504", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/86375577?s=40&v=4", + "name": "Ash20pk", + "url": "https://github.com/Ash20pk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/129931419?s=40&v=4", + "name": "munimmetaschool", + "url": "https://github.com/munimmetaschool" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/130544719?s=40&v=4", + "name": "fareeha25", + "url": "https://github.com/fareeha25" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38881286?s=40&v=4", + "name": "fareeha1125", + "url": "https://github.com/fareeha1125" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/100041741?s=40&v=4", + "name": "frizwan", + "url": "https://github.com/frizwan" + } + ] + }, + { + "title": "liquity/bold", + "url": "https://github.com/liquity/bold", + "description": "Liquity v2 monorepo containing the contracts, subgraph and frontend.", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "45", + "forks": "13", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/701095?s=40&v=4", + "name": "bingen", + "url": "https://github.com/bingen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60874270?s=40&v=4", + "name": "danielattilasimon", + "url": "https://github.com/danielattilasimon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36158?s=40&v=4", + "name": "bpierre", + "url": "https://github.com/bpierre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32799176?s=40&v=4", + "name": "RickGriff", + "url": "https://github.com/RickGriff" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10694123?s=40&v=4", + "name": "ColinPlatt", + "url": "https://github.com/ColinPlatt" + } + ] + }, { "title": "smartcontractkit/chainlink-brownie-contracts", "url": "https://github.com/smartcontractkit/chainlink-brownie-contracts", @@ -217,39 +254,76 @@ ] }, { - "title": "Arachnid/solidity-stringutils", - "url": "https://github.com/Arachnid/solidity-stringutils", - "description": "Basic string utilities for Solidity", + "title": "Uniswap/v4-periphery", + "url": "https://github.com/Uniswap/v4-periphery", + "description": "🦄 🦄 🦄 🦄 Peripheral smart contracts for interacting with Uniswap v4", "language": "Solidity", "languageColor": "#AA6746", - "stars": "1,138", - "forks": "373", - "addStars": "0", + "stars": "713", + "forks": "493", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17865?s=40&v=4", - "name": "Arachnid", - "url": "https://github.com/Arachnid" + "avatar": "https://avatars.githubusercontent.com/u/34962750?s=40&v=4", + "name": "hensha256", + "url": "https://github.com/hensha256" }, { - "avatar": "https://avatars.githubusercontent.com/u/1032814?s=40&v=4", - "name": "ovangle", - "url": "https://github.com/ovangle" + "avatar": "https://avatars.githubusercontent.com/u/30504811?s=40&v=4", + "name": "snreynolds", + "url": "https://github.com/snreynolds" }, { - "avatar": "https://avatars.githubusercontent.com/u/14809513?s=40&v=4", - "name": "RyanRHall", - "url": "https://github.com/RyanRHall" + "avatar": "https://avatars.githubusercontent.com/u/98790946?s=40&v=4", + "name": "saucepoint", + "url": "https://github.com/saucepoint" }, { - "avatar": "https://avatars.githubusercontent.com/u/20340?s=40&v=4", - "name": "axic", - "url": "https://github.com/axic" + "avatar": "https://avatars.githubusercontent.com/u/59492811?s=40&v=4", + "name": "dianakocsis", + "url": "https://github.com/dianakocsis" }, { - "avatar": "https://avatars.githubusercontent.com/u/4612986?s=40&v=4", - "name": "0xrin1", - "url": "https://github.com/0xrin1" + "avatar": "https://avatars.githubusercontent.com/u/3760414?s=40&v=4", + "name": "NoahZinsmeister", + "url": "https://github.com/NoahZinsmeister" + } + ] + }, + { + "title": "transmissions11/solmate", + "url": "https://github.com/transmissions11/solmate", + "description": "Modern, opinionated, and gas optimized building blocks for smart contract development.", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "3,952", + "forks": "654", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26209401?s=40&v=4", + "name": "transmissions11", + "url": "https://github.com/transmissions11" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31974730?s=40&v=4", + "name": "Joeysantoro", + "url": "https://github.com/Joeysantoro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/92001561?s=40&v=4", + "name": "z0r0z", + "url": "https://github.com/z0r0z" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", + "name": "brockelmore", + "url": "https://github.com/brockelmore" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5889274?s=40&v=4", + "name": "Vectorized", + "url": "https://github.com/Vectorized" } ] }, @@ -259,7 +333,7 @@ "description": "🔑🔑🔑 next generation token approvals mechanism", "language": "Solidity", "languageColor": "#AA6746", - "stars": "760", + "stars": "761", "forks": "187", "addStars": "1", "contributors": [ @@ -291,66 +365,39 @@ ] }, { - "title": "dmfxyz/murky", - "url": "https://github.com/dmfxyz/murky", - "description": "Merkle Proof Generator and Validator in Solidity", - "language": "Solidity", - "languageColor": "#AA6746", - "stars": "426", - "forks": "40", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/100147743?s=40&v=4", - "name": "dmfxyz", - "url": "https://github.com/dmfxyz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/69758390?s=40&v=4", - "name": "kootsZhin", - "url": "https://github.com/kootsZhin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6371847?s=40&v=4", - "name": "emo-eth", - "url": "https://github.com/emo-eth" - } - ] - }, - { - "title": "circlefin/evm-cctp-contracts", - "url": "https://github.com/circlefin/evm-cctp-contracts", - "description": "Official repository for all EVM-compatible smart contracts used by the Cross-Chain Transfer Protocol", + "title": "matter-labs/era-contracts", + "url": "https://github.com/matter-labs/era-contracts", + "description": "Smart Contract Submodule For zkSync Era", "language": "Solidity", "languageColor": "#AA6746", - "stars": "123", - "forks": "64", - "addStars": "0", + "stars": "489", + "forks": "348", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11808795?s=40&v=4", - "name": "walkerq", - "url": "https://github.com/walkerq" + "avatar": "https://avatars.githubusercontent.com/u/52464764?s=40&v=4", + "name": "StanislavBreadless", + "url": "https://github.com/StanislavBreadless" }, { - "avatar": "https://avatars.githubusercontent.com/u/108304907?s=40&v=4", - "name": "mdbere", - "url": "https://github.com/mdbere" + "avatar": "https://avatars.githubusercontent.com/u/56651250?s=40&v=4", + "name": "benceharomi", + "url": "https://github.com/benceharomi" }, { - "avatar": "https://avatars.githubusercontent.com/u/26875636?s=40&v=4", - "name": "grantmike", - "url": "https://github.com/grantmike" + "avatar": "https://avatars.githubusercontent.com/u/41153528?s=40&v=4", + "name": "vladbochok", + "url": "https://github.com/vladbochok" }, { - "avatar": "https://avatars.githubusercontent.com/u/98354242?s=40&v=4", - "name": "hsinghgrewal", - "url": "https://github.com/hsinghgrewal" + "avatar": "https://avatars.githubusercontent.com/u/17016473?s=40&v=4", + "name": "shahar4", + "url": "https://github.com/shahar4" }, { - "avatar": "https://avatars.githubusercontent.com/u/3188587?s=40&v=4", - "name": "tjbaker", - "url": "https://github.com/tjbaker" + "avatar": "https://avatars.githubusercontent.com/u/9399280?s=40&v=4", + "name": "koloz193", + "url": "https://github.com/koloz193" } ] }, @@ -392,76 +439,76 @@ ] }, { - "title": "lifinance/contracts", - "url": "https://github.com/lifinance/contracts", - "description": "LI.FI Smart Contracts", + "title": "OpenZeppelin/openzeppelin-contracts", + "url": "https://github.com/OpenZeppelin/openzeppelin-contracts", + "description": "OpenZeppelin Contracts is a library for secure smart contract development.", "language": "Solidity", "languageColor": "#AA6746", - "stars": "132", - "forks": "47", - "addStars": "0", + "stars": "24,991", + "forks": "11,808", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5308871?s=40&v=4", - "name": "ezynda3", - "url": "https://github.com/ezynda3" + "avatar": "https://avatars.githubusercontent.com/u/481465?s=40&v=4", + "name": "frangio", + "url": "https://github.com/frangio" }, { - "avatar": "https://avatars.githubusercontent.com/u/112938295?s=40&v=4", - "name": "satoshi-lifi", - "url": "https://github.com/satoshi-lifi" + "avatar": "https://avatars.githubusercontent.com/u/2432299?s=40&v=4", + "name": "Amxx", + "url": "https://github.com/Amxx" }, { - "avatar": "https://avatars.githubusercontent.com/u/77058885?s=40&v=4", - "name": "0xDEnYO", - "url": "https://github.com/0xDEnYO" + "avatar": "https://avatars.githubusercontent.com/u/2530770?s=40&v=4", + "name": "nventuro", + "url": "https://github.com/nventuro" }, { - "avatar": "https://avatars.githubusercontent.com/u/2883651?s=40&v=4", - "name": "H3xept", - "url": "https://github.com/H3xept" + "avatar": "https://avatars.githubusercontent.com/u/287189?s=40&v=4", + "name": "maraoz", + "url": "https://github.com/maraoz" }, { - "avatar": "https://avatars.githubusercontent.com/u/3898310?s=40&v=4", - "name": "maxklenk", - "url": "https://github.com/maxklenk" + "avatar": "https://avatars.githubusercontent.com/u/33379285?s=40&v=4", + "name": "ernestognw", + "url": "https://github.com/ernestognw" } ] }, { - "title": "crytic/properties", - "url": "https://github.com/crytic/properties", - "description": "Pre-built security properties for common Ethereum operations", + "title": "dapphub/ds-test", + "url": "https://github.com/dapphub/ds-test", + "description": "Assertions, equality checks and other test helpers", "language": "Solidity", "languageColor": "#AA6746", - "stars": "294", - "forks": "44", + "stars": "214", + "forks": "69", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9058533?s=40&v=4", - "name": "tuturu-tech", - "url": "https://github.com/tuturu-tech" + "avatar": "https://avatars.githubusercontent.com/u/18486361?s=40&v=4", + "name": "rainbreak", + "url": "https://github.com/rainbreak" }, { - "avatar": "https://avatars.githubusercontent.com/u/31542053?s=40&v=4", - "name": "ggrieco-tob", - "url": "https://github.com/ggrieco-tob" + "avatar": "https://avatars.githubusercontent.com/u/6689924?s=40&v=4", + "name": "d-xo", + "url": "https://github.com/d-xo" }, { - "avatar": "https://avatars.githubusercontent.com/u/13798342?s=40&v=4", - "name": "montyly", - "url": "https://github.com/montyly" + "avatar": "https://avatars.githubusercontent.com/u/60899256?s=40&v=4", + "name": "tommyrharper", + "url": "https://github.com/tommyrharper" }, { - "avatar": "https://avatars.githubusercontent.com/u/3029017?s=40&v=4", - "name": "aviggiano", - "url": "https://github.com/aviggiano" + "avatar": "https://avatars.githubusercontent.com/u/14032?s=40&v=4", + "name": "dbrock", + "url": "https://github.com/dbrock" }, { - "avatar": "https://avatars.githubusercontent.com/u/1222451?s=40&v=4", - "name": "bsamuels453", - "url": "https://github.com/bsamuels453" + "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", + "name": "brockelmore", + "url": "https://github.com/brockelmore" } ] } diff --git a/data/daily/solidity.xml b/data/daily/solidity.xml index 0cf873a6abe9..6832b9e0a2c2 100644 --- a/data/daily/solidity.xml +++ b/data/daily/solidity.xml @@ -3,80 +3,75 @@ GitHub Solidity Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Solidity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - OpenZeppelin/openzeppelin-contracts - https://github.com/OpenZeppelin/openzeppelin-contracts - OpenZeppelin Contracts is a library for secure smart contract development. - https://github.com/OpenZeppelin/openzeppelin-contracts + Uniswap/v4-core + https://github.com/Uniswap/v4-core + 🦄 🦄 🦄 🦄 Core smart contracts of Uniswap v4 + https://github.com/Uniswap/v4-core Solidity #AA6746 - 24,989 - 11,808 - 4 + 2,020 + 977 + 1 - https://avatars.githubusercontent.com/u/481465?s=40&v=4 - frangio - https://github.com/frangio + https://avatars.githubusercontent.com/u/7897876?s=40&v=4 + moodysalem + https://github.com/moodysalem - https://avatars.githubusercontent.com/u/2432299?s=40&v=4 - Amxx - https://github.com/Amxx + https://avatars.githubusercontent.com/u/3760414?s=40&v=4 + NoahZinsmeister + https://github.com/NoahZinsmeister - https://avatars.githubusercontent.com/u/2530770?s=40&v=4 - nventuro - https://github.com/nventuro + https://avatars.githubusercontent.com/u/34962750?s=40&v=4 + hensha256 + https://github.com/hensha256 - https://avatars.githubusercontent.com/u/287189?s=40&v=4 - maraoz - https://github.com/maraoz + https://avatars.githubusercontent.com/u/30504811?s=40&v=4 + snreynolds + https://github.com/snreynolds - https://avatars.githubusercontent.com/u/33379285?s=40&v=4 - ernestognw - https://github.com/ernestognw + https://avatars.githubusercontent.com/u/59492811?s=40&v=4 + dianakocsis + https://github.com/dianakocsis - transmissions11/solmate - https://github.com/transmissions11/solmate - Modern, opinionated, and gas optimized building blocks for smart contract development. - https://github.com/transmissions11/solmate + Uniswap/v2-periphery + https://github.com/Uniswap/v2-periphery + 🎚 Peripheral smart contracts for interacting with Uniswap V2 + https://github.com/Uniswap/v2-periphery Solidity #AA6746 - 3,952 - 653 - 1 + 1,132 + 1,687 + 0 - https://avatars.githubusercontent.com/u/26209401?s=40&v=4 - transmissions11 - https://github.com/transmissions11 - - - https://avatars.githubusercontent.com/u/31974730?s=40&v=4 - Joeysantoro - https://github.com/Joeysantoro + https://avatars.githubusercontent.com/u/3760414?s=40&v=4 + NoahZinsmeister + https://github.com/NoahZinsmeister - https://avatars.githubusercontent.com/u/92001561?s=40&v=4 - z0r0z - https://github.com/z0r0z + https://avatars.githubusercontent.com/u/7897876?s=40&v=4 + moodysalem + https://github.com/moodysalem - https://avatars.githubusercontent.com/u/31553173?s=40&v=4 - brockelmore - https://github.com/brockelmore + https://avatars.githubusercontent.com/u/9343483?s=40&v=4 + haydenadams + https://github.com/haydenadams - https://avatars.githubusercontent.com/u/5889274?s=40&v=4 - Vectorized - https://github.com/Vectorized + https://avatars.githubusercontent.com/u/40770586?s=40&v=4 + marktoda + https://github.com/marktoda @@ -87,7 +82,7 @@ https://github.com/bnb-chain/BEPs Solidity #AA6746 - 824 + 825 557 1 @@ -118,39 +113,6 @@ - - Uniswap/v2-periphery - https://github.com/Uniswap/v2-periphery - 🎚 Peripheral smart contracts for interacting with Uniswap V2 - https://github.com/Uniswap/v2-periphery - Solidity - #AA6746 - 1,132 - 1,687 - 0 - - - https://avatars.githubusercontent.com/u/3760414?s=40&v=4 - NoahZinsmeister - https://github.com/NoahZinsmeister - - - https://avatars.githubusercontent.com/u/7897876?s=40&v=4 - moodysalem - https://github.com/moodysalem - - - https://avatars.githubusercontent.com/u/9343483?s=40&v=4 - haydenadams - https://github.com/haydenadams - - - https://avatars.githubusercontent.com/u/40770586?s=40&v=4 - marktoda - https://github.com/marktoda - - - PaulRBerg/prb-math https://github.com/PaulRBerg/prb-math @@ -158,7 +120,7 @@ https://github.com/PaulRBerg/prb-math Solidity #AA6746 - 885 + 886 125 0 @@ -189,6 +151,82 @@ + + 0xmetaschool/Learning-Projects + https://github.com/0xmetaschool/Learning-Projects + All the learning projects code from Metaschool website. + https://github.com/0xmetaschool/Learning-Projects + Solidity + #AA6746 + 903 + 504 + 0 + + + https://avatars.githubusercontent.com/u/86375577?s=40&v=4 + Ash20pk + https://github.com/Ash20pk + + + https://avatars.githubusercontent.com/u/129931419?s=40&v=4 + munimmetaschool + https://github.com/munimmetaschool + + + https://avatars.githubusercontent.com/u/130544719?s=40&v=4 + fareeha25 + https://github.com/fareeha25 + + + https://avatars.githubusercontent.com/u/38881286?s=40&v=4 + fareeha1125 + https://github.com/fareeha1125 + + + https://avatars.githubusercontent.com/u/100041741?s=40&v=4 + frizwan + https://github.com/frizwan + + + + + liquity/bold + https://github.com/liquity/bold + Liquity v2 monorepo containing the contracts, subgraph and frontend. + https://github.com/liquity/bold + Solidity + #AA6746 + 45 + 13 + 1 + + + https://avatars.githubusercontent.com/u/701095?s=40&v=4 + bingen + https://github.com/bingen + + + https://avatars.githubusercontent.com/u/60874270?s=40&v=4 + danielattilasimon + https://github.com/danielattilasimon + + + https://avatars.githubusercontent.com/u/36158?s=40&v=4 + bpierre + https://github.com/bpierre + + + https://avatars.githubusercontent.com/u/32799176?s=40&v=4 + RickGriff + https://github.com/RickGriff + + + https://avatars.githubusercontent.com/u/10694123?s=40&v=4 + ColinPlatt + https://github.com/ColinPlatt + + + smartcontractkit/chainlink-brownie-contracts https://github.com/smartcontractkit/chainlink-brownie-contracts @@ -223,40 +261,78 @@ - Arachnid/solidity-stringutils - https://github.com/Arachnid/solidity-stringutils - Basic string utilities for Solidity - https://github.com/Arachnid/solidity-stringutils + Uniswap/v4-periphery + https://github.com/Uniswap/v4-periphery + 🦄 🦄 🦄 🦄 Peripheral smart contracts for interacting with Uniswap v4 + https://github.com/Uniswap/v4-periphery Solidity #AA6746 - 1,138 - 373 - 0 + 713 + 493 + 1 + + + https://avatars.githubusercontent.com/u/34962750?s=40&v=4 + hensha256 + https://github.com/hensha256 + + + https://avatars.githubusercontent.com/u/30504811?s=40&v=4 + snreynolds + https://github.com/snreynolds + + + https://avatars.githubusercontent.com/u/98790946?s=40&v=4 + saucepoint + https://github.com/saucepoint + + + https://avatars.githubusercontent.com/u/59492811?s=40&v=4 + dianakocsis + https://github.com/dianakocsis + + + https://avatars.githubusercontent.com/u/3760414?s=40&v=4 + NoahZinsmeister + https://github.com/NoahZinsmeister + + + + + transmissions11/solmate + https://github.com/transmissions11/solmate + Modern, opinionated, and gas optimized building blocks for smart contract development. + https://github.com/transmissions11/solmate + Solidity + #AA6746 + 3,952 + 654 + 1 - https://avatars.githubusercontent.com/u/17865?s=40&v=4 - Arachnid - https://github.com/Arachnid + https://avatars.githubusercontent.com/u/26209401?s=40&v=4 + transmissions11 + https://github.com/transmissions11 - https://avatars.githubusercontent.com/u/1032814?s=40&v=4 - ovangle - https://github.com/ovangle + https://avatars.githubusercontent.com/u/31974730?s=40&v=4 + Joeysantoro + https://github.com/Joeysantoro - https://avatars.githubusercontent.com/u/14809513?s=40&v=4 - RyanRHall - https://github.com/RyanRHall + https://avatars.githubusercontent.com/u/92001561?s=40&v=4 + z0r0z + https://github.com/z0r0z - https://avatars.githubusercontent.com/u/20340?s=40&v=4 - axic - https://github.com/axic + https://avatars.githubusercontent.com/u/31553173?s=40&v=4 + brockelmore + https://github.com/brockelmore - https://avatars.githubusercontent.com/u/4612986?s=40&v=4 - 0xrin1 - https://github.com/0xrin1 + https://avatars.githubusercontent.com/u/5889274?s=40&v=4 + Vectorized + https://github.com/Vectorized @@ -267,7 +343,7 @@ https://github.com/Uniswap/permit2 Solidity #AA6746 - 760 + 761 187 1 @@ -299,68 +375,40 @@ - dmfxyz/murky - https://github.com/dmfxyz/murky - Merkle Proof Generator and Validator in Solidity - https://github.com/dmfxyz/murky - Solidity - #AA6746 - 426 - 40 - 3 - - - https://avatars.githubusercontent.com/u/100147743?s=40&v=4 - dmfxyz - https://github.com/dmfxyz - - - https://avatars.githubusercontent.com/u/69758390?s=40&v=4 - kootsZhin - https://github.com/kootsZhin - - - https://avatars.githubusercontent.com/u/6371847?s=40&v=4 - emo-eth - https://github.com/emo-eth - - - - - circlefin/evm-cctp-contracts - https://github.com/circlefin/evm-cctp-contracts - Official repository for all EVM-compatible smart contracts used by the Cross-Chain Transfer Protocol - https://github.com/circlefin/evm-cctp-contracts + matter-labs/era-contracts + https://github.com/matter-labs/era-contracts + Smart Contract Submodule For zkSync Era + https://github.com/matter-labs/era-contracts Solidity #AA6746 - 123 - 64 - 0 + 489 + 348 + 1 - https://avatars.githubusercontent.com/u/11808795?s=40&v=4 - walkerq - https://github.com/walkerq + https://avatars.githubusercontent.com/u/52464764?s=40&v=4 + StanislavBreadless + https://github.com/StanislavBreadless - https://avatars.githubusercontent.com/u/108304907?s=40&v=4 - mdbere - https://github.com/mdbere + https://avatars.githubusercontent.com/u/56651250?s=40&v=4 + benceharomi + https://github.com/benceharomi - https://avatars.githubusercontent.com/u/26875636?s=40&v=4 - grantmike - https://github.com/grantmike + https://avatars.githubusercontent.com/u/41153528?s=40&v=4 + vladbochok + https://github.com/vladbochok - https://avatars.githubusercontent.com/u/98354242?s=40&v=4 - hsinghgrewal - https://github.com/hsinghgrewal + https://avatars.githubusercontent.com/u/17016473?s=40&v=4 + shahar4 + https://github.com/shahar4 - https://avatars.githubusercontent.com/u/3188587?s=40&v=4 - tjbaker - https://github.com/tjbaker + https://avatars.githubusercontent.com/u/9399280?s=40&v=4 + koloz193 + https://github.com/koloz193 @@ -403,78 +451,78 @@ - lifinance/contracts - https://github.com/lifinance/contracts - LI.FI Smart Contracts - https://github.com/lifinance/contracts + OpenZeppelin/openzeppelin-contracts + https://github.com/OpenZeppelin/openzeppelin-contracts + OpenZeppelin Contracts is a library for secure smart contract development. + https://github.com/OpenZeppelin/openzeppelin-contracts Solidity #AA6746 - 132 - 47 - 0 + 24,991 + 11,808 + 4 - https://avatars.githubusercontent.com/u/5308871?s=40&v=4 - ezynda3 - https://github.com/ezynda3 + https://avatars.githubusercontent.com/u/481465?s=40&v=4 + frangio + https://github.com/frangio - https://avatars.githubusercontent.com/u/112938295?s=40&v=4 - satoshi-lifi - https://github.com/satoshi-lifi + https://avatars.githubusercontent.com/u/2432299?s=40&v=4 + Amxx + https://github.com/Amxx - https://avatars.githubusercontent.com/u/77058885?s=40&v=4 - 0xDEnYO - https://github.com/0xDEnYO + https://avatars.githubusercontent.com/u/2530770?s=40&v=4 + nventuro + https://github.com/nventuro - https://avatars.githubusercontent.com/u/2883651?s=40&v=4 - H3xept - https://github.com/H3xept + https://avatars.githubusercontent.com/u/287189?s=40&v=4 + maraoz + https://github.com/maraoz - https://avatars.githubusercontent.com/u/3898310?s=40&v=4 - maxklenk - https://github.com/maxklenk + https://avatars.githubusercontent.com/u/33379285?s=40&v=4 + ernestognw + https://github.com/ernestognw - crytic/properties - https://github.com/crytic/properties - Pre-built security properties for common Ethereum operations - https://github.com/crytic/properties + dapphub/ds-test + https://github.com/dapphub/ds-test + Assertions, equality checks and other test helpers + https://github.com/dapphub/ds-test Solidity #AA6746 - 294 - 44 + 214 + 69 0 - https://avatars.githubusercontent.com/u/9058533?s=40&v=4 - tuturu-tech - https://github.com/tuturu-tech + https://avatars.githubusercontent.com/u/18486361?s=40&v=4 + rainbreak + https://github.com/rainbreak - https://avatars.githubusercontent.com/u/31542053?s=40&v=4 - ggrieco-tob - https://github.com/ggrieco-tob + https://avatars.githubusercontent.com/u/6689924?s=40&v=4 + d-xo + https://github.com/d-xo - https://avatars.githubusercontent.com/u/13798342?s=40&v=4 - montyly - https://github.com/montyly + https://avatars.githubusercontent.com/u/60899256?s=40&v=4 + tommyrharper + https://github.com/tommyrharper - https://avatars.githubusercontent.com/u/3029017?s=40&v=4 - aviggiano - https://github.com/aviggiano + https://avatars.githubusercontent.com/u/14032?s=40&v=4 + dbrock + https://github.com/dbrock - https://avatars.githubusercontent.com/u/1222451?s=40&v=4 - bsamuels453 - https://github.com/bsamuels453 + https://avatars.githubusercontent.com/u/31553173?s=40&v=4 + brockelmore + https://github.com/brockelmore diff --git a/data/daily/soong.json b/data/daily/soong.json index 7e9e50e71538..1e306d6c3614 100644 --- a/data/daily/soong.json +++ b/data/daily/soong.json @@ -2,6 +2,6 @@ "title": "GitHub Soong Languages Daily Trending", "description": "Daily Trending of Soong Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/soong.xml b/data/daily/soong.xml index 1b0850f0096b..7aade5db6911 100644 --- a/data/daily/soong.xml +++ b/data/daily/soong.xml @@ -3,6 +3,6 @@ GitHub Soong Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Soong Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sourcepawn.json b/data/daily/sourcepawn.json index c337bb2d1a36..9714226a4248 100644 --- a/data/daily/sourcepawn.json +++ b/data/daily/sourcepawn.json @@ -2,6 +2,6 @@ "title": "GitHub Sourcepawn Languages Daily Trending", "description": "Daily Trending of Sourcepawn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sourcepawn.xml b/data/daily/sourcepawn.xml index 179fae3bf1b7..bd6673a5b548 100644 --- a/data/daily/sourcepawn.xml +++ b/data/daily/sourcepawn.xml @@ -3,6 +3,6 @@ GitHub Sourcepawn Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sourcepawn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sparql.json b/data/daily/sparql.json index 07e13a63c2bb..cb76161df83b 100644 --- a/data/daily/sparql.json +++ b/data/daily/sparql.json @@ -2,6 +2,6 @@ "title": "GitHub Sparql Languages Daily Trending", "description": "Daily Trending of Sparql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sparql.xml b/data/daily/sparql.xml index 23256ba56260..a889f6268389 100644 --- a/data/daily/sparql.xml +++ b/data/daily/sparql.xml @@ -3,6 +3,6 @@ GitHub Sparql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sparql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/spline-font-database.json b/data/daily/spline-font-database.json index ac29d9c37440..3c3ecec9f4ec 100644 --- a/data/daily/spline-font-database.json +++ b/data/daily/spline-font-database.json @@ -2,6 +2,6 @@ "title": "GitHub Spline-font-database Languages Daily Trending", "description": "Daily Trending of Spline-font-database Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/spline-font-database.xml b/data/daily/spline-font-database.xml index 3e27da628bde..1f67c3f0907e 100644 --- a/data/daily/spline-font-database.xml +++ b/data/daily/spline-font-database.xml @@ -3,6 +3,6 @@ GitHub Spline-font-database Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Spline-font-database Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sqf.json b/data/daily/sqf.json index 824a2dac20ca..1d2d65c5fa8f 100644 --- a/data/daily/sqf.json +++ b/data/daily/sqf.json @@ -2,7 +2,7 @@ "title": "GitHub Sqf Languages Daily Trending", "description": "Daily Trending of Sqf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "acemod/ACE3", diff --git a/data/daily/sqf.xml b/data/daily/sqf.xml index 5884a8845d26..e4e4363f99bd 100644 --- a/data/daily/sqf.xml +++ b/data/daily/sqf.xml @@ -3,7 +3,7 @@ GitHub Sqf Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sqf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT acemod/ACE3 https://github.com/acemod/ACE3 diff --git a/data/daily/sql.json b/data/daily/sql.json index 59df41959e35..38776dbf9f57 100644 --- a/data/daily/sql.json +++ b/data/daily/sql.json @@ -2,34 +2,6 @@ "title": "GitHub Sql Languages Daily Trending", "description": "Daily Trending of Sql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "cahyadsn/wilayah", - "url": "https://github.com/cahyadsn/wilayah", - "description": "Kode dan Data Wilayah Administrasi & Pulau Indonesia sesuai Kepmendagri No 100.1.1-6117 Tahun 2022 dengan PHP+MySQL+AJaX. Demo link : https://wilayah.cahyadsn.com/v2.6/", - "language": "SQL", - "languageColor": "#e38c00", - "stars": "819", - "forks": "320", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3700401?s=40&v=4", - "name": "cahyadsn", - "url": "https://github.com/cahyadsn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5500313?s=40&v=4", - "name": "ndunks", - "url": "https://github.com/ndunks" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62180577?s=40&v=4", - "name": "adigamara24", - "url": "https://github.com/adigamara24" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/sql.xml b/data/daily/sql.xml index b619cc1ef46d..a079bd8b720d 100644 --- a/data/daily/sql.xml +++ b/data/daily/sql.xml @@ -3,34 +3,6 @@ GitHub Sql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - cahyadsn/wilayah - https://github.com/cahyadsn/wilayah - Kode dan Data Wilayah Administrasi & Pulau Indonesia sesuai Kepmendagri No 100.1.1-6117 Tahun 2022 dengan PHP+MySQL+AJaX. Demo link : https://wilayah.cahyadsn.com/v2.6/ - https://github.com/cahyadsn/wilayah - SQL - #e38c00 - 819 - 320 - 1 - - - https://avatars.githubusercontent.com/u/3700401?s=40&v=4 - cahyadsn - https://github.com/cahyadsn - - - https://avatars.githubusercontent.com/u/5500313?s=40&v=4 - ndunks - https://github.com/ndunks - - - https://avatars.githubusercontent.com/u/62180577?s=40&v=4 - adigamara24 - https://github.com/adigamara24 - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sqlpl.json b/data/daily/sqlpl.json index 64e193b687ae..9bb7da5c07fe 100644 --- a/data/daily/sqlpl.json +++ b/data/daily/sqlpl.json @@ -2,6 +2,6 @@ "title": "GitHub Sqlpl Languages Daily Trending", "description": "Daily Trending of Sqlpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sqlpl.xml b/data/daily/sqlpl.xml index 1dc4c638a830..2492c5ccf50e 100644 --- a/data/daily/sqlpl.xml +++ b/data/daily/sqlpl.xml @@ -3,6 +3,6 @@ GitHub Sqlpl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sqlpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/squirrel.json b/data/daily/squirrel.json index cf86a9b05933..2ca5bba3ec84 100644 --- a/data/daily/squirrel.json +++ b/data/daily/squirrel.json @@ -2,29 +2,6 @@ "title": "GitHub Squirrel Languages Daily Trending", "description": "Daily Trending of Squirrel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "smilz0/Left4Bots", - "url": "https://github.com/smilz0/Left4Bots", - "description": "Improvements for the L4D2 survivor bots", - "language": "Squirrel", - "languageColor": "#800000", - "stars": "40", - "forks": "5", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/97547883?s=40&v=4", - "name": "smilz0", - "url": "https://github.com/smilz0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/102627779?s=40&v=4", - "name": "LeGurdah", - "url": "https://github.com/LeGurdah" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/squirrel.xml b/data/daily/squirrel.xml index 647ee56393f5..88e242f122fa 100644 --- a/data/daily/squirrel.xml +++ b/data/daily/squirrel.xml @@ -3,29 +3,6 @@ GitHub Squirrel Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Squirrel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - smilz0/Left4Bots - https://github.com/smilz0/Left4Bots - Improvements for the L4D2 survivor bots - https://github.com/smilz0/Left4Bots - Squirrel - #800000 - 40 - 5 - 0 - - - https://avatars.githubusercontent.com/u/97547883?s=40&v=4 - smilz0 - https://github.com/smilz0 - - - https://avatars.githubusercontent.com/u/102627779?s=40&v=4 - LeGurdah - https://github.com/LeGurdah - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/srecode-template.json b/data/daily/srecode-template.json index ccdd375e3f22..78b84fda07c5 100644 --- a/data/daily/srecode-template.json +++ b/data/daily/srecode-template.json @@ -2,6 +2,6 @@ "title": "GitHub Srecode-template Languages Daily Trending", "description": "Daily Trending of Srecode-template Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/srecode-template.xml b/data/daily/srecode-template.xml index bd9199c58529..0e95f7ec917e 100644 --- a/data/daily/srecode-template.xml +++ b/data/daily/srecode-template.xml @@ -3,6 +3,6 @@ GitHub Srecode-template Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Srecode-template Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ssh-config.json b/data/daily/ssh-config.json index 707b9e9854f1..3f407f674ac9 100644 --- a/data/daily/ssh-config.json +++ b/data/daily/ssh-config.json @@ -2,6 +2,6 @@ "title": "GitHub Ssh-config Languages Daily Trending", "description": "Daily Trending of Ssh-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ssh-config.xml b/data/daily/ssh-config.xml index c07b43095c8a..7d24c763033a 100644 --- a/data/daily/ssh-config.xml +++ b/data/daily/ssh-config.xml @@ -3,6 +3,6 @@ GitHub Ssh-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ssh-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/stan.json b/data/daily/stan.json index 5a552b07350a..1cfa486200cc 100644 --- a/data/daily/stan.json +++ b/data/daily/stan.json @@ -2,6 +2,6 @@ "title": "GitHub Stan Languages Daily Trending", "description": "Daily Trending of Stan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/stan.xml b/data/daily/stan.xml index 927676096682..59ab345e05f4 100644 --- a/data/daily/stan.xml +++ b/data/daily/stan.xml @@ -3,6 +3,6 @@ GitHub Stan Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Stan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/standard-ml.json b/data/daily/standard-ml.json index d8a7230617d8..fbf6f49ef208 100644 --- a/data/daily/standard-ml.json +++ b/data/daily/standard-ml.json @@ -2,7 +2,7 @@ "title": "GitHub Standard-ml Languages Daily Trending", "description": "Daily Trending of Standard-ml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "GoldenCheetah/GoldenCheetah", @@ -10,7 +10,7 @@ "description": "Performance Software for Cyclists, Runners, Triathletes and Coaches", "language": "Standard ML", "languageColor": "#dc566d", - "stars": "1,840", + "stars": "1,839", "forks": "447", "addStars": "0", "contributors": [ diff --git a/data/daily/standard-ml.xml b/data/daily/standard-ml.xml index 5c01b3b574a4..82375ac87f86 100644 --- a/data/daily/standard-ml.xml +++ b/data/daily/standard-ml.xml @@ -3,7 +3,7 @@ GitHub Standard-ml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Standard-ml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT GoldenCheetah/GoldenCheetah https://github.com/GoldenCheetah/GoldenCheetah @@ -11,7 +11,7 @@ https://github.com/GoldenCheetah/GoldenCheetah Standard ML #dc566d - 1,840 + 1,839 447 0 diff --git a/data/daily/star.json b/data/daily/star.json index f6cc7d9cf4ab..894a6fc6505b 100644 --- a/data/daily/star.json +++ b/data/daily/star.json @@ -2,6 +2,6 @@ "title": "GitHub Star Languages Daily Trending", "description": "Daily Trending of Star Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/star.xml b/data/daily/star.xml index dee641730407..8825ad89ff2b 100644 --- a/data/daily/star.xml +++ b/data/daily/star.xml @@ -3,6 +3,6 @@ GitHub Star Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Star Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/starlark.json b/data/daily/starlark.json index 665ada8de209..a9687e7bb3e6 100644 --- a/data/daily/starlark.json +++ b/data/daily/starlark.json @@ -2,116 +2,15 @@ "title": "GitHub Starlark Languages Daily Trending", "description": "Daily Trending of Starlark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "googleapis/googleapis", - "url": "https://github.com/googleapis/googleapis", - "description": "Public interface definitions of Google APIs.", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "7,637", - "forks": "2,339", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", - "name": "googleapis-publisher", - "url": "https://github.com/googleapis-publisher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1617025?s=40&v=4", - "name": "pongad", - "url": "https://github.com/pongad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25352356?s=40&v=4", - "name": "neozwu", - "url": "https://github.com/neozwu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14846209?s=40&v=4", - "name": "michaelbausor", - "url": "https://github.com/michaelbausor" - } - ] - }, - { - "title": "bazel-contrib/rules_foreign_cc", - "url": "https://github.com/bazel-contrib/rules_foreign_cc", - "description": "Build rules for interfacing with \"foreign\" (non-Bazel) build systems (CMake, configure-make, GNU Make, boost, ninja, Meson)", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "680", - "forks": "249", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6598461?s=40&v=4", - "name": "irengrig", - "url": "https://github.com/irengrig" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26427366?s=40&v=4", - "name": "UebelAndre", - "url": "https://github.com/UebelAndre" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11253?s=40&v=4", - "name": "jsharpe", - "url": "https://github.com/jsharpe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48310225?s=40&v=4", - "name": "jheaff1", - "url": "https://github.com/jheaff1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", - "name": "keith", - "url": "https://github.com/keith" - } - ] - }, - { - "title": "rules-proto-grpc/rules_proto_grpc", - "url": "https://github.com/rules-proto-grpc/rules_proto_grpc", - "description": "Bazel rules for building Protobuf and gRPC code and libraries from proto_library targets", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "256", - "forks": "159", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9061354?s=40&v=4", - "name": "aaliddell", - "url": "https://github.com/aaliddell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50580?s=40&v=4", - "name": "pcj", - "url": "https://github.com/pcj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5622403?s=40&v=4", - "name": "purkhusid", - "url": "https://github.com/purkhusid" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/126021?s=40&v=4", - "name": "ash2k", - "url": "https://github.com/ash2k" - } - ] - }, { "title": "aspect-build/rules_ts", "url": "https://github.com/aspect-build/rules_ts", "description": "Bazel rules for the `tsc` compiler from http://typescriptlang.org", "language": "Starlark", "languageColor": "#76d275", - "stars": "106", + "stars": "107", "forks": "64", "addStars": "0", "contributors": [ @@ -174,6 +73,70 @@ } ] }, + { + "title": "GoogleContainerTools/distroless", + "url": "https://github.com/GoogleContainerTools/distroless", + "description": "🥑 Language focused docker images, minus the operating system.", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "19,142", + "forks": "1,173", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1304826?s=40&v=4", + "name": "loosebazooka", + "url": "https://github.com/loosebazooka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1681525?s=40&v=4", + "name": "omBratteng", + "url": "https://github.com/omBratteng" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10523105?s=40&v=4", + "name": "chanseokoh", + "url": "https://github.com/chanseokoh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2442466?s=40&v=4", + "name": "mattmoor", + "url": "https://github.com/mattmoor" + } + ] + }, + { + "title": "googleapis/googleapis", + "url": "https://github.com/googleapis/googleapis", + "description": "Public interface definitions of Google APIs.", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "7,637", + "forks": "2,337", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", + "name": "googleapis-publisher", + "url": "https://github.com/googleapis-publisher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1617025?s=40&v=4", + "name": "pongad", + "url": "https://github.com/pongad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25352356?s=40&v=4", + "name": "neozwu", + "url": "https://github.com/neozwu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14846209?s=40&v=4", + "name": "michaelbausor", + "url": "https://github.com/michaelbausor" + } + ] + }, { "title": "aspect-build/rules_js", "url": "https://github.com/aspect-build/rules_js", @@ -207,39 +170,113 @@ ] }, { - "title": "tilt-dev/tilt-extensions", - "url": "https://github.com/tilt-dev/tilt-extensions", - "description": "Extensions for Tilt", + "title": "ethpandaops/ethereum-package", + "url": "https://github.com/ethpandaops/ethereum-package", + "description": "A Kurtosis package that deploys a private, portable, and modular Ethereum devnet", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "260", + "forks": "151", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6670312?s=40&v=4", + "name": "h4ck3rk3y", + "url": "https://github.com/h4ck3rk3y" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21987084?s=40&v=4", + "name": "barnabasbusa", + "url": "https://github.com/barnabasbusa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/491045?s=40&v=4", + "name": "pk910", + "url": "https://github.com/pk910" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17509050?s=40&v=4", + "name": "parithosh", + "url": "https://github.com/parithosh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1737857?s=40&v=4", + "name": "victorcolombo", + "url": "https://github.com/victorcolombo" + } + ] + }, + { + "title": "grpc/grpc-proto", + "url": "https://github.com/grpc/grpc-proto", + "description": "gRPC-defined protobufs for peripheral services such as health checking, load balancing, etc.", "language": "Starlark", "languageColor": "#76d275", - "stars": "206", - "forks": "166", + "stars": "261", + "forks": "105", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/278641?s=40&v=4", - "name": "nicks", - "url": "https://github.com/nicks" + "avatar": "https://avatars.githubusercontent.com/u/2819812?s=40&v=4", + "name": "zpencer", + "url": "https://github.com/zpencer" }, { - "avatar": "https://avatars.githubusercontent.com/u/841263?s=40&v=4", - "name": "milas", - "url": "https://github.com/milas" + "avatar": "https://avatars.githubusercontent.com/u/2811396?s=40&v=4", + "name": "ejona86", + "url": "https://github.com/ejona86" }, { - "avatar": "https://avatars.githubusercontent.com/u/6332648?s=40&v=4", - "name": "maiamcc", - "url": "https://github.com/maiamcc" + "avatar": "https://avatars.githubusercontent.com/u/8943572?s=40&v=4", + "name": "carl-mastrangelo", + "url": "https://github.com/carl-mastrangelo" }, { - "avatar": "https://avatars.githubusercontent.com/u/7453991?s=40&v=4", - "name": "landism", - "url": "https://github.com/landism" + "avatar": "https://avatars.githubusercontent.com/u/44212643?s=40&v=4", + "name": "cdmetcalf", + "url": "https://github.com/cdmetcalf" }, { - "avatar": "https://avatars.githubusercontent.com/u/1231996?s=40&v=4", - "name": "bobjackman", - "url": "https://github.com/bobjackman" + "avatar": "https://avatars.githubusercontent.com/u/18664614?s=40&v=4", + "name": "markdroth", + "url": "https://github.com/markdroth" + } + ] + }, + { + "title": "bazelbuild/rules_rust", + "url": "https://github.com/bazelbuild/rules_rust", + "description": "Rust rules for Bazel", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "671", + "forks": "434", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26427366?s=40&v=4", + "name": "UebelAndre", + "url": "https://github.com/UebelAndre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1131704?s=40&v=4", + "name": "illicitonion", + "url": "https://github.com/illicitonion" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/814566?s=40&v=4", + "name": "hlopko", + "url": "https://github.com/hlopko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3721087?s=40&v=4", + "name": "damienmg", + "url": "https://github.com/damienmg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11149636?s=40&v=4", + "name": "scentini", + "url": "https://github.com/scentini" } ] }, @@ -281,98 +318,71 @@ ] }, { - "title": "bazelbuild/rules_python", - "url": "https://github.com/bazelbuild/rules_python", - "description": "Bazel Python Rules", + "title": "bazel-contrib/rules_foreign_cc", + "url": "https://github.com/bazel-contrib/rules_foreign_cc", + "description": "Build rules for interfacing with \"foreign\" (non-Bazel) build systems (CMake, configure-make, GNU Make, boost, ninja, Meson)", "language": "Starlark", "languageColor": "#76d275", - "stars": "538", - "forks": "542", + "stars": "680", + "forks": "249", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/34175098?s=40&v=4", - "name": "rickeylev", - "url": "https://github.com/rickeylev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/240938?s=40&v=4", - "name": "aignas", - "url": "https://github.com/aignas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", - "name": "alexeagle", - "url": "https://github.com/alexeagle" + "avatar": "https://avatars.githubusercontent.com/u/6598461?s=40&v=4", + "name": "irengrig", + "url": "https://github.com/irengrig" }, { - "avatar": "https://avatars.githubusercontent.com/u/3149049?s=40&v=4", - "name": "f0rmiga", - "url": "https://github.com/f0rmiga" - } - ] - }, - { - "title": "mvukov/rules_ros", - "url": "https://github.com/mvukov/rules_ros", - "description": "Build ROS (1) with Bazel", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "24", - "forks": "12", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2706319?s=40&v=4", - "name": "mvukov", - "url": "https://github.com/mvukov" + "avatar": "https://avatars.githubusercontent.com/u/26427366?s=40&v=4", + "name": "UebelAndre", + "url": "https://github.com/UebelAndre" }, { - "avatar": "https://avatars.githubusercontent.com/u/10724293?s=40&v=4", - "name": "hofbi", - "url": "https://github.com/hofbi" + "avatar": "https://avatars.githubusercontent.com/u/11253?s=40&v=4", + "name": "jsharpe", + "url": "https://github.com/jsharpe" }, { - "avatar": "https://avatars.githubusercontent.com/u/53278189?s=40&v=4", - "name": "finn-ball", - "url": "https://github.com/finn-ball" + "avatar": "https://avatars.githubusercontent.com/u/48310225?s=40&v=4", + "name": "jheaff1", + "url": "https://github.com/jheaff1" }, { - "avatar": "https://avatars.githubusercontent.com/u/7939556?s=40&v=4", - "name": "beekarthik", - "url": "https://github.com/beekarthik" + "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", + "name": "keith", + "url": "https://github.com/keith" } ] }, { - "title": "GoogleContainerTools/distroless", - "url": "https://github.com/GoogleContainerTools/distroless", - "description": "🥑 Language focused docker images, minus the operating system.", + "title": "rules-proto-grpc/rules_proto_grpc", + "url": "https://github.com/rules-proto-grpc/rules_proto_grpc", + "description": "Bazel rules for building Protobuf and gRPC code and libraries from proto_library targets", "language": "Starlark", "languageColor": "#76d275", - "stars": "19,142", - "forks": "1,173", - "addStars": "5", + "stars": "256", + "forks": "159", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1304826?s=40&v=4", - "name": "loosebazooka", - "url": "https://github.com/loosebazooka" + "avatar": "https://avatars.githubusercontent.com/u/9061354?s=40&v=4", + "name": "aaliddell", + "url": "https://github.com/aaliddell" }, { - "avatar": "https://avatars.githubusercontent.com/u/1681525?s=40&v=4", - "name": "omBratteng", - "url": "https://github.com/omBratteng" + "avatar": "https://avatars.githubusercontent.com/u/50580?s=40&v=4", + "name": "pcj", + "url": "https://github.com/pcj" }, { - "avatar": "https://avatars.githubusercontent.com/u/10523105?s=40&v=4", - "name": "chanseokoh", - "url": "https://github.com/chanseokoh" + "avatar": "https://avatars.githubusercontent.com/u/5622403?s=40&v=4", + "name": "purkhusid", + "url": "https://github.com/purkhusid" }, { - "avatar": "https://avatars.githubusercontent.com/u/2442466?s=40&v=4", - "name": "mattmoor", - "url": "https://github.com/mattmoor" + "avatar": "https://avatars.githubusercontent.com/u/126021?s=40&v=4", + "name": "ash2k", + "url": "https://github.com/ash2k" } ] }, @@ -383,7 +393,7 @@ "language": "Starlark", "languageColor": "#76d275", "stars": "40", - "forks": "25", + "forks": "26", "addStars": "0", "contributors": [ { @@ -414,13 +424,13 @@ ] }, { - "title": "bazel-contrib/rules_oci", - "url": "https://github.com/bazel-contrib/rules_oci", - "description": "Bazel rules for building OCI containers", + "title": "GoogleContainerTools/rules_distroless", + "url": "https://github.com/GoogleContainerTools/rules_distroless", + "description": "", "language": "Starlark", "languageColor": "#76d275", - "stars": "305", - "forks": "159", + "stars": "54", + "forks": "33", "addStars": "0", "contributors": [ { @@ -428,25 +438,57 @@ "name": "thesayyn", "url": "https://github.com/thesayyn" }, + { + "avatar": "https://avatars.githubusercontent.com/u/425680?s=40&v=4", + "name": "jjmaestro", + "url": "https://github.com/jjmaestro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1304826?s=40&v=4", + "name": "loosebazooka", + "url": "https://github.com/loosebazooka" + }, { "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", "name": "alexeagle", "url": "https://github.com/alexeagle" }, { - "avatar": "https://avatars.githubusercontent.com/u/520826?s=40&v=4", - "name": "gregmagolan", - "url": "https://github.com/gregmagolan" + "avatar": "https://avatars.githubusercontent.com/u/95390105?s=40&v=4", + "name": "lazcamus", + "url": "https://github.com/lazcamus" + } + ] + }, + { + "title": "bazelbuild/rules_proto", + "url": "https://github.com/bazelbuild/rules_proto", + "description": "Protocol buffer rules for Bazel", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "167", + "forks": "69", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1796240?s=40&v=4", + "name": "comius", + "url": "https://github.com/comius" }, { - "avatar": "https://avatars.githubusercontent.com/u/132376425?s=40&v=4", - "name": "sfc-gh-ptabor", - "url": "https://github.com/sfc-gh-ptabor" + "avatar": "https://avatars.githubusercontent.com/u/7313747?s=40&v=4", + "name": "Yannic", + "url": "https://github.com/Yannic" }, { - "avatar": "https://avatars.githubusercontent.com/u/489061?s=40&v=4", - "name": "mortenmj", - "url": "https://github.com/mortenmj" + "avatar": "https://avatars.githubusercontent.com/u/20563340?s=40&v=4", + "name": "thesayyn", + "url": "https://github.com/thesayyn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", + "name": "alexeagle", + "url": "https://github.com/alexeagle" } ] }, @@ -488,25 +530,89 @@ ] }, { - "title": "bazelbuild/rules_proto", - "url": "https://github.com/bazelbuild/rules_proto", - "description": "Protocol buffer rules for Bazel", + "title": "0xPolygon/kurtosis-cdk", + "url": "https://github.com/0xPolygon/kurtosis-cdk", + "description": "A Kurtosis package that deploys a private, portable, and modular Polygon CDK devnet", "language": "Starlark", "languageColor": "#76d275", - "stars": "167", - "forks": "69", + "stars": "53", + "forks": "76", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1796240?s=40&v=4", - "name": "comius", - "url": "https://github.com/comius" + "avatar": "https://avatars.githubusercontent.com/u/429588?s=40&v=4", + "name": "praetoriansentry", + "url": "https://github.com/praetoriansentry" }, { - "avatar": "https://avatars.githubusercontent.com/u/7313747?s=40&v=4", - "name": "Yannic", - "url": "https://github.com/Yannic" + "avatar": "https://avatars.githubusercontent.com/u/28714795?s=40&v=4", + "name": "leovct", + "url": "https://github.com/leovct" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/100106211?s=40&v=4", + "name": "rebelArtists", + "url": "https://github.com/rebelArtists" }, + { + "avatar": "https://avatars.githubusercontent.com/u/125336262?s=40&v=4", + "name": "jhkimqd", + "url": "https://github.com/jhkimqd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47026?s=40&v=4", + "name": "vcastellm", + "url": "https://github.com/vcastellm" + } + ] + }, + { + "title": "google/cel-spec", + "url": "https://github.com/google/cel-spec", + "description": "Common Expression Language -- specification and binary representation", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "2,923", + "forks": "226", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/32469398?s=40&v=4", + "name": "JimLarson", + "url": "https://github.com/JimLarson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/483300?s=40&v=4", + "name": "TristonianJones", + "url": "https://github.com/TristonianJones" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/179320?s=40&v=4", + "name": "eobrain", + "url": "https://github.com/eobrain" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/997958?s=40&v=4", + "name": "jcking", + "url": "https://github.com/jcking" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2355949?s=40&v=4", + "name": "l46kok", + "url": "https://github.com/l46kok" + } + ] + }, + { + "title": "bazel-contrib/rules_oci", + "url": "https://github.com/bazel-contrib/rules_oci", + "description": "Bazel rules for building OCI containers", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "305", + "forks": "159", + "addStars": "0", + "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/20563340?s=40&v=4", "name": "thesayyn", @@ -516,75 +622,117 @@ "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", "name": "alexeagle", "url": "https://github.com/alexeagle" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/520826?s=40&v=4", + "name": "gregmagolan", + "url": "https://github.com/gregmagolan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/132376425?s=40&v=4", + "name": "sfc-gh-ptabor", + "url": "https://github.com/sfc-gh-ptabor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/489061?s=40&v=4", + "name": "mortenmj", + "url": "https://github.com/mortenmj" } ] }, { - "title": "bazelbuild/rules_cc", - "url": "https://github.com/bazelbuild/rules_cc", - "description": "C++ Rules for Bazel", + "title": "bazelbuild/rules_python", + "url": "https://github.com/bazelbuild/rules_python", + "description": "Bazel Python Rules", "language": "Starlark", "languageColor": "#76d275", - "stars": "189", - "forks": "94", + "stars": "538", + "forks": "542", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23365806?s=40&v=4", - "name": "oquenchil", - "url": "https://github.com/oquenchil" + "avatar": "https://avatars.githubusercontent.com/u/34175098?s=40&v=4", + "name": "rickeylev", + "url": "https://github.com/rickeylev" }, { - "avatar": "https://avatars.githubusercontent.com/u/814566?s=40&v=4", - "name": "hlopko", - "url": "https://github.com/hlopko" + "avatar": "https://avatars.githubusercontent.com/u/240938?s=40&v=4", + "name": "aignas", + "url": "https://github.com/aignas" }, { - "avatar": "https://avatars.githubusercontent.com/u/11149636?s=40&v=4", - "name": "scentini", - "url": "https://github.com/scentini" + "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", + "name": "alexeagle", + "url": "https://github.com/alexeagle" }, { - "avatar": "https://avatars.githubusercontent.com/u/1796240?s=40&v=4", - "name": "comius", - "url": "https://github.com/comius" + "avatar": "https://avatars.githubusercontent.com/u/3149049?s=40&v=4", + "name": "f0rmiga", + "url": "https://github.com/f0rmiga" } ] }, { - "title": "0xPolygon/kurtosis-cdk", - "url": "https://github.com/0xPolygon/kurtosis-cdk", - "description": "A Kurtosis package that deploys a private, portable, and modular Polygon CDK devnet", + "title": "bazelbuild/bazel-central-registry", + "url": "https://github.com/bazelbuild/bazel-central-registry", + "description": "The central registry of Bazel modules for the Bzlmod external dependency system.", "language": "Starlark", "languageColor": "#76d275", - "stars": "53", - "forks": "76", + "stars": "258", + "forks": "337", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/429588?s=40&v=4", - "name": "praetoriansentry", - "url": "https://github.com/praetoriansentry" + "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", + "name": "alexeagle", + "url": "https://github.com/alexeagle" }, { - "avatar": "https://avatars.githubusercontent.com/u/28714795?s=40&v=4", - "name": "leovct", - "url": "https://github.com/leovct" + "avatar": "https://avatars.githubusercontent.com/u/4171702?s=40&v=4", + "name": "meteorcloudy", + "url": "https://github.com/meteorcloudy" }, { - "avatar": "https://avatars.githubusercontent.com/u/100106211?s=40&v=4", - "name": "rebelArtists", - "url": "https://github.com/rebelArtists" + "avatar": "https://avatars.githubusercontent.com/u/4312191?s=40&v=4", + "name": "fmeum", + "url": "https://github.com/fmeum" }, { - "avatar": "https://avatars.githubusercontent.com/u/125336262?s=40&v=4", - "name": "jhkimqd", - "url": "https://github.com/jhkimqd" + "avatar": "https://avatars.githubusercontent.com/u/3775001?s=40&v=4", + "name": "Vertexwahn", + "url": "https://github.com/Vertexwahn" + } + ] + }, + { + "title": "aspect-build/bazel-examples", + "url": "https://github.com/aspect-build/bazel-examples", + "description": "Bazel examples", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "113", + "forks": "72", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/520826?s=40&v=4", + "name": "gregmagolan", + "url": "https://github.com/gregmagolan" }, { - "avatar": "https://avatars.githubusercontent.com/u/47026?s=40&v=4", - "name": "vcastellm", - "url": "https://github.com/vcastellm" + "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", + "name": "alexeagle", + "url": "https://github.com/alexeagle" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89246?s=40&v=4", + "name": "jbedard", + "url": "https://github.com/jbedard" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8799?s=40&v=4", + "name": "kylecordes", + "url": "https://github.com/kylecordes" } ] } diff --git a/data/daily/starlark.xml b/data/daily/starlark.xml index 44106ea8793e..de839aa31364 100644 --- a/data/daily/starlark.xml +++ b/data/daily/starlark.xml @@ -3,111 +3,7 @@ GitHub Starlark Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Starlark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - googleapis/googleapis - https://github.com/googleapis/googleapis - Public interface definitions of Google APIs. - https://github.com/googleapis/googleapis - Starlark - #76d275 - 7,637 - 2,339 - 2 - - - https://avatars.githubusercontent.com/u/21183283?s=40&v=4 - googleapis-publisher - https://github.com/googleapis-publisher - - - https://avatars.githubusercontent.com/u/1617025?s=40&v=4 - pongad - https://github.com/pongad - - - https://avatars.githubusercontent.com/u/25352356?s=40&v=4 - neozwu - https://github.com/neozwu - - - https://avatars.githubusercontent.com/u/14846209?s=40&v=4 - michaelbausor - https://github.com/michaelbausor - - - - - bazel-contrib/rules_foreign_cc - https://github.com/bazel-contrib/rules_foreign_cc - Build rules for interfacing with "foreign" (non-Bazel) build systems (CMake, configure-make, GNU Make, boost, ninja, Meson) - https://github.com/bazel-contrib/rules_foreign_cc - Starlark - #76d275 - 680 - 249 - 0 - - - https://avatars.githubusercontent.com/u/6598461?s=40&v=4 - irengrig - https://github.com/irengrig - - - https://avatars.githubusercontent.com/u/26427366?s=40&v=4 - UebelAndre - https://github.com/UebelAndre - - - https://avatars.githubusercontent.com/u/11253?s=40&v=4 - jsharpe - https://github.com/jsharpe - - - https://avatars.githubusercontent.com/u/48310225?s=40&v=4 - jheaff1 - https://github.com/jheaff1 - - - https://avatars.githubusercontent.com/u/283886?s=40&v=4 - keith - https://github.com/keith - - - - - rules-proto-grpc/rules_proto_grpc - https://github.com/rules-proto-grpc/rules_proto_grpc - Bazel rules for building Protobuf and gRPC code and libraries from proto_library targets - https://github.com/rules-proto-grpc/rules_proto_grpc - Starlark - #76d275 - 256 - 159 - 0 - - - https://avatars.githubusercontent.com/u/9061354?s=40&v=4 - aaliddell - https://github.com/aaliddell - - - https://avatars.githubusercontent.com/u/50580?s=40&v=4 - pcj - https://github.com/pcj - - - https://avatars.githubusercontent.com/u/5622403?s=40&v=4 - purkhusid - https://github.com/purkhusid - - - https://avatars.githubusercontent.com/u/126021?s=40&v=4 - ash2k - https://github.com/ash2k - - - + Mon, 25 Nov 2024 13:30:36 GMT aspect-build/rules_ts https://github.com/aspect-build/rules_ts @@ -115,7 +11,7 @@ https://github.com/aspect-build/rules_ts Starlark #76d275 - 106 + 107 64 0 @@ -179,6 +75,72 @@ + + GoogleContainerTools/distroless + https://github.com/GoogleContainerTools/distroless + 🥑 Language focused docker images, minus the operating system. + https://github.com/GoogleContainerTools/distroless + Starlark + #76d275 + 19,142 + 1,173 + 5 + + + https://avatars.githubusercontent.com/u/1304826?s=40&v=4 + loosebazooka + https://github.com/loosebazooka + + + https://avatars.githubusercontent.com/u/1681525?s=40&v=4 + omBratteng + https://github.com/omBratteng + + + https://avatars.githubusercontent.com/u/10523105?s=40&v=4 + chanseokoh + https://github.com/chanseokoh + + + https://avatars.githubusercontent.com/u/2442466?s=40&v=4 + mattmoor + https://github.com/mattmoor + + + + + googleapis/googleapis + https://github.com/googleapis/googleapis + Public interface definitions of Google APIs. + https://github.com/googleapis/googleapis + Starlark + #76d275 + 7,637 + 2,337 + 2 + + + https://avatars.githubusercontent.com/u/21183283?s=40&v=4 + googleapis-publisher + https://github.com/googleapis-publisher + + + https://avatars.githubusercontent.com/u/1617025?s=40&v=4 + pongad + https://github.com/pongad + + + https://avatars.githubusercontent.com/u/25352356?s=40&v=4 + neozwu + https://github.com/neozwu + + + https://avatars.githubusercontent.com/u/14846209?s=40&v=4 + michaelbausor + https://github.com/michaelbausor + + + aspect-build/rules_js https://github.com/aspect-build/rules_js @@ -213,40 +175,116 @@ - tilt-dev/tilt-extensions - https://github.com/tilt-dev/tilt-extensions - Extensions for Tilt - https://github.com/tilt-dev/tilt-extensions + ethpandaops/ethereum-package + https://github.com/ethpandaops/ethereum-package + A Kurtosis package that deploys a private, portable, and modular Ethereum devnet + https://github.com/ethpandaops/ethereum-package Starlark #76d275 - 206 - 166 + 260 + 151 0 - https://avatars.githubusercontent.com/u/278641?s=40&v=4 - nicks - https://github.com/nicks + https://avatars.githubusercontent.com/u/6670312?s=40&v=4 + h4ck3rk3y + https://github.com/h4ck3rk3y - https://avatars.githubusercontent.com/u/841263?s=40&v=4 - milas - https://github.com/milas + https://avatars.githubusercontent.com/u/21987084?s=40&v=4 + barnabasbusa + https://github.com/barnabasbusa - https://avatars.githubusercontent.com/u/6332648?s=40&v=4 - maiamcc - https://github.com/maiamcc + https://avatars.githubusercontent.com/u/491045?s=40&v=4 + pk910 + https://github.com/pk910 - https://avatars.githubusercontent.com/u/7453991?s=40&v=4 - landism - https://github.com/landism + https://avatars.githubusercontent.com/u/17509050?s=40&v=4 + parithosh + https://github.com/parithosh - https://avatars.githubusercontent.com/u/1231996?s=40&v=4 - bobjackman - https://github.com/bobjackman + https://avatars.githubusercontent.com/u/1737857?s=40&v=4 + victorcolombo + https://github.com/victorcolombo + + + + + grpc/grpc-proto + https://github.com/grpc/grpc-proto + gRPC-defined protobufs for peripheral services such as health checking, load balancing, etc. + https://github.com/grpc/grpc-proto + Starlark + #76d275 + 261 + 105 + 0 + + + https://avatars.githubusercontent.com/u/2819812?s=40&v=4 + zpencer + https://github.com/zpencer + + + https://avatars.githubusercontent.com/u/2811396?s=40&v=4 + ejona86 + https://github.com/ejona86 + + + https://avatars.githubusercontent.com/u/8943572?s=40&v=4 + carl-mastrangelo + https://github.com/carl-mastrangelo + + + https://avatars.githubusercontent.com/u/44212643?s=40&v=4 + cdmetcalf + https://github.com/cdmetcalf + + + https://avatars.githubusercontent.com/u/18664614?s=40&v=4 + markdroth + https://github.com/markdroth + + + + + bazelbuild/rules_rust + https://github.com/bazelbuild/rules_rust + Rust rules for Bazel + https://github.com/bazelbuild/rules_rust + Starlark + #76d275 + 671 + 434 + 0 + + + https://avatars.githubusercontent.com/u/26427366?s=40&v=4 + UebelAndre + https://github.com/UebelAndre + + + https://avatars.githubusercontent.com/u/1131704?s=40&v=4 + illicitonion + https://github.com/illicitonion + + + https://avatars.githubusercontent.com/u/814566?s=40&v=4 + hlopko + https://github.com/hlopko + + + https://avatars.githubusercontent.com/u/3721087?s=40&v=4 + damienmg + https://github.com/damienmg + + + https://avatars.githubusercontent.com/u/11149636?s=40&v=4 + scentini + https://github.com/scentini @@ -289,101 +327,73 @@ - bazelbuild/rules_python - https://github.com/bazelbuild/rules_python - Bazel Python Rules - https://github.com/bazelbuild/rules_python + bazel-contrib/rules_foreign_cc + https://github.com/bazel-contrib/rules_foreign_cc + Build rules for interfacing with "foreign" (non-Bazel) build systems (CMake, configure-make, GNU Make, boost, ninja, Meson) + https://github.com/bazel-contrib/rules_foreign_cc Starlark #76d275 - 538 - 542 + 680 + 249 0 - https://avatars.githubusercontent.com/u/34175098?s=40&v=4 - rickeylev - https://github.com/rickeylev - - - https://avatars.githubusercontent.com/u/240938?s=40&v=4 - aignas - https://github.com/aignas - - - https://avatars.githubusercontent.com/u/47395?s=40&v=4 - alexeagle - https://github.com/alexeagle - - - https://avatars.githubusercontent.com/u/3149049?s=40&v=4 - f0rmiga - https://github.com/f0rmiga + https://avatars.githubusercontent.com/u/6598461?s=40&v=4 + irengrig + https://github.com/irengrig - - - - mvukov/rules_ros - https://github.com/mvukov/rules_ros - Build ROS (1) with Bazel - https://github.com/mvukov/rules_ros - Starlark - #76d275 - 24 - 12 - 0 - - https://avatars.githubusercontent.com/u/2706319?s=40&v=4 - mvukov - https://github.com/mvukov + https://avatars.githubusercontent.com/u/26427366?s=40&v=4 + UebelAndre + https://github.com/UebelAndre - https://avatars.githubusercontent.com/u/10724293?s=40&v=4 - hofbi - https://github.com/hofbi + https://avatars.githubusercontent.com/u/11253?s=40&v=4 + jsharpe + https://github.com/jsharpe - https://avatars.githubusercontent.com/u/53278189?s=40&v=4 - finn-ball - https://github.com/finn-ball + https://avatars.githubusercontent.com/u/48310225?s=40&v=4 + jheaff1 + https://github.com/jheaff1 - https://avatars.githubusercontent.com/u/7939556?s=40&v=4 - beekarthik - https://github.com/beekarthik + https://avatars.githubusercontent.com/u/283886?s=40&v=4 + keith + https://github.com/keith - GoogleContainerTools/distroless - https://github.com/GoogleContainerTools/distroless - 🥑 Language focused docker images, minus the operating system. - https://github.com/GoogleContainerTools/distroless + rules-proto-grpc/rules_proto_grpc + https://github.com/rules-proto-grpc/rules_proto_grpc + Bazel rules for building Protobuf and gRPC code and libraries from proto_library targets + https://github.com/rules-proto-grpc/rules_proto_grpc Starlark #76d275 - 19,142 - 1,173 - 5 + 256 + 159 + 0 - https://avatars.githubusercontent.com/u/1304826?s=40&v=4 - loosebazooka - https://github.com/loosebazooka + https://avatars.githubusercontent.com/u/9061354?s=40&v=4 + aaliddell + https://github.com/aaliddell - https://avatars.githubusercontent.com/u/1681525?s=40&v=4 - omBratteng - https://github.com/omBratteng + https://avatars.githubusercontent.com/u/50580?s=40&v=4 + pcj + https://github.com/pcj - https://avatars.githubusercontent.com/u/10523105?s=40&v=4 - chanseokoh - https://github.com/chanseokoh + https://avatars.githubusercontent.com/u/5622403?s=40&v=4 + purkhusid + https://github.com/purkhusid - https://avatars.githubusercontent.com/u/2442466?s=40&v=4 - mattmoor - https://github.com/mattmoor + https://avatars.githubusercontent.com/u/126021?s=40&v=4 + ash2k + https://github.com/ash2k @@ -395,7 +405,7 @@ Starlark #76d275 40 - 25 + 26 0 @@ -426,14 +436,14 @@ - bazel-contrib/rules_oci - https://github.com/bazel-contrib/rules_oci - Bazel rules for building OCI containers - https://github.com/bazel-contrib/rules_oci + GoogleContainerTools/rules_distroless + https://github.com/GoogleContainerTools/rules_distroless + + https://github.com/GoogleContainerTools/rules_distroless Starlark #76d275 - 305 - 159 + 54 + 33 0 @@ -441,25 +451,58 @@ thesayyn https://github.com/thesayyn + + https://avatars.githubusercontent.com/u/425680?s=40&v=4 + jjmaestro + https://github.com/jjmaestro + + + https://avatars.githubusercontent.com/u/1304826?s=40&v=4 + loosebazooka + https://github.com/loosebazooka + https://avatars.githubusercontent.com/u/47395?s=40&v=4 alexeagle https://github.com/alexeagle - https://avatars.githubusercontent.com/u/520826?s=40&v=4 - gregmagolan - https://github.com/gregmagolan + https://avatars.githubusercontent.com/u/95390105?s=40&v=4 + lazcamus + https://github.com/lazcamus + + + + bazelbuild/rules_proto + https://github.com/bazelbuild/rules_proto + Protocol buffer rules for Bazel + https://github.com/bazelbuild/rules_proto + Starlark + #76d275 + 167 + 69 + 0 + - https://avatars.githubusercontent.com/u/132376425?s=40&v=4 - sfc-gh-ptabor - https://github.com/sfc-gh-ptabor + https://avatars.githubusercontent.com/u/1796240?s=40&v=4 + comius + https://github.com/comius - https://avatars.githubusercontent.com/u/489061?s=40&v=4 - mortenmj - https://github.com/mortenmj + https://avatars.githubusercontent.com/u/7313747?s=40&v=4 + Yannic + https://github.com/Yannic + + + https://avatars.githubusercontent.com/u/20563340?s=40&v=4 + thesayyn + https://github.com/thesayyn + + + https://avatars.githubusercontent.com/u/47395?s=40&v=4 + alexeagle + https://github.com/alexeagle @@ -502,26 +545,92 @@ - bazelbuild/rules_proto - https://github.com/bazelbuild/rules_proto - Protocol buffer rules for Bazel - https://github.com/bazelbuild/rules_proto + 0xPolygon/kurtosis-cdk + https://github.com/0xPolygon/kurtosis-cdk + A Kurtosis package that deploys a private, portable, and modular Polygon CDK devnet + https://github.com/0xPolygon/kurtosis-cdk Starlark #76d275 - 167 - 69 + 53 + 76 0 - https://avatars.githubusercontent.com/u/1796240?s=40&v=4 - comius - https://github.com/comius + https://avatars.githubusercontent.com/u/429588?s=40&v=4 + praetoriansentry + https://github.com/praetoriansentry - https://avatars.githubusercontent.com/u/7313747?s=40&v=4 - Yannic - https://github.com/Yannic + https://avatars.githubusercontent.com/u/28714795?s=40&v=4 + leovct + https://github.com/leovct + + + https://avatars.githubusercontent.com/u/100106211?s=40&v=4 + rebelArtists + https://github.com/rebelArtists + + https://avatars.githubusercontent.com/u/125336262?s=40&v=4 + jhkimqd + https://github.com/jhkimqd + + + https://avatars.githubusercontent.com/u/47026?s=40&v=4 + vcastellm + https://github.com/vcastellm + + + + + google/cel-spec + https://github.com/google/cel-spec + Common Expression Language -- specification and binary representation + https://github.com/google/cel-spec + Starlark + #76d275 + 2,923 + 226 + 2 + + + https://avatars.githubusercontent.com/u/32469398?s=40&v=4 + JimLarson + https://github.com/JimLarson + + + https://avatars.githubusercontent.com/u/483300?s=40&v=4 + TristonianJones + https://github.com/TristonianJones + + + https://avatars.githubusercontent.com/u/179320?s=40&v=4 + eobrain + https://github.com/eobrain + + + https://avatars.githubusercontent.com/u/997958?s=40&v=4 + jcking + https://github.com/jcking + + + https://avatars.githubusercontent.com/u/2355949?s=40&v=4 + l46kok + https://github.com/l46kok + + + + + bazel-contrib/rules_oci + https://github.com/bazel-contrib/rules_oci + Bazel rules for building OCI containers + https://github.com/bazel-contrib/rules_oci + Starlark + #76d275 + 305 + 159 + 0 + https://avatars.githubusercontent.com/u/20563340?s=40&v=4 thesayyn @@ -532,76 +641,119 @@ alexeagle https://github.com/alexeagle + + https://avatars.githubusercontent.com/u/520826?s=40&v=4 + gregmagolan + https://github.com/gregmagolan + + + https://avatars.githubusercontent.com/u/132376425?s=40&v=4 + sfc-gh-ptabor + https://github.com/sfc-gh-ptabor + + + https://avatars.githubusercontent.com/u/489061?s=40&v=4 + mortenmj + https://github.com/mortenmj + - bazelbuild/rules_cc - https://github.com/bazelbuild/rules_cc - C++ Rules for Bazel - https://github.com/bazelbuild/rules_cc + bazelbuild/rules_python + https://github.com/bazelbuild/rules_python + Bazel Python Rules + https://github.com/bazelbuild/rules_python Starlark #76d275 - 189 - 94 + 538 + 542 0 - https://avatars.githubusercontent.com/u/23365806?s=40&v=4 - oquenchil - https://github.com/oquenchil + https://avatars.githubusercontent.com/u/34175098?s=40&v=4 + rickeylev + https://github.com/rickeylev - https://avatars.githubusercontent.com/u/814566?s=40&v=4 - hlopko - https://github.com/hlopko + https://avatars.githubusercontent.com/u/240938?s=40&v=4 + aignas + https://github.com/aignas - https://avatars.githubusercontent.com/u/11149636?s=40&v=4 - scentini - https://github.com/scentini + https://avatars.githubusercontent.com/u/47395?s=40&v=4 + alexeagle + https://github.com/alexeagle - https://avatars.githubusercontent.com/u/1796240?s=40&v=4 - comius - https://github.com/comius + https://avatars.githubusercontent.com/u/3149049?s=40&v=4 + f0rmiga + https://github.com/f0rmiga - 0xPolygon/kurtosis-cdk - https://github.com/0xPolygon/kurtosis-cdk - A Kurtosis package that deploys a private, portable, and modular Polygon CDK devnet - https://github.com/0xPolygon/kurtosis-cdk + bazelbuild/bazel-central-registry + https://github.com/bazelbuild/bazel-central-registry + The central registry of Bazel modules for the Bzlmod external dependency system. + https://github.com/bazelbuild/bazel-central-registry Starlark #76d275 - 53 - 76 + 258 + 337 0 - https://avatars.githubusercontent.com/u/429588?s=40&v=4 - praetoriansentry - https://github.com/praetoriansentry + https://avatars.githubusercontent.com/u/47395?s=40&v=4 + alexeagle + https://github.com/alexeagle - https://avatars.githubusercontent.com/u/28714795?s=40&v=4 - leovct - https://github.com/leovct + https://avatars.githubusercontent.com/u/4171702?s=40&v=4 + meteorcloudy + https://github.com/meteorcloudy - https://avatars.githubusercontent.com/u/100106211?s=40&v=4 - rebelArtists - https://github.com/rebelArtists + https://avatars.githubusercontent.com/u/4312191?s=40&v=4 + fmeum + https://github.com/fmeum - https://avatars.githubusercontent.com/u/125336262?s=40&v=4 - jhkimqd - https://github.com/jhkimqd + https://avatars.githubusercontent.com/u/3775001?s=40&v=4 + Vertexwahn + https://github.com/Vertexwahn + + + + aspect-build/bazel-examples + https://github.com/aspect-build/bazel-examples + Bazel examples + https://github.com/aspect-build/bazel-examples + Starlark + #76d275 + 113 + 72 + 0 + - https://avatars.githubusercontent.com/u/47026?s=40&v=4 - vcastellm - https://github.com/vcastellm + https://avatars.githubusercontent.com/u/520826?s=40&v=4 + gregmagolan + https://github.com/gregmagolan + + + https://avatars.githubusercontent.com/u/47395?s=40&v=4 + alexeagle + https://github.com/alexeagle + + + https://avatars.githubusercontent.com/u/89246?s=40&v=4 + jbedard + https://github.com/jbedard + + + https://avatars.githubusercontent.com/u/8799?s=40&v=4 + kylecordes + https://github.com/kylecordes diff --git a/data/daily/stata.json b/data/daily/stata.json index 2287089d0857..fc6a452cc023 100644 --- a/data/daily/stata.json +++ b/data/daily/stata.json @@ -2,6 +2,6 @@ "title": "GitHub Stata Languages Daily Trending", "description": "Daily Trending of Stata Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/stata.xml b/data/daily/stata.xml index e5a1e2777698..4df9ce6d4ce0 100644 --- a/data/daily/stata.xml +++ b/data/daily/stata.xml @@ -3,6 +3,6 @@ GitHub Stata Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Stata Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/stl.json b/data/daily/stl.json index 5d0167c3b346..c1773118d61c 100644 --- a/data/daily/stl.json +++ b/data/daily/stl.json @@ -2,6 +2,6 @@ "title": "GitHub Stl Languages Daily Trending", "description": "Daily Trending of Stl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/stl.xml b/data/daily/stl.xml index f1358f787ea3..d6f556a3b459 100644 --- a/data/daily/stl.xml +++ b/data/daily/stl.xml @@ -3,6 +3,6 @@ GitHub Stl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Stl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/ston.json b/data/daily/ston.json index 47f8f9c9baa6..3633dc6d6c1a 100644 --- a/data/daily/ston.json +++ b/data/daily/ston.json @@ -2,6 +2,6 @@ "title": "GitHub Ston Languages Daily Trending", "description": "Daily Trending of Ston Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ston.xml b/data/daily/ston.xml index 09b8eb77569b..9c13f54ce339 100644 --- a/data/daily/ston.xml +++ b/data/daily/ston.xml @@ -3,6 +3,6 @@ GitHub Ston Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ston Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/stringtemplate.json b/data/daily/stringtemplate.json index b4fa354b7a10..800d67071b76 100644 --- a/data/daily/stringtemplate.json +++ b/data/daily/stringtemplate.json @@ -2,6 +2,6 @@ "title": "GitHub Stringtemplate Languages Daily Trending", "description": "Daily Trending of Stringtemplate Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/stringtemplate.xml b/data/daily/stringtemplate.xml index a36ae4503c99..25d156c1236e 100644 --- a/data/daily/stringtemplate.xml +++ b/data/daily/stringtemplate.xml @@ -3,6 +3,6 @@ GitHub Stringtemplate Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Stringtemplate Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/stylus.json b/data/daily/stylus.json index 9f4147288263..8936ad2233e4 100644 --- a/data/daily/stylus.json +++ b/data/daily/stylus.json @@ -2,15 +2,52 @@ "title": "GitHub Stylus Languages Daily Trending", "description": "Daily Trending of Stylus Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "probberechts/hexo-theme-cactus", + "url": "https://github.com/probberechts/hexo-theme-cactus", + "description": "🌵 A responsive, clean and simple theme for Hexo.", + "language": "Stylus", + "languageColor": "#ff6347", + "stars": "3,213", + "forks": "785", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2175271?s=40&v=4", + "name": "probberechts", + "url": "https://github.com/probberechts" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8080936?s=40&v=4", + "name": "maaaaarco", + "url": "https://github.com/maaaaarco" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9088722?s=40&v=4", + "name": "metters", + "url": "https://github.com/metters" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/832355?s=40&v=4", + "name": "dharFr", + "url": "https://github.com/dharFr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/359569?s=40&v=4", + "name": "7696122", + "url": "https://github.com/7696122" + } + ] + }, { "title": "theme-next/hexo-theme-next", "url": "https://github.com/theme-next/hexo-theme-next", "description": "Elegant and powerful theme for Hexo.", "language": "Stylus", "languageColor": "#ff6347", - "stars": "8,169", + "stars": "8,170", "forks": "2,051", "addStars": "1", "contributors": [ @@ -40,43 +77,6 @@ "url": "https://github.com/tsanie" } ] - }, - { - "title": "anzhiyu-c/hexo-theme-anzhiyu", - "url": "https://github.com/anzhiyu-c/hexo-theme-anzhiyu", - "description": "安知鱼主题,这是一个简洁美丽的hexo主题。", - "language": "Stylus", - "languageColor": "#ff6347", - "stars": "1,553", - "forks": "229", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/73864136?s=40&v=4", - "name": "anzhiyu-c", - "url": "https://github.com/anzhiyu-c" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/95990919?s=40&v=4", - "name": "Joker2Yue", - "url": "https://github.com/Joker2Yue" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22519817?s=40&v=4", - "name": "junpengzhou", - "url": "https://github.com/junpengzhou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73231354?s=40&v=4", - "name": "nixgnauhcuy", - "url": "https://github.com/nixgnauhcuy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62093618?s=40&v=4", - "name": "CCKNBC", - "url": "https://github.com/CCKNBC" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/stylus.xml b/data/daily/stylus.xml index 35c3f32c9e8e..c1e44e162615 100644 --- a/data/daily/stylus.xml +++ b/data/daily/stylus.xml @@ -3,7 +3,45 @@ GitHub Stylus Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Stylus Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + probberechts/hexo-theme-cactus + https://github.com/probberechts/hexo-theme-cactus + 🌵 A responsive, clean and simple theme for Hexo. + https://github.com/probberechts/hexo-theme-cactus + Stylus + #ff6347 + 3,213 + 785 + 4 + + + https://avatars.githubusercontent.com/u/2175271?s=40&v=4 + probberechts + https://github.com/probberechts + + + https://avatars.githubusercontent.com/u/8080936?s=40&v=4 + maaaaarco + https://github.com/maaaaarco + + + https://avatars.githubusercontent.com/u/9088722?s=40&v=4 + metters + https://github.com/metters + + + https://avatars.githubusercontent.com/u/832355?s=40&v=4 + dharFr + https://github.com/dharFr + + + https://avatars.githubusercontent.com/u/359569?s=40&v=4 + 7696122 + https://github.com/7696122 + + + theme-next/hexo-theme-next https://github.com/theme-next/hexo-theme-next @@ -11,7 +49,7 @@ https://github.com/theme-next/hexo-theme-next Stylus #ff6347 - 8,169 + 8,170 2,051 1 @@ -42,43 +80,5 @@ - - anzhiyu-c/hexo-theme-anzhiyu - https://github.com/anzhiyu-c/hexo-theme-anzhiyu - 安知鱼主题,这是一个简洁美丽的hexo主题。 - https://github.com/anzhiyu-c/hexo-theme-anzhiyu - Stylus - #ff6347 - 1,553 - 229 - 1 - - - https://avatars.githubusercontent.com/u/73864136?s=40&v=4 - anzhiyu-c - https://github.com/anzhiyu-c - - - https://avatars.githubusercontent.com/u/95990919?s=40&v=4 - Joker2Yue - https://github.com/Joker2Yue - - - https://avatars.githubusercontent.com/u/22519817?s=40&v=4 - junpengzhou - https://github.com/junpengzhou - - - https://avatars.githubusercontent.com/u/73231354?s=40&v=4 - nixgnauhcuy - https://github.com/nixgnauhcuy - - - https://avatars.githubusercontent.com/u/62093618?s=40&v=4 - CCKNBC - https://github.com/CCKNBC - - - \ No newline at end of file diff --git a/data/daily/subrip-text.json b/data/daily/subrip-text.json index c19b994f39f5..4374a8baa97e 100644 --- a/data/daily/subrip-text.json +++ b/data/daily/subrip-text.json @@ -2,6 +2,6 @@ "title": "GitHub Subrip-text Languages Daily Trending", "description": "Daily Trending of Subrip-text Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/subrip-text.xml b/data/daily/subrip-text.xml index 5aea3db2e847..0a3d1256c5a3 100644 --- a/data/daily/subrip-text.xml +++ b/data/daily/subrip-text.xml @@ -3,6 +3,6 @@ GitHub Subrip-text Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Subrip-text Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sugarss.json b/data/daily/sugarss.json index d28080cd01b7..a9c1cd7377dc 100644 --- a/data/daily/sugarss.json +++ b/data/daily/sugarss.json @@ -2,6 +2,6 @@ "title": "GitHub Sugarss Languages Daily Trending", "description": "Daily Trending of Sugarss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sugarss.xml b/data/daily/sugarss.xml index 5fb77a2f10c2..859a54702a3d 100644 --- a/data/daily/sugarss.xml +++ b/data/daily/sugarss.xml @@ -3,6 +3,6 @@ GitHub Sugarss Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sugarss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/supercollider.json b/data/daily/supercollider.json index cdf1b5ecb749..f767f3d0ff55 100644 --- a/data/daily/supercollider.json +++ b/data/daily/supercollider.json @@ -2,6 +2,6 @@ "title": "GitHub Supercollider Languages Daily Trending", "description": "Daily Trending of Supercollider Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/supercollider.xml b/data/daily/supercollider.xml index 030fb188cd1d..9c1953b48fbc 100644 --- a/data/daily/supercollider.xml +++ b/data/daily/supercollider.xml @@ -3,6 +3,6 @@ GitHub Supercollider Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Supercollider Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/svelte.json b/data/daily/svelte.json index 966c33c84ebb..56dabe605aeb 100644 --- a/data/daily/svelte.json +++ b/data/daily/svelte.json @@ -2,7 +2,7 @@ "title": "GitHub Svelte Languages Daily Trending", "description": "Daily Trending of Svelte Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "imputnet/cobalt", @@ -10,8 +10,8 @@ "description": "best way to save what you love", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "17,394", - "forks": "1,424", + "stars": "17,403", + "forks": "1,425", "addStars": "50", "contributors": [ { @@ -47,7 +47,7 @@ "description": "Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "5,467", + "stars": "5,478", "forks": "321", "addStars": "19", "contributors": [ @@ -73,6 +73,43 @@ } ] }, + { + "title": "saadeghi/daisyui", + "url": "https://github.com/saadeghi/daisyui", + "description": "🌼 🌼 🌼 🌼 🌼  The most popular, free and open-source Tailwind CSS component library", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "34,179", + "forks": "1,306", + "addStars": "20", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7342023?s=40&v=4", + "name": "saadeghi", + "url": "https://github.com/saadeghi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1448460?s=40&v=4", + "name": "yoshi6jp", + "url": "https://github.com/yoshi6jp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1459553?s=40&v=4", + "name": "Avaray", + "url": "https://github.com/Avaray" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74139498?s=40&v=4", + "name": "gurgunday", + "url": "https://github.com/gurgunday" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63882847?s=40&v=4", + "name": "Nekxio", + "url": "https://github.com/Nekxio" + } + ] + }, { "title": "ThaUnknown/miru", "url": "https://github.com/ThaUnknown/miru", @@ -80,7 +117,7 @@ "language": "Svelte", "languageColor": "#ff3e00", "stars": "2,673", - "forks": "142", + "forks": "143", "addStars": "6", "contributors": [ { @@ -111,71 +148,130 @@ ] }, { - "title": "huntabyte/shadcn-svelte", - "url": "https://github.com/huntabyte/shadcn-svelte", - "description": "shadcn/ui, but for Svelte. ✨", + "title": "chroxify/haptic", + "url": "https://github.com/chroxify/haptic", + "description": "A new local-first, privacy-focused and open-source home for your markdown notes", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "994", + "forks": "19", + "addStars": "69", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/65873518?s=40&v=4", + "name": "chroxify", + "url": "https://github.com/chroxify" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43832467?s=40&v=4", + "name": "Waaiez", + "url": "https://github.com/Waaiez" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60001922?s=40&v=4", + "name": "dpi0", + "url": "https://github.com/dpi0" + } + ] + }, + { + "title": "Muhammed-Rahif/Notpad", + "url": "https://github.com/Muhammed-Rahif/Notpad", + "description": "The ordinary notepad that everyone know, but it's rich!", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "5,515", - "forks": "341", - "addStars": "4", + "stars": "57", + "forks": "32", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/64506580?s=40&v=4", - "name": "huntabyte", - "url": "https://github.com/huntabyte" + "avatar": "https://avatars.githubusercontent.com/u/73386156?s=40&v=4", + "name": "Muhammed-Rahif", + "url": "https://github.com/Muhammed-Rahif" }, { - "avatar": "https://avatars.githubusercontent.com/u/31664583?s=40&v=4", - "name": "AdrianGonz97", - "url": "https://github.com/AdrianGonz97" + "avatar": "https://avatars.githubusercontent.com/u/137679921?s=40&v=4", + "name": "Shifin-Malik", + "url": "https://github.com/Shifin-Malik" }, { - "avatar": "https://avatars.githubusercontent.com/u/87414827?s=40&v=4", - "name": "shyakadavis", - "url": "https://github.com/shyakadavis" + "avatar": "https://avatars.githubusercontent.com/u/167967535?s=40&v=4", + "name": "sinankambran", + "url": "https://github.com/sinankambran" }, { - "avatar": "https://avatars.githubusercontent.com/u/57641199?s=40&v=4", - "name": "HubbeDev", - "url": "https://github.com/HubbeDev" + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" } ] }, { - "title": "saadeghi/daisyui", - "url": "https://github.com/saadeghi/daisyui", - "description": "🌼 🌼 🌼 🌼 🌼  The most popular, free and open-source Tailwind CSS component library", + "title": "cupcakearmy/cryptgeon", + "url": "https://github.com/cupcakearmy/cryptgeon", + "description": "cryptgeon is a secure, open source note / file sharing service inspired by PrivNote written in rust & svelte.", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "34,174", - "forks": "1,306", - "addStars": "20", + "stars": "851", + "forks": "89", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7342023?s=40&v=4", - "name": "saadeghi", - "url": "https://github.com/saadeghi" + "avatar": "https://avatars.githubusercontent.com/u/14948823?s=40&v=4", + "name": "cupcakearmy", + "url": "https://github.com/cupcakearmy" }, { - "avatar": "https://avatars.githubusercontent.com/u/1448460?s=40&v=4", - "name": "yoshi6jp", - "url": "https://github.com/yoshi6jp" + "avatar": "https://avatars.githubusercontent.com/u/48946?s=40&v=4", + "name": "KTamas", + "url": "https://github.com/KTamas" }, { - "avatar": "https://avatars.githubusercontent.com/u/1459553?s=40&v=4", - "name": "Avaray", - "url": "https://github.com/Avaray" + "avatar": "https://avatars.githubusercontent.com/u/106538?s=40&v=4", + "name": "cbin", + "url": "https://github.com/cbin" }, { - "avatar": "https://avatars.githubusercontent.com/u/74139498?s=40&v=4", - "name": "gurgunday", - "url": "https://github.com/gurgunday" + "avatar": "https://avatars.githubusercontent.com/u/4387995?s=40&v=4", + "name": "mguegan", + "url": "https://github.com/mguegan" }, { - "avatar": "https://avatars.githubusercontent.com/u/63882847?s=40&v=4", - "name": "Nekxio", - "url": "https://github.com/Nekxio" + "avatar": "https://avatars.githubusercontent.com/u/42101257?s=40&v=4", + "name": "Rooyca", + "url": "https://github.com/Rooyca" + } + ] + }, + { + "title": "pluja/whishper", + "url": "https://github.com/pluja/whishper", + "description": "Transcribe any audio to text, translate and edit subtitles 100% locally with a web UI. Powered by whisper models!", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "1,644", + "forks": "93", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/64632615?s=40&v=4", + "name": "pluja", + "url": "https://github.com/pluja" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10514959?s=40&v=4", + "name": "JonasCz", + "url": "https://github.com/JonasCz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25672277?s=40&v=4", + "name": "hundehausen", + "url": "https://github.com/hundehausen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1082033?s=40&v=4", + "name": "miketwo", + "url": "https://github.com/miketwo" } ] }, @@ -254,145 +350,103 @@ ] }, { - "title": "sveltejs/svelte.dev", - "url": "https://github.com/sveltejs/svelte.dev", - "description": "The Svelte omnisite", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "111", - "forks": "68", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1162160?s=40&v=4", - "name": "Rich-Harris", - "url": "https://github.com/Rich-Harris" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5968653?s=40&v=4", - "name": "dummdidumm", - "url": "https://github.com/dummdidumm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/322311?s=40&v=4", - "name": "benmccann", - "url": "https://github.com/benmccann" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1519870?s=40&v=4", - "name": "trueadm", - "url": "https://github.com/trueadm" - } - ] - }, - { - "title": "gurucomputing/headscale-ui", - "url": "https://github.com/gurucomputing/headscale-ui", - "description": "A web frontend for the headscale Tailscale-compatible coordination server", + "title": "satisfactorymodding/SatisfactoryModManager", + "url": "https://github.com/satisfactorymodding/SatisfactoryModManager", + "description": "A mod manager for easy installation of mods and modloader for Satisfactory", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "1,779", - "forks": "127", + "stars": "398", + "forks": "79", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45954722?s=40&v=4", - "name": "routerino", - "url": "https://github.com/routerino" + "avatar": "https://avatars.githubusercontent.com/u/19414258?s=40&v=4", + "name": "mircearoata", + "url": "https://github.com/mircearoata" }, { - "avatar": "https://avatars.githubusercontent.com/u/10323274?s=40&v=4", - "name": "xzzpig", - "url": "https://github.com/xzzpig" + "avatar": "https://avatars.githubusercontent.com/u/25965766?s=40&v=4", + "name": "budak7273", + "url": "https://github.com/budak7273" }, { - "avatar": "https://avatars.githubusercontent.com/u/1464185?s=40&v=4", - "name": "adrum", - "url": "https://github.com/adrum" + "avatar": "https://avatars.githubusercontent.com/u/1759390?s=40&v=4", + "name": "Vilsol", + "url": "https://github.com/Vilsol" }, { - "avatar": "https://avatars.githubusercontent.com/u/63209974?s=40&v=4", - "name": "fedefreue", - "url": "https://github.com/fedefreue" + "avatar": "https://avatars.githubusercontent.com/u/10092376?s=40&v=4", + "name": "nick-strohm", + "url": "https://github.com/nick-strohm" }, { - "avatar": "https://avatars.githubusercontent.com/u/213140?s=40&v=4", - "name": "Niek", - "url": "https://github.com/Niek" + "avatar": "https://avatars.githubusercontent.com/u/1315840?s=40&v=4", + "name": "bunjiboys", + "url": "https://github.com/bunjiboys" } ] }, { - "title": "vkurko/calendar", - "url": "https://github.com/vkurko/calendar", - "description": "Full-sized drag & drop JavaScript event calendar with resource & timeline views", + "title": "codicocodes/dotfyle", + "url": "https://github.com/codicocodes/dotfyle", + "description": "Find the best Neovim plugins", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "1,364", - "forks": "117", - "addStars": "0", + "stars": "749", + "forks": "32", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1073174?s=40&v=4", - "name": "vkurko", - "url": "https://github.com/vkurko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/362092?s=40&v=4", - "name": "mweimerskirch", - "url": "https://github.com/mweimerskirch" + "avatar": "https://avatars.githubusercontent.com/u/76068197?s=40&v=4", + "name": "codicocodes", + "url": "https://github.com/codicocodes" }, { - "avatar": "https://avatars.githubusercontent.com/u/24477241?s=40&v=4", - "name": "mrvnklm", - "url": "https://github.com/mrvnklm" + "avatar": "https://avatars.githubusercontent.com/u/1466420?s=40&v=4", + "name": "bennypowers", + "url": "https://github.com/bennypowers" }, { - "avatar": "https://avatars.githubusercontent.com/u/416356?s=40&v=4", - "name": "ademaro", - "url": "https://github.com/ademaro" + "avatar": "https://avatars.githubusercontent.com/u/24854248?s=40&v=4", + "name": "echasnovski", + "url": "https://github.com/echasnovski" }, { - "avatar": "https://avatars.githubusercontent.com/u/10963468?s=40&v=4", - "name": "moka-ayumu", - "url": "https://github.com/moka-ayumu" + "avatar": "https://avatars.githubusercontent.com/u/32055974?s=40&v=4", + "name": "neolooong", + "url": "https://github.com/neolooong" } ] }, { - "title": "BetterDiscord/Installer", - "url": "https://github.com/BetterDiscord/Installer", - "description": "A simple standalone program which automates the installation, removal and maintenance of BetterDiscord.", + "title": "sveltejs/svelte.dev", + "url": "https://github.com/sveltejs/svelte.dev", + "description": "The Svelte omnisite", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "1,771", - "forks": "188", + "stars": "111", + "forks": "69", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6865942?s=40&v=4", - "name": "zerebos", - "url": "https://github.com/zerebos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42101043?s=40&v=4", - "name": "Tropix126", - "url": "https://github.com/Tropix126" + "avatar": "https://avatars.githubusercontent.com/u/1162160?s=40&v=4", + "name": "Rich-Harris", + "url": "https://github.com/Rich-Harris" }, { - "avatar": "https://avatars.githubusercontent.com/u/5641607?s=40&v=4", - "name": "QbDesu", - "url": "https://github.com/QbDesu" + "avatar": "https://avatars.githubusercontent.com/u/5968653?s=40&v=4", + "name": "dummdidumm", + "url": "https://github.com/dummdidumm" }, { - "avatar": "https://avatars.githubusercontent.com/u/18194808?s=40&v=4", - "name": "Inve1951", - "url": "https://github.com/Inve1951" + "avatar": "https://avatars.githubusercontent.com/u/322311?s=40&v=4", + "name": "benmccann", + "url": "https://github.com/benmccann" }, { - "avatar": "https://avatars.githubusercontent.com/u/20140992?s=40&v=4", - "name": "cranberry3148", - "url": "https://github.com/cranberry3148" + "avatar": "https://avatars.githubusercontent.com/u/1519870?s=40&v=4", + "name": "trueadm", + "url": "https://github.com/trueadm" } ] }, @@ -402,7 +456,7 @@ "description": "A Radio Script for Fivem Server", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "113", + "stars": "114", "forks": "44", "addStars": "0", "contributors": [ @@ -434,93 +488,88 @@ ] }, { - "title": "techniq/layerchart", - "url": "https://github.com/techniq/layerchart", - "description": "Composable Svelte chart components to build a wide range of visualizations", + "title": "mhkeller/layercake", + "url": "https://github.com/mhkeller/layercake", + "description": "graphics framework for sveltejs", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "662", - "forks": "12", - "addStars": "0", + "stars": "1,437", + "forks": "31", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/177476?s=40&v=4", - "name": "techniq", - "url": "https://github.com/techniq" + "avatar": "https://avatars.githubusercontent.com/u/498744?s=40&v=4", + "name": "mhkeller", + "url": "https://github.com/mhkeller" }, { - "avatar": "https://avatars.githubusercontent.com/u/92231?s=40&v=4", - "name": "mattlangeman", - "url": "https://github.com/mattlangeman" + "avatar": "https://avatars.githubusercontent.com/u/198537?s=40&v=4", + "name": "rgieseke", + "url": "https://github.com/rgieseke" }, { - "avatar": "https://avatars.githubusercontent.com/u/138017?s=40&v=4", - "name": "regexident", - "url": "https://github.com/regexident" + "avatar": "https://avatars.githubusercontent.com/u/79704945?s=40&v=4", + "name": "jtrim-ons", + "url": "https://github.com/jtrim-ons" }, { - "avatar": "https://avatars.githubusercontent.com/u/1369558?s=40&v=4", - "name": "dimfeld", - "url": "https://github.com/dimfeld" + "avatar": "https://avatars.githubusercontent.com/u/177476?s=40&v=4", + "name": "techniq", + "url": "https://github.com/techniq" } ] }, { - "title": "engageintellect/spatz-2", - "url": "https://github.com/engageintellect/spatz-2", - "description": "just like spatz... but for svelte5, with shadcn-svelte, svelte-animations, svelte-superforms, stripe payments, subscriptions, content-filtering, and more.", + "title": "GoodiesHQ/headscale-admin", + "url": "https://github.com/GoodiesHQ/headscale-admin", + "description": "Admin Web Interface for juanfont/headscale", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "155", - "forks": "13", - "addStars": "1", + "stars": "508", + "forks": "38", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/61082194?s=40&v=4", - "name": "engageintellect", - "url": "https://github.com/engageintellect" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5677408?s=40&v=4", - "name": "avarun42", - "url": "https://github.com/avarun42" + "avatar": "https://avatars.githubusercontent.com/u/4576046?s=40&v=4", + "name": "GoodiesHQ", + "url": "https://github.com/GoodiesHQ" }, { - "avatar": "https://avatars.githubusercontent.com/u/26350053?s=40&v=4", - "name": "ak4zh", - "url": "https://github.com/ak4zh" + "avatar": "https://avatars.githubusercontent.com/u/15041994?s=40&v=4", + "name": "bigbozza", + "url": "https://github.com/bigbozza" } ] }, { - "title": "mhkeller/layercake", - "url": "https://github.com/mhkeller/layercake", - "description": "graphics framework for sveltejs", + "title": "Figma-Linux/figma-linux", + "url": "https://github.com/Figma-Linux/figma-linux", + "description": "Figma is the first interface design tool based in the browser, making it easier for teams to create software. Join us in https://t.me/figma_linux", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "1,436", - "forks": "31", + "stars": "2,732", + "forks": "166", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/498744?s=40&v=4", - "name": "mhkeller", - "url": "https://github.com/mhkeller" + "avatar": "https://avatars.githubusercontent.com/u/20404541?s=40&v=4", + "name": "ChugunovRoman", + "url": "https://github.com/ChugunovRoman" }, { - "avatar": "https://avatars.githubusercontent.com/u/198537?s=40&v=4", - "name": "rgieseke", - "url": "https://github.com/rgieseke" + "avatar": "https://avatars.githubusercontent.com/u/76901?s=40&v=4", + "name": "ricochet1k", + "url": "https://github.com/ricochet1k" }, { - "avatar": "https://avatars.githubusercontent.com/u/79704945?s=40&v=4", - "name": "jtrim-ons", - "url": "https://github.com/jtrim-ons" + "avatar": "https://avatars.githubusercontent.com/u/74385?s=40&v=4", + "name": "rstacruz", + "url": "https://github.com/rstacruz" }, { - "avatar": "https://avatars.githubusercontent.com/u/177476?s=40&v=4", - "name": "techniq", - "url": "https://github.com/techniq" + "avatar": "https://avatars.githubusercontent.com/u/27908024?s=40&v=4", + "name": "jannuary", + "url": "https://github.com/jannuary" } ] }, @@ -560,43 +609,6 @@ "url": "https://github.com/anarion80" } ] - }, - { - "title": "fireship-io/fireship.io", - "url": "https://github.com/fireship-io/fireship.io", - "description": "Build and ship your app faster https://fireship.io", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "3,594", - "forks": "1,323", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/10172199?s=40&v=4", - "name": "codediodeio", - "url": "https://github.com/codediodeio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32575328?s=40&v=4", - "name": "ryzizub", - "url": "https://github.com/ryzizub" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59410571?s=40&v=4", - "name": "daspartho", - "url": "https://github.com/daspartho" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58617435?s=40&v=4", - "name": "klutchdev", - "url": "https://github.com/klutchdev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8985933?s=40&v=4", - "name": "marcjulian", - "url": "https://github.com/marcjulian" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/svelte.xml b/data/daily/svelte.xml index 4d61031532cf..fe157f7b5b88 100644 --- a/data/daily/svelte.xml +++ b/data/daily/svelte.xml @@ -3,7 +3,7 @@ GitHub Svelte Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Svelte Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT imputnet/cobalt https://github.com/imputnet/cobalt @@ -11,8 +11,8 @@ https://github.com/imputnet/cobalt Svelte #ff3e00 - 17,394 - 1,424 + 17,403 + 1,425 50 @@ -49,7 +49,7 @@ https://github.com/dbgate/dbgate Svelte #ff3e00 - 5,467 + 5,478 321 19 @@ -75,6 +75,44 @@ + + saadeghi/daisyui + https://github.com/saadeghi/daisyui + 🌼 🌼 🌼 🌼 🌼  The most popular, free and open-source Tailwind CSS component library + https://github.com/saadeghi/daisyui + Svelte + #ff3e00 + 34,179 + 1,306 + 20 + + + https://avatars.githubusercontent.com/u/7342023?s=40&v=4 + saadeghi + https://github.com/saadeghi + + + https://avatars.githubusercontent.com/u/1448460?s=40&v=4 + yoshi6jp + https://github.com/yoshi6jp + + + https://avatars.githubusercontent.com/u/1459553?s=40&v=4 + Avaray + https://github.com/Avaray + + + https://avatars.githubusercontent.com/u/74139498?s=40&v=4 + gurgunday + https://github.com/gurgunday + + + https://avatars.githubusercontent.com/u/63882847?s=40&v=4 + Nekxio + https://github.com/Nekxio + + + ThaUnknown/miru https://github.com/ThaUnknown/miru @@ -83,7 +121,7 @@ Svelte #ff3e00 2,673 - 142 + 143 6 @@ -114,73 +152,134 @@ - huntabyte/shadcn-svelte - https://github.com/huntabyte/shadcn-svelte - shadcn/ui, but for Svelte. ✨ - https://github.com/huntabyte/shadcn-svelte + chroxify/haptic + https://github.com/chroxify/haptic + A new local-first, privacy-focused and open-source home for your markdown notes + https://github.com/chroxify/haptic Svelte #ff3e00 - 5,515 - 341 - 4 + 994 + 19 + 69 - https://avatars.githubusercontent.com/u/64506580?s=40&v=4 - huntabyte - https://github.com/huntabyte + https://avatars.githubusercontent.com/u/65873518?s=40&v=4 + chroxify + https://github.com/chroxify - https://avatars.githubusercontent.com/u/31664583?s=40&v=4 - AdrianGonz97 - https://github.com/AdrianGonz97 + https://avatars.githubusercontent.com/u/43832467?s=40&v=4 + Waaiez + https://github.com/Waaiez - https://avatars.githubusercontent.com/u/87414827?s=40&v=4 - shyakadavis - https://github.com/shyakadavis + https://avatars.githubusercontent.com/u/60001922?s=40&v=4 + dpi0 + https://github.com/dpi0 + + + + + Muhammed-Rahif/Notpad + https://github.com/Muhammed-Rahif/Notpad + The ordinary notepad that everyone know, but it's rich! + https://github.com/Muhammed-Rahif/Notpad + Svelte + #ff3e00 + 57 + 32 + 2 + + + https://avatars.githubusercontent.com/u/73386156?s=40&v=4 + Muhammed-Rahif + https://github.com/Muhammed-Rahif + + + https://avatars.githubusercontent.com/u/137679921?s=40&v=4 + Shifin-Malik + https://github.com/Shifin-Malik - https://avatars.githubusercontent.com/u/57641199?s=40&v=4 - HubbeDev - https://github.com/HubbeDev + https://avatars.githubusercontent.com/u/167967535?s=40&v=4 + sinankambran + https://github.com/sinankambran + + + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot - saadeghi/daisyui - https://github.com/saadeghi/daisyui - 🌼 🌼 🌼 🌼 🌼  The most popular, free and open-source Tailwind CSS component library - https://github.com/saadeghi/daisyui + cupcakearmy/cryptgeon + https://github.com/cupcakearmy/cryptgeon + cryptgeon is a secure, open source note / file sharing service inspired by PrivNote written in rust & svelte. + https://github.com/cupcakearmy/cryptgeon Svelte #ff3e00 - 34,174 - 1,306 - 20 + 851 + 89 + 2 - https://avatars.githubusercontent.com/u/7342023?s=40&v=4 - saadeghi - https://github.com/saadeghi + https://avatars.githubusercontent.com/u/14948823?s=40&v=4 + cupcakearmy + https://github.com/cupcakearmy - https://avatars.githubusercontent.com/u/1448460?s=40&v=4 - yoshi6jp - https://github.com/yoshi6jp + https://avatars.githubusercontent.com/u/48946?s=40&v=4 + KTamas + https://github.com/KTamas - https://avatars.githubusercontent.com/u/1459553?s=40&v=4 - Avaray - https://github.com/Avaray + https://avatars.githubusercontent.com/u/106538?s=40&v=4 + cbin + https://github.com/cbin - https://avatars.githubusercontent.com/u/74139498?s=40&v=4 - gurgunday - https://github.com/gurgunday + https://avatars.githubusercontent.com/u/4387995?s=40&v=4 + mguegan + https://github.com/mguegan - https://avatars.githubusercontent.com/u/63882847?s=40&v=4 - Nekxio - https://github.com/Nekxio + https://avatars.githubusercontent.com/u/42101257?s=40&v=4 + Rooyca + https://github.com/Rooyca + + + + + pluja/whishper + https://github.com/pluja/whishper + Transcribe any audio to text, translate and edit subtitles 100% locally with a web UI. Powered by whisper models! + https://github.com/pluja/whishper + Svelte + #ff3e00 + 1,644 + 93 + 6 + + + https://avatars.githubusercontent.com/u/64632615?s=40&v=4 + pluja + https://github.com/pluja + + + https://avatars.githubusercontent.com/u/10514959?s=40&v=4 + JonasCz + https://github.com/JonasCz + + + https://avatars.githubusercontent.com/u/25672277?s=40&v=4 + hundehausen + https://github.com/hundehausen + + + https://avatars.githubusercontent.com/u/1082033?s=40&v=4 + miketwo + https://github.com/miketwo @@ -261,149 +360,106 @@ - sveltejs/svelte.dev - https://github.com/sveltejs/svelte.dev - The Svelte omnisite - https://github.com/sveltejs/svelte.dev - Svelte - #ff3e00 - 111 - 68 - 1 - - - https://avatars.githubusercontent.com/u/1162160?s=40&v=4 - Rich-Harris - https://github.com/Rich-Harris - - - https://avatars.githubusercontent.com/u/5968653?s=40&v=4 - dummdidumm - https://github.com/dummdidumm - - - https://avatars.githubusercontent.com/u/322311?s=40&v=4 - benmccann - https://github.com/benmccann - - - https://avatars.githubusercontent.com/u/1519870?s=40&v=4 - trueadm - https://github.com/trueadm - - - - - gurucomputing/headscale-ui - https://github.com/gurucomputing/headscale-ui - A web frontend for the headscale Tailscale-compatible coordination server - https://github.com/gurucomputing/headscale-ui + satisfactorymodding/SatisfactoryModManager + https://github.com/satisfactorymodding/SatisfactoryModManager + A mod manager for easy installation of mods and modloader for Satisfactory + https://github.com/satisfactorymodding/SatisfactoryModManager Svelte #ff3e00 - 1,779 - 127 + 398 + 79 0 - https://avatars.githubusercontent.com/u/45954722?s=40&v=4 - routerino - https://github.com/routerino + https://avatars.githubusercontent.com/u/19414258?s=40&v=4 + mircearoata + https://github.com/mircearoata - https://avatars.githubusercontent.com/u/10323274?s=40&v=4 - xzzpig - https://github.com/xzzpig + https://avatars.githubusercontent.com/u/25965766?s=40&v=4 + budak7273 + https://github.com/budak7273 - https://avatars.githubusercontent.com/u/1464185?s=40&v=4 - adrum - https://github.com/adrum + https://avatars.githubusercontent.com/u/1759390?s=40&v=4 + Vilsol + https://github.com/Vilsol - https://avatars.githubusercontent.com/u/63209974?s=40&v=4 - fedefreue - https://github.com/fedefreue + https://avatars.githubusercontent.com/u/10092376?s=40&v=4 + nick-strohm + https://github.com/nick-strohm - https://avatars.githubusercontent.com/u/213140?s=40&v=4 - Niek - https://github.com/Niek + https://avatars.githubusercontent.com/u/1315840?s=40&v=4 + bunjiboys + https://github.com/bunjiboys - vkurko/calendar - https://github.com/vkurko/calendar - Full-sized drag & drop JavaScript event calendar with resource & timeline views - https://github.com/vkurko/calendar + codicocodes/dotfyle + https://github.com/codicocodes/dotfyle + Find the best Neovim plugins + https://github.com/codicocodes/dotfyle Svelte #ff3e00 - 1,364 - 117 - 0 + 749 + 32 + 2 - https://avatars.githubusercontent.com/u/1073174?s=40&v=4 - vkurko - https://github.com/vkurko + https://avatars.githubusercontent.com/u/76068197?s=40&v=4 + codicocodes + https://github.com/codicocodes - https://avatars.githubusercontent.com/u/362092?s=40&v=4 - mweimerskirch - https://github.com/mweimerskirch + https://avatars.githubusercontent.com/u/1466420?s=40&v=4 + bennypowers + https://github.com/bennypowers - https://avatars.githubusercontent.com/u/24477241?s=40&v=4 - mrvnklm - https://github.com/mrvnklm + https://avatars.githubusercontent.com/u/24854248?s=40&v=4 + echasnovski + https://github.com/echasnovski - https://avatars.githubusercontent.com/u/416356?s=40&v=4 - ademaro - https://github.com/ademaro - - - https://avatars.githubusercontent.com/u/10963468?s=40&v=4 - moka-ayumu - https://github.com/moka-ayumu + https://avatars.githubusercontent.com/u/32055974?s=40&v=4 + neolooong + https://github.com/neolooong - BetterDiscord/Installer - https://github.com/BetterDiscord/Installer - A simple standalone program which automates the installation, removal and maintenance of BetterDiscord. - https://github.com/BetterDiscord/Installer + sveltejs/svelte.dev + https://github.com/sveltejs/svelte.dev + The Svelte omnisite + https://github.com/sveltejs/svelte.dev Svelte #ff3e00 - 1,771 - 188 + 111 + 69 1 - https://avatars.githubusercontent.com/u/6865942?s=40&v=4 - zerebos - https://github.com/zerebos - - - https://avatars.githubusercontent.com/u/42101043?s=40&v=4 - Tropix126 - https://github.com/Tropix126 + https://avatars.githubusercontent.com/u/1162160?s=40&v=4 + Rich-Harris + https://github.com/Rich-Harris - https://avatars.githubusercontent.com/u/5641607?s=40&v=4 - QbDesu - https://github.com/QbDesu + https://avatars.githubusercontent.com/u/5968653?s=40&v=4 + dummdidumm + https://github.com/dummdidumm - https://avatars.githubusercontent.com/u/18194808?s=40&v=4 - Inve1951 - https://github.com/Inve1951 + https://avatars.githubusercontent.com/u/322311?s=40&v=4 + benmccann + https://github.com/benmccann - https://avatars.githubusercontent.com/u/20140992?s=40&v=4 - cranberry3148 - https://github.com/cranberry3148 + https://avatars.githubusercontent.com/u/1519870?s=40&v=4 + trueadm + https://github.com/trueadm @@ -414,7 +470,7 @@ https://github.com/SOH69/mm_radio Svelte #ff3e00 - 113 + 114 44 0 @@ -446,96 +502,91 @@ - techniq/layerchart - https://github.com/techniq/layerchart - Composable Svelte chart components to build a wide range of visualizations - https://github.com/techniq/layerchart + mhkeller/layercake + https://github.com/mhkeller/layercake + graphics framework for sveltejs + https://github.com/mhkeller/layercake Svelte #ff3e00 - 662 - 12 - 0 + 1,437 + 31 + 2 - https://avatars.githubusercontent.com/u/177476?s=40&v=4 - techniq - https://github.com/techniq + https://avatars.githubusercontent.com/u/498744?s=40&v=4 + mhkeller + https://github.com/mhkeller - https://avatars.githubusercontent.com/u/92231?s=40&v=4 - mattlangeman - https://github.com/mattlangeman + https://avatars.githubusercontent.com/u/198537?s=40&v=4 + rgieseke + https://github.com/rgieseke - https://avatars.githubusercontent.com/u/138017?s=40&v=4 - regexident - https://github.com/regexident + https://avatars.githubusercontent.com/u/79704945?s=40&v=4 + jtrim-ons + https://github.com/jtrim-ons - https://avatars.githubusercontent.com/u/1369558?s=40&v=4 - dimfeld - https://github.com/dimfeld + https://avatars.githubusercontent.com/u/177476?s=40&v=4 + techniq + https://github.com/techniq - engageintellect/spatz-2 - https://github.com/engageintellect/spatz-2 - just like spatz... but for svelte5, with shadcn-svelte, svelte-animations, svelte-superforms, stripe payments, subscriptions, content-filtering, and more. - https://github.com/engageintellect/spatz-2 + GoodiesHQ/headscale-admin + https://github.com/GoodiesHQ/headscale-admin + Admin Web Interface for juanfont/headscale + https://github.com/GoodiesHQ/headscale-admin Svelte #ff3e00 - 155 - 13 - 1 + 508 + 38 + 2 - https://avatars.githubusercontent.com/u/61082194?s=40&v=4 - engageintellect - https://github.com/engageintellect - - - https://avatars.githubusercontent.com/u/5677408?s=40&v=4 - avarun42 - https://github.com/avarun42 + https://avatars.githubusercontent.com/u/4576046?s=40&v=4 + GoodiesHQ + https://github.com/GoodiesHQ - https://avatars.githubusercontent.com/u/26350053?s=40&v=4 - ak4zh - https://github.com/ak4zh + https://avatars.githubusercontent.com/u/15041994?s=40&v=4 + bigbozza + https://github.com/bigbozza - mhkeller/layercake - https://github.com/mhkeller/layercake - graphics framework for sveltejs - https://github.com/mhkeller/layercake + Figma-Linux/figma-linux + https://github.com/Figma-Linux/figma-linux + Figma is the first interface design tool based in the browser, making it easier for teams to create software. Join us in https://t.me/figma_linux + https://github.com/Figma-Linux/figma-linux Svelte #ff3e00 - 1,436 - 31 + 2,732 + 166 2 - https://avatars.githubusercontent.com/u/498744?s=40&v=4 - mhkeller - https://github.com/mhkeller + https://avatars.githubusercontent.com/u/20404541?s=40&v=4 + ChugunovRoman + https://github.com/ChugunovRoman - https://avatars.githubusercontent.com/u/198537?s=40&v=4 - rgieseke - https://github.com/rgieseke + https://avatars.githubusercontent.com/u/76901?s=40&v=4 + ricochet1k + https://github.com/ricochet1k - https://avatars.githubusercontent.com/u/79704945?s=40&v=4 - jtrim-ons - https://github.com/jtrim-ons + https://avatars.githubusercontent.com/u/74385?s=40&v=4 + rstacruz + https://github.com/rstacruz - https://avatars.githubusercontent.com/u/177476?s=40&v=4 - techniq - https://github.com/techniq + https://avatars.githubusercontent.com/u/27908024?s=40&v=4 + jannuary + https://github.com/jannuary @@ -577,43 +628,5 @@ - - fireship-io/fireship.io - https://github.com/fireship-io/fireship.io - Build and ship your app faster https://fireship.io - https://github.com/fireship-io/fireship.io - Svelte - #ff3e00 - 3,594 - 1,323 - 0 - - - https://avatars.githubusercontent.com/u/10172199?s=40&v=4 - codediodeio - https://github.com/codediodeio - - - https://avatars.githubusercontent.com/u/32575328?s=40&v=4 - ryzizub - https://github.com/ryzizub - - - https://avatars.githubusercontent.com/u/59410571?s=40&v=4 - daspartho - https://github.com/daspartho - - - https://avatars.githubusercontent.com/u/58617435?s=40&v=4 - klutchdev - https://github.com/klutchdev - - - https://avatars.githubusercontent.com/u/8985933?s=40&v=4 - marcjulian - https://github.com/marcjulian - - - \ No newline at end of file diff --git a/data/daily/svg.json b/data/daily/svg.json index a30eef53f310..740c128e6b56 100644 --- a/data/daily/svg.json +++ b/data/daily/svg.json @@ -2,7 +2,7 @@ "title": "GitHub Svg Languages Daily Trending", "description": "Daily Trending of Svg Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tandpfun/skill-icons", @@ -10,8 +10,8 @@ "description": "Showcase your skills on your Github readme or resumé with ease ✨", "language": "SVG", "languageColor": "#ff9900", - "stars": "7,856", - "forks": "1,088", + "stars": "7,858", + "forks": "1,089", "addStars": "3", "contributors": [ { @@ -40,6 +40,33 @@ "url": "https://github.com/Muh-Hamza-99" } ] + }, + { + "title": "n3r4zzurr0/svg-spinners", + "url": "https://github.com/n3r4zzurr0/svg-spinners", + "description": "A collection of 24 x 24 dp SVG spinners! (CSS & SMIL)", + "language": "SVG", + "languageColor": "#ff9900", + "stars": "6,167", + "forks": "831", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/15423974?s=40&v=4", + "name": "n3r4zzurr0", + "url": "https://github.com/n3r4zzurr0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16227832?s=40&v=4", + "name": "claviering", + "url": "https://github.com/claviering" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/55143799?s=40&v=4", + "name": "ephraimduncan", + "url": "https://github.com/ephraimduncan" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/svg.xml b/data/daily/svg.xml index 0f568ad195ca..18ae562da1b2 100644 --- a/data/daily/svg.xml +++ b/data/daily/svg.xml @@ -3,7 +3,7 @@ GitHub Svg Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Svg Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tandpfun/skill-icons https://github.com/tandpfun/skill-icons @@ -11,8 +11,8 @@ https://github.com/tandpfun/skill-icons SVG #ff9900 - 7,856 - 1,088 + 7,858 + 1,089 3 @@ -42,5 +42,33 @@ + + n3r4zzurr0/svg-spinners + https://github.com/n3r4zzurr0/svg-spinners + A collection of 24 x 24 dp SVG spinners! (CSS & SMIL) + https://github.com/n3r4zzurr0/svg-spinners + SVG + #ff9900 + 6,167 + 831 + 1 + + + https://avatars.githubusercontent.com/u/15423974?s=40&v=4 + n3r4zzurr0 + https://github.com/n3r4zzurr0 + + + https://avatars.githubusercontent.com/u/16227832?s=40&v=4 + claviering + https://github.com/claviering + + + https://avatars.githubusercontent.com/u/55143799?s=40&v=4 + ephraimduncan + https://github.com/ephraimduncan + + + \ No newline at end of file diff --git a/data/daily/sway.json b/data/daily/sway.json index ade92c7b29c2..d7465bb8b60a 100644 --- a/data/daily/sway.json +++ b/data/daily/sway.json @@ -2,6 +2,6 @@ "title": "GitHub Sway Languages Daily Trending", "description": "Daily Trending of Sway Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sway.xml b/data/daily/sway.xml index bb02e2ddcb74..072c8167236a 100644 --- a/data/daily/sway.xml +++ b/data/daily/sway.xml @@ -3,6 +3,6 @@ GitHub Sway Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sway Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/sweave.json b/data/daily/sweave.json index 464d06dbc5ba..a0639828074e 100644 --- a/data/daily/sweave.json +++ b/data/daily/sweave.json @@ -2,6 +2,6 @@ "title": "GitHub Sweave Languages Daily Trending", "description": "Daily Trending of Sweave Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/sweave.xml b/data/daily/sweave.xml index 5f0190b2158b..17c5fca048d2 100644 --- a/data/daily/sweave.xml +++ b/data/daily/sweave.xml @@ -3,6 +3,6 @@ GitHub Sweave Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Sweave Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/swift.json b/data/daily/swift.json index 600c05c9970d..151c056a6f05 100644 --- a/data/daily/swift.json +++ b/data/daily/swift.json @@ -2,52 +2,15 @@ "title": "GitHub Swift Languages Daily Trending", "description": "Daily Trending of Swift Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "MrKai77/Loop", - "url": "https://github.com/MrKai77/Loop", - "description": "Window management made elegant.", - "language": "Swift", - "languageColor": "#F05138", - "stars": "7,073", - "forks": "143", - "addStars": "19", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/68963405?s=40&v=4", - "name": "MrKai77", - "url": "https://github.com/MrKai77" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/103985728?s=40&v=4", - "name": "SenpaiHunters", - "url": "https://github.com/SenpaiHunters" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68179735?s=40&v=4", - "name": "KrLite", - "url": "https://github.com/KrLite" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6421097?s=40&v=4", - "name": "ShawnZhong", - "url": "https://github.com/ShawnZhong" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/91533278?s=40&v=4", - "name": "cyborg-joshi", - "url": "https://github.com/cyborg-joshi" - } - ] - }, { "title": "nikitabobko/AeroSpace", "url": "https://github.com/nikitabobko/AeroSpace", "description": "AeroSpace is an i3-like tiling window manager for macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "7,919", + "stars": "7,946", "forks": "129", "addStars": "106", "contributors": [ @@ -79,71 +42,113 @@ ] }, { - "title": "mozilla-mobile/firefox-ios", - "url": "https://github.com/mozilla-mobile/firefox-ios", - "description": "Firefox for iOS", + "title": "Whisky-App/Whisky", + "url": "https://github.com/Whisky-App/Whisky", + "description": "A modern Wine wrapper for macOS built with SwiftUI", "language": "Swift", "languageColor": "#F05138", - "stars": "12,247", - "forks": "2,941", - "addStars": "5", + "stars": "12,811", + "forks": "272", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/91722?s=40&v=4", - "name": "rnewman", - "url": "https://github.com/rnewman" + "avatar": "https://avatars.githubusercontent.com/u/42140194?s=40&v=4", + "name": "IsaacMarovitz", + "url": "https://github.com/IsaacMarovitz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23693150?s=40&v=4", + "name": "ohaiibuzzle", + "url": "https://github.com/ohaiibuzzle" }, { - "avatar": "https://avatars.githubusercontent.com/u/28052?s=40&v=4", - "name": "st3fan", - "url": "https://github.com/st3fan" + "avatar": "https://avatars.githubusercontent.com/u/5073663?s=40&v=4", + "name": "Mika412", + "url": "https://github.com/Mika412" }, { - "avatar": "https://avatars.githubusercontent.com/u/11338480?s=40&v=4", - "name": "lmarceau", - "url": "https://github.com/lmarceau" + "avatar": "https://avatars.githubusercontent.com/u/909331?s=40&v=4", + "name": "cuba", + "url": "https://github.com/cuba" }, { - "avatar": "https://avatars.githubusercontent.com/u/1897507?s=40&v=4", - "name": "isabelrios", - "url": "https://github.com/isabelrios" + "avatar": "https://avatars.githubusercontent.com/u/5721147?s=40&v=4", + "name": "alexsch01", + "url": "https://github.com/alexsch01" } ] }, { - "title": "iina/iina", - "url": "https://github.com/iina/iina", - "description": "The modern video player for macOS.", + "title": "jellyfin/Swiftfin", + "url": "https://github.com/jellyfin/Swiftfin", + "description": "Native Jellyfin Client for iOS and tvOS", "language": "Swift", "languageColor": "#F05138", - "stars": "38,384", - "forks": "2,575", - "addStars": "14", + "stars": "2,604", + "forks": "282", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8478049?s=40&v=4", - "name": "lhc70000", - "url": "https://github.com/lhc70000" + "avatar": "https://avatars.githubusercontent.com/u/20747774?s=40&v=4", + "name": "LePips", + "url": "https://github.com/LePips" }, { - "avatar": "https://avatars.githubusercontent.com/u/20237141?s=40&v=4", - "name": "uiryuu", - "url": "https://github.com/uiryuu" + "avatar": "https://avatars.githubusercontent.com/u/34462061?s=40&v=4", + "name": "acvigue", + "url": "https://github.com/acvigue" }, { - "avatar": "https://avatars.githubusercontent.com/u/86170219?s=40&v=4", - "name": "low-batt", - "url": "https://github.com/low-batt" + "avatar": "https://avatars.githubusercontent.com/u/16532526?s=40&v=4", + "name": "PangMo5", + "url": "https://github.com/PangMo5" }, { - "avatar": "https://avatars.githubusercontent.com/u/13786931?s=40&v=4", - "name": "saagarjha", - "url": "https://github.com/saagarjha" + "avatar": "https://avatars.githubusercontent.com/u/125045?s=40&v=4", + "name": "jhays", + "url": "https://github.com/jhays" }, { - "avatar": "https://avatars.githubusercontent.com/u/2213815?s=40&v=4", - "name": "svobs", - "url": "https://github.com/svobs" + "avatar": "https://avatars.githubusercontent.com/u/4700006?s=40&v=4", + "name": "WWWesten", + "url": "https://github.com/WWWesten" + } + ] + }, + { + "title": "MrKai77/Loop", + "url": "https://github.com/MrKai77/Loop", + "description": "Window management made elegant.", + "language": "Swift", + "languageColor": "#F05138", + "stars": "7,080", + "forks": "143", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/68963405?s=40&v=4", + "name": "MrKai77", + "url": "https://github.com/MrKai77" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/103985728?s=40&v=4", + "name": "SenpaiHunters", + "url": "https://github.com/SenpaiHunters" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/68179735?s=40&v=4", + "name": "KrLite", + "url": "https://github.com/KrLite" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6421097?s=40&v=4", + "name": "ShawnZhong", + "url": "https://github.com/ShawnZhong" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/91533278?s=40&v=4", + "name": "cyborg-joshi", + "url": "https://github.com/cyborg-joshi" } ] }, @@ -153,7 +158,7 @@ "description": "💧 A server-side Swift HTTP web framework.", "language": "Swift", "languageColor": "#F05138", - "stars": "24,594", + "stars": "24,595", "forks": "1,448", "addStars": "2", "contributors": [ @@ -185,500 +190,584 @@ ] }, { - "title": "sindresorhus/KeyboardShortcuts", - "url": "https://github.com/sindresorhus/KeyboardShortcuts", - "description": "⌨️ Add user-customizable global keyboard shortcuts (hotkeys) to your macOS app in minutes", + "title": "argmaxinc/WhisperKit", + "url": "https://github.com/argmaxinc/WhisperKit", + "description": "On-device Speech Recognition for Apple Silicon", "language": "Swift", "languageColor": "#F05138", - "stars": "2,024", - "forks": "186", - "addStars": "1", + "stars": "3,958", + "forks": "334", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/170270?s=40&v=4", - "name": "sindresorhus", - "url": "https://github.com/sindresorhus" + "avatar": "https://avatars.githubusercontent.com/u/1981179?s=40&v=4", + "name": "ZachNagengast", + "url": "https://github.com/ZachNagengast" }, { - "avatar": "https://avatars.githubusercontent.com/u/1706695?s=40&v=4", - "name": "pvieito", - "url": "https://github.com/pvieito" + "avatar": "https://avatars.githubusercontent.com/u/487331?s=40&v=4", + "name": "jkrukowski", + "url": "https://github.com/jkrukowski" }, { - "avatar": "https://avatars.githubusercontent.com/u/17615229?s=40&v=4", - "name": "hank121314", - "url": "https://github.com/hank121314" + "avatar": "https://avatars.githubusercontent.com/u/8284016?s=40&v=4", + "name": "finnvoor", + "url": "https://github.com/finnvoor" }, { - "avatar": "https://avatars.githubusercontent.com/u/411908?s=40&v=4", - "name": "gpoitch", - "url": "https://github.com/gpoitch" + "avatar": "https://avatars.githubusercontent.com/u/64497909?s=40&v=4", + "name": "atiorh", + "url": "https://github.com/atiorh" }, { - "avatar": "https://avatars.githubusercontent.com/u/32717?s=40&v=4", - "name": "kant", - "url": "https://github.com/kant" + "avatar": "https://avatars.githubusercontent.com/u/336449?s=40&v=4", + "name": "cgfarmer4", + "url": "https://github.com/cgfarmer4" } ] }, { - "title": "XITRIX/iTorrent", - "url": "https://github.com/XITRIX/iTorrent", - "description": "Torrent client for iOS 16+", + "title": "whoeevee/EeveeSpotify", + "url": "https://github.com/whoeevee/EeveeSpotify", + "description": "A tweak to get Spotify Premium for free, just like Spotilife", "language": "Swift", "languageColor": "#F05138", - "stars": "2,181", - "forks": "202", - "addStars": "3", + "stars": "3,014", + "forks": "221", + "addStars": "23", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9553519?s=40&v=4", - "name": "XITRIX", - "url": "https://github.com/XITRIX" + "avatar": "https://avatars.githubusercontent.com/u/94960726?s=40&v=4", + "name": "whoeevee", + "url": "https://github.com/whoeevee" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/109937991?s=40&v=4", + "name": "asdfzxcvbn", + "url": "https://github.com/asdfzxcvbn" }, { - "avatar": "https://avatars.githubusercontent.com/u/96150975?s=40&v=4", - "name": "Deci8BelioS", - "url": "https://github.com/Deci8BelioS" + "avatar": "https://avatars.githubusercontent.com/u/109361374?s=40&v=4", + "name": "ElliotCHEN37", + "url": "https://github.com/ElliotCHEN37" }, { - "avatar": "https://avatars.githubusercontent.com/u/125987288?s=40&v=4", - "name": "nijinekoyo", - "url": "https://github.com/nijinekoyo" + "avatar": "https://avatars.githubusercontent.com/u/178366594?s=40&v=4", + "name": "Richard-NDC", + "url": "https://github.com/Richard-NDC" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54180773?s=40&v=4", + "name": "LIKVIDATOR1337", + "url": "https://github.com/LIKVIDATOR1337" } ] }, { - "title": "italomandara/CXPatcher", - "url": "https://github.com/italomandara/CXPatcher", - "description": "A patcher to upgrade Crossover dependencies and improve compatibility", + "title": "macmade/Hot", + "url": "https://github.com/macmade/Hot", + "description": "Hot is macOS menu bar application that displays the CPU speed limit due to thermal issues.", "language": "Swift", "languageColor": "#F05138", - "stars": "1,032", - "forks": "24", + "stars": "2,393", + "forks": "93", + "addStars": "28", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/146476?s=40&v=4", + "name": "macmade", + "url": "https://github.com/macmade" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1641439?s=40&v=4", + "name": "a2sheppy", + "url": "https://github.com/a2sheppy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/379509?s=40&v=4", + "name": "alexjurkiewicz", + "url": "https://github.com/alexjurkiewicz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86646289?s=40&v=4", + "name": "ScottifyShopson", + "url": "https://github.com/ScottifyShopson" + } + ] + }, + { + "title": "apple/swift-algorithms", + "url": "https://github.com/apple/swift-algorithms", + "description": "Commonly used sequence and collection algorithms for Swift", + "language": "Swift", + "languageColor": "#F05138", + "stars": "5,991", + "forks": "441", "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12135454?s=40&v=4", - "name": "italomandara", - "url": "https://github.com/italomandara" + "avatar": "https://avatars.githubusercontent.com/u/7053374?s=40&v=4", + "name": "natecook1000", + "url": "https://github.com/natecook1000" }, { - "avatar": "https://avatars.githubusercontent.com/u/38226388?s=40&v=4", - "name": "Gcenx", - "url": "https://github.com/Gcenx" + "avatar": "https://avatars.githubusercontent.com/u/8292651?s=40&v=4", + "name": "LucianoPAlmeida", + "url": "https://github.com/LucianoPAlmeida" }, { - "avatar": "https://avatars.githubusercontent.com/u/2091312?s=40&v=4", - "name": "stonerl", - "url": "https://github.com/stonerl" + "avatar": "https://avatars.githubusercontent.com/u/963948?s=40&v=4", + "name": "mdznr", + "url": "https://github.com/mdznr" }, { - "avatar": "https://avatars.githubusercontent.com/u/47083527?s=40&v=4", - "name": "mavethee", - "url": "https://github.com/mavethee" + "avatar": "https://avatars.githubusercontent.com/u/1382565?s=40&v=4", + "name": "ollieatkinson", + "url": "https://github.com/ollieatkinson" }, { - "avatar": "https://avatars.githubusercontent.com/u/6960799?s=40&v=4", - "name": "zorikon", - "url": "https://github.com/zorikon" + "avatar": "https://avatars.githubusercontent.com/u/2904179?s=40&v=4", + "name": "timvermeulen", + "url": "https://github.com/timvermeulen" } ] }, { - "title": "pointfreeco/swift-dependencies", - "url": "https://github.com/pointfreeco/swift-dependencies", - "description": "A dependency management library inspired by SwiftUI's \"environment.\"", + "title": "lwouis/alt-tab-macos", + "url": "https://github.com/lwouis/alt-tab-macos", + "description": "Windows alt-tab on macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "1,611", - "forks": "131", - "addStars": "3", + "stars": "11,092", + "forks": "331", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/135203?s=40&v=4", - "name": "mbrandonw", - "url": "https://github.com/mbrandonw" + "avatar": "https://avatars.githubusercontent.com/u/106195?s=40&v=4", + "name": "lwouis", + "url": "https://github.com/lwouis" }, { - "avatar": "https://avatars.githubusercontent.com/u/658?s=40&v=4", - "name": "stephencelis", - "url": "https://github.com/stephencelis" + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/65520?s=40&v=4", - "name": "finestructure", - "url": "https://github.com/finestructure" + "avatar": "https://avatars.githubusercontent.com/u/77468771?s=40&v=4", + "name": "decodism", + "url": "https://github.com/decodism" }, { - "avatar": "https://avatars.githubusercontent.com/u/1288090?s=40&v=4", - "name": "oronbz", - "url": "https://github.com/oronbz" + "avatar": "https://avatars.githubusercontent.com/u/5805484?s=40&v=4", + "name": "Allsochen", + "url": "https://github.com/Allsochen" }, { - "avatar": "https://avatars.githubusercontent.com/u/35562418?s=40&v=4", - "name": "tgrapperon", - "url": "https://github.com/tgrapperon" + "avatar": "https://avatars.githubusercontent.com/u/13162840?s=40&v=4", + "name": "gingerr", + "url": "https://github.com/gingerr" } ] }, { - "title": "mrousavy/react-native-vision-camera", - "url": "https://github.com/mrousavy/react-native-vision-camera", - "description": "📸 A powerful, high-performance React Native Camera library.", + "title": "apple/swift-log", + "url": "https://github.com/apple/swift-log", + "description": "A Logging API for Swift", "language": "Swift", "languageColor": "#F05138", - "stars": "7,606", - "forks": "1,103", - "addStars": "7", + "stars": "3,578", + "forks": "299", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15199031?s=40&v=4", - "name": "mrousavy", - "url": "https://github.com/mrousavy" + "avatar": "https://avatars.githubusercontent.com/u/624238?s=40&v=4", + "name": "weissi", + "url": "https://github.com/weissi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/147247?s=40&v=4", + "name": "tomerd", + "url": "https://github.com/tomerd" }, { - "avatar": "https://avatars.githubusercontent.com/u/504909?s=40&v=4", - "name": "hirbod", - "url": "https://github.com/hirbod" + "avatar": "https://avatars.githubusercontent.com/u/120979?s=40&v=4", + "name": "ktoso", + "url": "https://github.com/ktoso" }, { - "avatar": "https://avatars.githubusercontent.com/u/4893591?s=40&v=4", - "name": "rodgomesc", - "url": "https://github.com/rodgomesc" + "avatar": "https://avatars.githubusercontent.com/u/12647725?s=40&v=4", + "name": "yim-lee", + "url": "https://github.com/yim-lee" }, { - "avatar": "https://avatars.githubusercontent.com/u/5462205?s=40&v=4", - "name": "xulihang", - "url": "https://github.com/xulihang" + "avatar": "https://avatars.githubusercontent.com/u/3491887?s=40&v=4", + "name": "FranzBusch", + "url": "https://github.com/FranzBusch" } ] }, { - "title": "yattee/yattee", - "url": "https://github.com/yattee/yattee", - "description": "Privacy oriented video player for iOS, tvOS and macOS", + "title": "seemoo-lab/openhaystack", + "url": "https://github.com/seemoo-lab/openhaystack", + "description": "Build your own 'AirTags' 🏷 today! Framework for tracking personal Bluetooth devices via Apple's massive Find My network.", "language": "Swift", "languageColor": "#F05138", - "stars": "2,701", - "forks": "100", - "addStars": "4", + "stars": "8,613", + "forks": "464", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/367484?s=40&v=4", - "name": "arekf", - "url": "https://github.com/arekf" + "avatar": "https://avatars.githubusercontent.com/u/5541715?s=40&v=4", + "name": "schmittner", + "url": "https://github.com/schmittner" }, { - "avatar": "https://avatars.githubusercontent.com/u/2091312?s=40&v=4", - "name": "stonerl", - "url": "https://github.com/stonerl" + "avatar": "https://avatars.githubusercontent.com/u/3126229?s=40&v=4", + "name": "Sn0wfreezeDev", + "url": "https://github.com/Sn0wfreezeDev" }, { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" + "avatar": "https://avatars.githubusercontent.com/u/82781994?s=40&v=4", + "name": "sebinbash", + "url": "https://github.com/sebinbash" }, { - "avatar": "https://avatars.githubusercontent.com/u/96393348?s=40&v=4", - "name": "bachig26", - "url": "https://github.com/bachig26" + "avatar": "https://avatars.githubusercontent.com/u/26263044?s=40&v=4", + "name": "MaxGranzow", + "url": "https://github.com/MaxGranzow" }, { - "avatar": "https://avatars.githubusercontent.com/u/66576141?s=40&v=4", - "name": "joaooliva", - "url": "https://github.com/joaooliva" + "avatar": "https://avatars.githubusercontent.com/u/4534203?s=40&v=4", + "name": "tomasharkema", + "url": "https://github.com/tomasharkema" } ] }, { - "title": "LoopKit/Loop", - "url": "https://github.com/LoopKit/Loop", - "description": "An automated insulin delivery app for iOS, built on LoopKit", + "title": "swiftlang/swift-syntax", + "url": "https://github.com/swiftlang/swift-syntax", + "description": "A set of Swift libraries for parsing, inspecting, generating, and transforming Swift source code.", "language": "Swift", "languageColor": "#F05138", - "stars": "1,513", - "forks": "1,304", - "addStars": "1", + "stars": "3,259", + "forks": "416", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/14649?s=40&v=4", - "name": "ps2", - "url": "https://github.com/ps2" + "avatar": "https://avatars.githubusercontent.com/u/4062178?s=40&v=4", + "name": "ahoppen", + "url": "https://github.com/ahoppen" }, { - "avatar": "https://avatars.githubusercontent.com/u/212876?s=40&v=4", - "name": "loudnate", - "url": "https://github.com/loudnate" + "avatar": "https://avatars.githubusercontent.com/u/989428?s=40&v=4", + "name": "DougGregor", + "url": "https://github.com/DougGregor" }, { - "avatar": "https://avatars.githubusercontent.com/u/152359?s=40&v=4", - "name": "nhamming", - "url": "https://github.com/nhamming" + "avatar": "https://avatars.githubusercontent.com/u/5477711?s=40&v=4", + "name": "kimdv", + "url": "https://github.com/kimdv" }, { - "avatar": "https://avatars.githubusercontent.com/u/2769158?s=40&v=4", - "name": "Camji55", - "url": "https://github.com/Camji55" + "avatar": "https://avatars.githubusercontent.com/u/142081?s=40&v=4", + "name": "rintaro", + "url": "https://github.com/rintaro" }, { - "avatar": "https://avatars.githubusercontent.com/u/25555889?s=40&v=4", - "name": "mpangburn", - "url": "https://github.com/mpangburn" + "avatar": "https://avatars.githubusercontent.com/u/1896383?s=40&v=4", + "name": "CodaFi", + "url": "https://github.com/CodaFi" } ] }, { - "title": "khcrysalis/Feather", - "url": "https://github.com/khcrysalis/Feather", - "description": "Feather is a free on-device iOS application manager/installer, using certificates part of the Apple Developer Program.", + "title": "utmapp/UTM", + "url": "https://github.com/utmapp/UTM", + "description": "Virtual machines for iOS and macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "1,109", - "forks": "62", - "addStars": "4", + "stars": "27,173", + "forks": "1,344", + "addStars": "17", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/97859147?s=40&v=4", - "name": "khcrysalis", - "url": "https://github.com/khcrysalis" + "avatar": "https://avatars.githubusercontent.com/u/50960678?s=40&v=4", + "name": "osy", + "url": "https://github.com/osy" }, { - "avatar": "https://avatars.githubusercontent.com/u/67280050?s=40&v=4", - "name": "HAHALOSAH", - "url": "https://github.com/HAHALOSAH" + "avatar": "https://avatars.githubusercontent.com/u/76401173?s=40&v=4", + "name": "osy86", + "url": "https://github.com/osy86" }, { - "avatar": "https://avatars.githubusercontent.com/u/113457231?s=40&v=4", - "name": "AushevAhmad", - "url": "https://github.com/AushevAhmad" + "avatar": "https://avatars.githubusercontent.com/u/28616020?s=40&v=4", + "name": "MMP0", + "url": "https://github.com/MMP0" }, { - "avatar": "https://avatars.githubusercontent.com/u/42747613?s=40&v=4", - "name": "llsc12", - "url": "https://github.com/llsc12" + "avatar": "https://avatars.githubusercontent.com/u/46277006?s=40&v=4", + "name": "changanmoon", + "url": "https://github.com/changanmoon" }, { - "avatar": "https://avatars.githubusercontent.com/u/165537498?s=40&v=4", - "name": "darwinx64", - "url": "https://github.com/darwinx64" + "avatar": "https://avatars.githubusercontent.com/u/12073163?s=40&v=4", + "name": "conath", + "url": "https://github.com/conath" } ] }, { - "title": "quoid/userscripts", - "url": "https://github.com/quoid/userscripts", - "description": "An open-source userscript manager for Safari", + "title": "EhPanda-Team/EhPanda", + "url": "https://github.com/EhPanda-Team/EhPanda", + "description": "An unofficial E-Hentai App for iOS built with SwiftUI & TCA.", "language": "Swift", "languageColor": "#F05138", - "stars": "3,334", - "forks": "191", - "addStars": "1", + "stars": "3,219", + "forks": "211", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7660254?s=40&v=4", - "name": "quoid", - "url": "https://github.com/quoid" + "avatar": "https://avatars.githubusercontent.com/u/31207151?s=40&v=4", + "name": "tatsuz0u", + "url": "https://github.com/tatsuz0u" }, { - "avatar": "https://avatars.githubusercontent.com/u/101378590?s=40&v=4", - "name": "ACTCD", - "url": "https://github.com/ACTCD" + "avatar": "https://avatars.githubusercontent.com/u/114827206?s=40&v=4", + "name": "chihchy", + "url": "https://github.com/chihchy" }, { - "avatar": "https://avatars.githubusercontent.com/u/23057110?s=40&v=4", - "name": "maggch97", - "url": "https://github.com/maggch97" + "avatar": "https://avatars.githubusercontent.com/u/5716100?s=40&v=4", + "name": "xioxin", + "url": "https://github.com/xioxin" }, { - "avatar": "https://avatars.githubusercontent.com/u/5954335?s=40&v=4", - "name": "TraderStf", - "url": "https://github.com/TraderStf" + "avatar": "https://avatars.githubusercontent.com/u/6884190?s=40&v=4", + "name": "Jimmy-Prime", + "url": "https://github.com/Jimmy-Prime" } ] }, { - "title": "alexiscreuzot/SwiftyGif", - "url": "https://github.com/alexiscreuzot/SwiftyGif", - "description": "High performance GIF engine", + "title": "grishka/NearDrop", + "url": "https://github.com/grishka/NearDrop", + "description": "An unofficial Google Nearby Share/Quick Share app for macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "2,036", - "forks": "212", - "addStars": "4", + "stars": "4,488", + "forks": "156", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1478258?s=40&v=4", + "name": "grishka", + "url": "https://github.com/grishka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/323428?s=40&v=4", + "name": "PapaDocta", + "url": "https://github.com/PapaDocta" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20476002?s=40&v=4", + "name": "Angelk90", + "url": "https://github.com/Angelk90" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63141348?s=40&v=4", + "name": "dohun0310", + "url": "https://github.com/dohun0310" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33484828?s=40&v=4", + "name": "krittamark", + "url": "https://github.com/krittamark" + } + ] + }, + { + "title": "mRs-/Black-Friday-Deals", + "url": "https://github.com/mRs-/Black-Friday-Deals", + "description": "Black Friday Deals for macOS / iOS Software & Books", + "language": "Swift", + "languageColor": "#F05138", + "stars": "1,855", + "forks": "403", + "addStars": "23", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/431974?s=40&v=4", - "name": "alexiscreuzot", - "url": "https://github.com/alexiscreuzot" + "avatar": "https://avatars.githubusercontent.com/u/736421?s=40&v=4", + "name": "mRs-", + "url": "https://github.com/mRs-" }, { - "avatar": "https://avatars.githubusercontent.com/u/7167022?s=40&v=4", - "name": "neobeppe", - "url": "https://github.com/neobeppe" + "avatar": "https://avatars.githubusercontent.com/u/5878421?s=40&v=4", + "name": "NghiaTranUIT", + "url": "https://github.com/NghiaTranUIT" }, { - "avatar": "https://avatars.githubusercontent.com/u/11852044?s=40&v=4", - "name": "billypchan", - "url": "https://github.com/billypchan" + "avatar": "https://avatars.githubusercontent.com/u/222353?s=40&v=4", + "name": "whkoh", + "url": "https://github.com/whkoh" }, { - "avatar": "https://avatars.githubusercontent.com/u/6184367?s=40&v=4", - "name": "noblakit01", - "url": "https://github.com/noblakit01" + "avatar": "https://avatars.githubusercontent.com/u/498445?s=40&v=4", + "name": "ice8lue", + "url": "https://github.com/ice8lue" }, { - "avatar": "https://avatars.githubusercontent.com/u/1301068?s=40&v=4", - "name": "grzegorzkrukowski", - "url": "https://github.com/grzegorzkrukowski" + "avatar": "https://avatars.githubusercontent.com/u/187720?s=40&v=4", + "name": "longseespace", + "url": "https://github.com/longseespace" } ] }, { - "title": "apple/swift-nio", - "url": "https://github.com/apple/swift-nio", - "description": "Event-driven network application framework for high performance protocol servers & clients, non-blocking.", + "title": "MessageKit/MessageKit", + "url": "https://github.com/MessageKit/MessageKit", + "description": "A community-driven replacement for JSQMessagesViewController", "language": "Swift", "languageColor": "#F05138", - "stars": "7,992", - "forks": "651", + "stars": "6,040", + "forks": "1,185", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/624238?s=40&v=4", - "name": "weissi", - "url": "https://github.com/weissi" + "avatar": "https://avatars.githubusercontent.com/u/7445580?s=40&v=4", + "name": "SD10", + "url": "https://github.com/SD10" }, { - "avatar": "https://avatars.githubusercontent.com/u/1382556?s=40&v=4", - "name": "Lukasa", - "url": "https://github.com/Lukasa" + "avatar": "https://avatars.githubusercontent.com/u/7204168?s=40&v=4", + "name": "Kaspik", + "url": "https://github.com/Kaspik" }, { - "avatar": "https://avatars.githubusercontent.com/u/439362?s=40&v=4", - "name": "normanmaurer", - "url": "https://github.com/normanmaurer" + "avatar": "https://avatars.githubusercontent.com/u/15272998?s=40&v=4", + "name": "nathantannar4", + "url": "https://github.com/nathantannar4" }, { - "avatar": "https://avatars.githubusercontent.com/u/3491887?s=40&v=4", - "name": "FranzBusch", - "url": "https://github.com/FranzBusch" + "avatar": "https://avatars.githubusercontent.com/u/5061845?s=40&v=4", + "name": "zhongwuzw", + "url": "https://github.com/zhongwuzw" }, { - "avatar": "https://avatars.githubusercontent.com/u/5047671?s=40&v=4", - "name": "glbrntt", - "url": "https://github.com/glbrntt" + "avatar": "https://avatars.githubusercontent.com/u/5503097?s=40&v=4", + "name": "martinpucik", + "url": "https://github.com/martinpucik" } ] }, { - "title": "realm/SwiftLint", - "url": "https://github.com/realm/SwiftLint", - "description": "A tool to enforce Swift style and conventions.", + "title": "italomandara/CXPatcher", + "url": "https://github.com/italomandara/CXPatcher", + "description": "A patcher to upgrade Crossover dependencies and improve compatibility", "language": "Swift", "languageColor": "#F05138", - "stars": "18,699", - "forks": "2,227", + "stars": "1,033", + "forks": "24", "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/474794?s=40&v=4", - "name": "jpsim", - "url": "https://github.com/jpsim" + "avatar": "https://avatars.githubusercontent.com/u/12135454?s=40&v=4", + "name": "italomandara", + "url": "https://github.com/italomandara" }, { - "avatar": "https://avatars.githubusercontent.com/u/833072?s=40&v=4", - "name": "marcelofabri", - "url": "https://github.com/marcelofabri" + "avatar": "https://avatars.githubusercontent.com/u/38226388?s=40&v=4", + "name": "Gcenx", + "url": "https://github.com/Gcenx" }, { - "avatar": "https://avatars.githubusercontent.com/u/33430?s=40&v=4", - "name": "norio-nomura", - "url": "https://github.com/norio-nomura" + "avatar": "https://avatars.githubusercontent.com/u/2091312?s=40&v=4", + "name": "stonerl", + "url": "https://github.com/stonerl" }, { - "avatar": "https://avatars.githubusercontent.com/u/16365760?s=40&v=4", - "name": "SimplyDanny", - "url": "https://github.com/SimplyDanny" + "avatar": "https://avatars.githubusercontent.com/u/47083527?s=40&v=4", + "name": "mavethee", + "url": "https://github.com/mavethee" }, { - "avatar": "https://avatars.githubusercontent.com/u/4259250?s=40&v=4", - "name": "scottrhoyt", - "url": "https://github.com/scottrhoyt" + "avatar": "https://avatars.githubusercontent.com/u/6960799?s=40&v=4", + "name": "zorikon", + "url": "https://github.com/zorikon" } ] }, { - "title": "ddddxxx/LyricsX", - "url": "https://github.com/ddddxxx/LyricsX", - "description": "🎶 Ultimate lyrics app for macOS.", + "title": "PlayCover/PlayCover", + "url": "https://github.com/PlayCover/PlayCover", + "description": "Community fork of PlayCover", "language": "Swift", "languageColor": "#F05138", - "stars": "4,795", - "forks": "393", - "addStars": "3", + "stars": "8,684", + "forks": "767", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11691433?s=40&v=4", - "name": "ddddxxx", - "url": "https://github.com/ddddxxx" + "avatar": "https://avatars.githubusercontent.com/u/42140194?s=40&v=4", + "name": "IsaacMarovitz", + "url": "https://github.com/IsaacMarovitz" }, { - "avatar": "https://avatars.githubusercontent.com/u/22104080?s=40&v=4", - "name": "gfidlab-ci-user", - "url": "https://github.com/gfidlab-ci-user" + "avatar": "https://avatars.githubusercontent.com/u/47154119?s=40&v=4", + "name": "Depal1", + "url": "https://github.com/Depal1" }, { - "avatar": "https://avatars.githubusercontent.com/u/418657?s=40&v=4", - "name": "chyiz", - "url": "https://github.com/chyiz" + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" }, { - "avatar": "https://avatars.githubusercontent.com/u/31427850?s=40&v=4", - "name": "ImgBotApp", - "url": "https://github.com/ImgBotApp" + "avatar": "https://avatars.githubusercontent.com/u/47700212?s=40&v=4", + "name": "JoseMoreville", + "url": "https://github.com/JoseMoreville" }, { - "avatar": "https://avatars.githubusercontent.com/u/1170989?s=40&v=4", - "name": "fharper", - "url": "https://github.com/fharper" + "avatar": "https://avatars.githubusercontent.com/u/371475?s=40&v=4", + "name": "lixin9311", + "url": "https://github.com/lixin9311" } ] }, { - "title": "khanhduytran0/LiveContainer", - "url": "https://github.com/khanhduytran0/LiveContainer", - "description": "Run iOS app without actually installing it!", + "title": "yattee/yattee", + "url": "https://github.com/yattee/yattee", + "description": "Privacy oriented video player for iOS, tvOS and macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "1,176", - "forks": "151", - "addStars": "1", + "stars": "2,701", + "forks": "100", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/40482367?s=40&v=4", - "name": "khanhduytran0", - "url": "https://github.com/khanhduytran0" + "avatar": "https://avatars.githubusercontent.com/u/367484?s=40&v=4", + "name": "arekf", + "url": "https://github.com/arekf" }, { - "avatar": "https://avatars.githubusercontent.com/u/60165378?s=40&v=4", - "name": "hugeBlack", - "url": "https://github.com/hugeBlack" + "avatar": "https://avatars.githubusercontent.com/u/2091312?s=40&v=4", + "name": "stonerl", + "url": "https://github.com/stonerl" }, { - "avatar": "https://avatars.githubusercontent.com/u/28908603?s=40&v=4", - "name": "Staubgeborener", - "url": "https://github.com/Staubgeborener" + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" }, { - "avatar": "https://avatars.githubusercontent.com/u/98632439?s=40&v=4", - "name": "AppInstalleriOSGH", - "url": "https://github.com/AppInstalleriOSGH" + "avatar": "https://avatars.githubusercontent.com/u/96393348?s=40&v=4", + "name": "bachig26", + "url": "https://github.com/bachig26" }, { - "avatar": "https://avatars.githubusercontent.com/u/92153597?s=40&v=4", - "name": "fkunn1326", - "url": "https://github.com/fkunn1326" + "avatar": "https://avatars.githubusercontent.com/u/66576141?s=40&v=4", + "name": "joaooliva", + "url": "https://github.com/joaooliva" } ] } diff --git a/data/daily/swift.xml b/data/daily/swift.xml index 96cec3748cd7..25d357aafae1 100644 --- a/data/daily/swift.xml +++ b/data/daily/swift.xml @@ -3,45 +3,7 @@ GitHub Swift Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Swift Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - MrKai77/Loop - https://github.com/MrKai77/Loop - Window management made elegant. - https://github.com/MrKai77/Loop - Swift - #F05138 - 7,073 - 143 - 19 - - - https://avatars.githubusercontent.com/u/68963405?s=40&v=4 - MrKai77 - https://github.com/MrKai77 - - - https://avatars.githubusercontent.com/u/103985728?s=40&v=4 - SenpaiHunters - https://github.com/SenpaiHunters - - - https://avatars.githubusercontent.com/u/68179735?s=40&v=4 - KrLite - https://github.com/KrLite - - - https://avatars.githubusercontent.com/u/6421097?s=40&v=4 - ShawnZhong - https://github.com/ShawnZhong - - - https://avatars.githubusercontent.com/u/91533278?s=40&v=4 - cyborg-joshi - https://github.com/cyborg-joshi - - - + Mon, 25 Nov 2024 13:30:36 GMT nikitabobko/AeroSpace https://github.com/nikitabobko/AeroSpace @@ -49,7 +11,7 @@ https://github.com/nikitabobko/AeroSpace Swift #F05138 - 7,919 + 7,946 129 106 @@ -81,73 +43,116 @@ - mozilla-mobile/firefox-ios - https://github.com/mozilla-mobile/firefox-ios - Firefox for iOS - https://github.com/mozilla-mobile/firefox-ios + Whisky-App/Whisky + https://github.com/Whisky-App/Whisky + A modern Wine wrapper for macOS built with SwiftUI + https://github.com/Whisky-App/Whisky Swift #F05138 - 12,247 - 2,941 - 5 + 12,811 + 272 + 15 + + + https://avatars.githubusercontent.com/u/42140194?s=40&v=4 + IsaacMarovitz + https://github.com/IsaacMarovitz + + + https://avatars.githubusercontent.com/u/23693150?s=40&v=4 + ohaiibuzzle + https://github.com/ohaiibuzzle + + + https://avatars.githubusercontent.com/u/5073663?s=40&v=4 + Mika412 + https://github.com/Mika412 + + + https://avatars.githubusercontent.com/u/909331?s=40&v=4 + cuba + https://github.com/cuba + + + https://avatars.githubusercontent.com/u/5721147?s=40&v=4 + alexsch01 + https://github.com/alexsch01 + + + + + jellyfin/Swiftfin + https://github.com/jellyfin/Swiftfin + Native Jellyfin Client for iOS and tvOS + https://github.com/jellyfin/Swiftfin + Swift + #F05138 + 2,604 + 282 + 4 - https://avatars.githubusercontent.com/u/91722?s=40&v=4 - rnewman - https://github.com/rnewman + https://avatars.githubusercontent.com/u/20747774?s=40&v=4 + LePips + https://github.com/LePips + + + https://avatars.githubusercontent.com/u/34462061?s=40&v=4 + acvigue + https://github.com/acvigue - https://avatars.githubusercontent.com/u/28052?s=40&v=4 - st3fan - https://github.com/st3fan + https://avatars.githubusercontent.com/u/16532526?s=40&v=4 + PangMo5 + https://github.com/PangMo5 - https://avatars.githubusercontent.com/u/11338480?s=40&v=4 - lmarceau - https://github.com/lmarceau + https://avatars.githubusercontent.com/u/125045?s=40&v=4 + jhays + https://github.com/jhays - https://avatars.githubusercontent.com/u/1897507?s=40&v=4 - isabelrios - https://github.com/isabelrios + https://avatars.githubusercontent.com/u/4700006?s=40&v=4 + WWWesten + https://github.com/WWWesten - iina/iina - https://github.com/iina/iina - The modern video player for macOS. - https://github.com/iina/iina + MrKai77/Loop + https://github.com/MrKai77/Loop + Window management made elegant. + https://github.com/MrKai77/Loop Swift #F05138 - 38,384 - 2,575 - 14 + 7,080 + 143 + 19 - https://avatars.githubusercontent.com/u/8478049?s=40&v=4 - lhc70000 - https://github.com/lhc70000 + https://avatars.githubusercontent.com/u/68963405?s=40&v=4 + MrKai77 + https://github.com/MrKai77 - https://avatars.githubusercontent.com/u/20237141?s=40&v=4 - uiryuu - https://github.com/uiryuu + https://avatars.githubusercontent.com/u/103985728?s=40&v=4 + SenpaiHunters + https://github.com/SenpaiHunters - https://avatars.githubusercontent.com/u/86170219?s=40&v=4 - low-batt - https://github.com/low-batt + https://avatars.githubusercontent.com/u/68179735?s=40&v=4 + KrLite + https://github.com/KrLite - https://avatars.githubusercontent.com/u/13786931?s=40&v=4 - saagarjha - https://github.com/saagarjha + https://avatars.githubusercontent.com/u/6421097?s=40&v=4 + ShawnZhong + https://github.com/ShawnZhong - https://avatars.githubusercontent.com/u/2213815?s=40&v=4 - svobs - https://github.com/svobs + https://avatars.githubusercontent.com/u/91533278?s=40&v=4 + cyborg-joshi + https://github.com/cyborg-joshi @@ -158,7 +163,7 @@ https://github.com/vapor/vapor Swift #F05138 - 24,594 + 24,595 1,448 2 @@ -190,514 +195,600 @@ - sindresorhus/KeyboardShortcuts - https://github.com/sindresorhus/KeyboardShortcuts - ⌨️ Add user-customizable global keyboard shortcuts (hotkeys) to your macOS app in minutes - https://github.com/sindresorhus/KeyboardShortcuts + argmaxinc/WhisperKit + https://github.com/argmaxinc/WhisperKit + On-device Speech Recognition for Apple Silicon + https://github.com/argmaxinc/WhisperKit Swift #F05138 - 2,024 - 186 - 1 + 3,958 + 334 + 6 - https://avatars.githubusercontent.com/u/170270?s=40&v=4 - sindresorhus - https://github.com/sindresorhus + https://avatars.githubusercontent.com/u/1981179?s=40&v=4 + ZachNagengast + https://github.com/ZachNagengast - https://avatars.githubusercontent.com/u/1706695?s=40&v=4 - pvieito - https://github.com/pvieito + https://avatars.githubusercontent.com/u/487331?s=40&v=4 + jkrukowski + https://github.com/jkrukowski - https://avatars.githubusercontent.com/u/17615229?s=40&v=4 - hank121314 - https://github.com/hank121314 + https://avatars.githubusercontent.com/u/8284016?s=40&v=4 + finnvoor + https://github.com/finnvoor - https://avatars.githubusercontent.com/u/411908?s=40&v=4 - gpoitch - https://github.com/gpoitch + https://avatars.githubusercontent.com/u/64497909?s=40&v=4 + atiorh + https://github.com/atiorh - https://avatars.githubusercontent.com/u/32717?s=40&v=4 - kant - https://github.com/kant + https://avatars.githubusercontent.com/u/336449?s=40&v=4 + cgfarmer4 + https://github.com/cgfarmer4 - XITRIX/iTorrent - https://github.com/XITRIX/iTorrent - Torrent client for iOS 16+ - https://github.com/XITRIX/iTorrent + whoeevee/EeveeSpotify + https://github.com/whoeevee/EeveeSpotify + A tweak to get Spotify Premium for free, just like Spotilife + https://github.com/whoeevee/EeveeSpotify Swift #F05138 - 2,181 - 202 - 3 + 3,014 + 221 + 23 - https://avatars.githubusercontent.com/u/9553519?s=40&v=4 - XITRIX - https://github.com/XITRIX + https://avatars.githubusercontent.com/u/94960726?s=40&v=4 + whoeevee + https://github.com/whoeevee + + + https://avatars.githubusercontent.com/u/109937991?s=40&v=4 + asdfzxcvbn + https://github.com/asdfzxcvbn - https://avatars.githubusercontent.com/u/96150975?s=40&v=4 - Deci8BelioS - https://github.com/Deci8BelioS + https://avatars.githubusercontent.com/u/109361374?s=40&v=4 + ElliotCHEN37 + https://github.com/ElliotCHEN37 - https://avatars.githubusercontent.com/u/125987288?s=40&v=4 - nijinekoyo - https://github.com/nijinekoyo + https://avatars.githubusercontent.com/u/178366594?s=40&v=4 + Richard-NDC + https://github.com/Richard-NDC + + + https://avatars.githubusercontent.com/u/54180773?s=40&v=4 + LIKVIDATOR1337 + https://github.com/LIKVIDATOR1337 - italomandara/CXPatcher - https://github.com/italomandara/CXPatcher - A patcher to upgrade Crossover dependencies and improve compatibility - https://github.com/italomandara/CXPatcher + macmade/Hot + https://github.com/macmade/Hot + Hot is macOS menu bar application that displays the CPU speed limit due to thermal issues. + https://github.com/macmade/Hot Swift #F05138 - 1,032 - 24 + 2,393 + 93 + 28 + + + https://avatars.githubusercontent.com/u/146476?s=40&v=4 + macmade + https://github.com/macmade + + + https://avatars.githubusercontent.com/u/1641439?s=40&v=4 + a2sheppy + https://github.com/a2sheppy + + + https://avatars.githubusercontent.com/u/379509?s=40&v=4 + alexjurkiewicz + https://github.com/alexjurkiewicz + + + https://avatars.githubusercontent.com/u/86646289?s=40&v=4 + ScottifyShopson + https://github.com/ScottifyShopson + + + + + apple/swift-algorithms + https://github.com/apple/swift-algorithms + Commonly used sequence and collection algorithms for Swift + https://github.com/apple/swift-algorithms + Swift + #F05138 + 5,991 + 441 3 - https://avatars.githubusercontent.com/u/12135454?s=40&v=4 - italomandara - https://github.com/italomandara + https://avatars.githubusercontent.com/u/7053374?s=40&v=4 + natecook1000 + https://github.com/natecook1000 - https://avatars.githubusercontent.com/u/38226388?s=40&v=4 - Gcenx - https://github.com/Gcenx + https://avatars.githubusercontent.com/u/8292651?s=40&v=4 + LucianoPAlmeida + https://github.com/LucianoPAlmeida - https://avatars.githubusercontent.com/u/2091312?s=40&v=4 - stonerl - https://github.com/stonerl + https://avatars.githubusercontent.com/u/963948?s=40&v=4 + mdznr + https://github.com/mdznr - https://avatars.githubusercontent.com/u/47083527?s=40&v=4 - mavethee - https://github.com/mavethee + https://avatars.githubusercontent.com/u/1382565?s=40&v=4 + ollieatkinson + https://github.com/ollieatkinson - https://avatars.githubusercontent.com/u/6960799?s=40&v=4 - zorikon - https://github.com/zorikon + https://avatars.githubusercontent.com/u/2904179?s=40&v=4 + timvermeulen + https://github.com/timvermeulen - pointfreeco/swift-dependencies - https://github.com/pointfreeco/swift-dependencies - A dependency management library inspired by SwiftUI's "environment." - https://github.com/pointfreeco/swift-dependencies + lwouis/alt-tab-macos + https://github.com/lwouis/alt-tab-macos + Windows alt-tab on macOS + https://github.com/lwouis/alt-tab-macos Swift #F05138 - 1,611 - 131 - 3 + 11,092 + 331 + 13 - https://avatars.githubusercontent.com/u/135203?s=40&v=4 - mbrandonw - https://github.com/mbrandonw + https://avatars.githubusercontent.com/u/106195?s=40&v=4 + lwouis + https://github.com/lwouis - https://avatars.githubusercontent.com/u/658?s=40&v=4 - stephencelis - https://github.com/stephencelis + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot - https://avatars.githubusercontent.com/u/65520?s=40&v=4 - finestructure - https://github.com/finestructure + https://avatars.githubusercontent.com/u/77468771?s=40&v=4 + decodism + https://github.com/decodism - https://avatars.githubusercontent.com/u/1288090?s=40&v=4 - oronbz - https://github.com/oronbz + https://avatars.githubusercontent.com/u/5805484?s=40&v=4 + Allsochen + https://github.com/Allsochen - https://avatars.githubusercontent.com/u/35562418?s=40&v=4 - tgrapperon - https://github.com/tgrapperon + https://avatars.githubusercontent.com/u/13162840?s=40&v=4 + gingerr + https://github.com/gingerr - mrousavy/react-native-vision-camera - https://github.com/mrousavy/react-native-vision-camera - 📸 A powerful, high-performance React Native Camera library. - https://github.com/mrousavy/react-native-vision-camera + apple/swift-log + https://github.com/apple/swift-log + A Logging API for Swift + https://github.com/apple/swift-log Swift #F05138 - 7,606 - 1,103 - 7 + 3,578 + 299 + 8 - https://avatars.githubusercontent.com/u/15199031?s=40&v=4 - mrousavy - https://github.com/mrousavy + https://avatars.githubusercontent.com/u/624238?s=40&v=4 + weissi + https://github.com/weissi - https://avatars.githubusercontent.com/u/504909?s=40&v=4 - hirbod - https://github.com/hirbod + https://avatars.githubusercontent.com/u/147247?s=40&v=4 + tomerd + https://github.com/tomerd - https://avatars.githubusercontent.com/u/4893591?s=40&v=4 - rodgomesc - https://github.com/rodgomesc + https://avatars.githubusercontent.com/u/120979?s=40&v=4 + ktoso + https://github.com/ktoso - https://avatars.githubusercontent.com/u/5462205?s=40&v=4 - xulihang - https://github.com/xulihang + https://avatars.githubusercontent.com/u/12647725?s=40&v=4 + yim-lee + https://github.com/yim-lee + + + https://avatars.githubusercontent.com/u/3491887?s=40&v=4 + FranzBusch + https://github.com/FranzBusch - yattee/yattee - https://github.com/yattee/yattee - Privacy oriented video player for iOS, tvOS and macOS - https://github.com/yattee/yattee + seemoo-lab/openhaystack + https://github.com/seemoo-lab/openhaystack + Build your own 'AirTags' 🏷 today! Framework for tracking personal Bluetooth devices via Apple's massive Find My network. + https://github.com/seemoo-lab/openhaystack Swift #F05138 - 2,701 - 100 - 4 + 8,613 + 464 + 8 - https://avatars.githubusercontent.com/u/367484?s=40&v=4 - arekf - https://github.com/arekf + https://avatars.githubusercontent.com/u/5541715?s=40&v=4 + schmittner + https://github.com/schmittner - https://avatars.githubusercontent.com/u/2091312?s=40&v=4 - stonerl - https://github.com/stonerl + https://avatars.githubusercontent.com/u/3126229?s=40&v=4 + Sn0wfreezeDev + https://github.com/Sn0wfreezeDev - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate + https://avatars.githubusercontent.com/u/82781994?s=40&v=4 + sebinbash + https://github.com/sebinbash - https://avatars.githubusercontent.com/u/96393348?s=40&v=4 - bachig26 - https://github.com/bachig26 + https://avatars.githubusercontent.com/u/26263044?s=40&v=4 + MaxGranzow + https://github.com/MaxGranzow - https://avatars.githubusercontent.com/u/66576141?s=40&v=4 - joaooliva - https://github.com/joaooliva + https://avatars.githubusercontent.com/u/4534203?s=40&v=4 + tomasharkema + https://github.com/tomasharkema - LoopKit/Loop - https://github.com/LoopKit/Loop - An automated insulin delivery app for iOS, built on LoopKit - https://github.com/LoopKit/Loop + swiftlang/swift-syntax + https://github.com/swiftlang/swift-syntax + A set of Swift libraries for parsing, inspecting, generating, and transforming Swift source code. + https://github.com/swiftlang/swift-syntax Swift #F05138 - 1,513 - 1,304 - 1 + 3,259 + 416 + 4 - https://avatars.githubusercontent.com/u/14649?s=40&v=4 - ps2 - https://github.com/ps2 + https://avatars.githubusercontent.com/u/4062178?s=40&v=4 + ahoppen + https://github.com/ahoppen - https://avatars.githubusercontent.com/u/212876?s=40&v=4 - loudnate - https://github.com/loudnate + https://avatars.githubusercontent.com/u/989428?s=40&v=4 + DougGregor + https://github.com/DougGregor - https://avatars.githubusercontent.com/u/152359?s=40&v=4 - nhamming - https://github.com/nhamming + https://avatars.githubusercontent.com/u/5477711?s=40&v=4 + kimdv + https://github.com/kimdv - https://avatars.githubusercontent.com/u/2769158?s=40&v=4 - Camji55 - https://github.com/Camji55 + https://avatars.githubusercontent.com/u/142081?s=40&v=4 + rintaro + https://github.com/rintaro - https://avatars.githubusercontent.com/u/25555889?s=40&v=4 - mpangburn - https://github.com/mpangburn + https://avatars.githubusercontent.com/u/1896383?s=40&v=4 + CodaFi + https://github.com/CodaFi - khcrysalis/Feather - https://github.com/khcrysalis/Feather - Feather is a free on-device iOS application manager/installer, using certificates part of the Apple Developer Program. - https://github.com/khcrysalis/Feather + utmapp/UTM + https://github.com/utmapp/UTM + Virtual machines for iOS and macOS + https://github.com/utmapp/UTM Swift #F05138 - 1,109 - 62 - 4 + 27,173 + 1,344 + 17 - https://avatars.githubusercontent.com/u/97859147?s=40&v=4 - khcrysalis - https://github.com/khcrysalis + https://avatars.githubusercontent.com/u/50960678?s=40&v=4 + osy + https://github.com/osy - https://avatars.githubusercontent.com/u/67280050?s=40&v=4 - HAHALOSAH - https://github.com/HAHALOSAH + https://avatars.githubusercontent.com/u/76401173?s=40&v=4 + osy86 + https://github.com/osy86 - https://avatars.githubusercontent.com/u/113457231?s=40&v=4 - AushevAhmad - https://github.com/AushevAhmad + https://avatars.githubusercontent.com/u/28616020?s=40&v=4 + MMP0 + https://github.com/MMP0 - https://avatars.githubusercontent.com/u/42747613?s=40&v=4 - llsc12 - https://github.com/llsc12 + https://avatars.githubusercontent.com/u/46277006?s=40&v=4 + changanmoon + https://github.com/changanmoon - https://avatars.githubusercontent.com/u/165537498?s=40&v=4 - darwinx64 - https://github.com/darwinx64 + https://avatars.githubusercontent.com/u/12073163?s=40&v=4 + conath + https://github.com/conath - quoid/userscripts - https://github.com/quoid/userscripts - An open-source userscript manager for Safari - https://github.com/quoid/userscripts + EhPanda-Team/EhPanda + https://github.com/EhPanda-Team/EhPanda + An unofficial E-Hentai App for iOS built with SwiftUI & TCA. + https://github.com/EhPanda-Team/EhPanda Swift #F05138 - 3,334 - 191 - 1 + 3,219 + 211 + 3 - https://avatars.githubusercontent.com/u/7660254?s=40&v=4 - quoid - https://github.com/quoid + https://avatars.githubusercontent.com/u/31207151?s=40&v=4 + tatsuz0u + https://github.com/tatsuz0u - https://avatars.githubusercontent.com/u/101378590?s=40&v=4 - ACTCD - https://github.com/ACTCD + https://avatars.githubusercontent.com/u/114827206?s=40&v=4 + chihchy + https://github.com/chihchy - https://avatars.githubusercontent.com/u/23057110?s=40&v=4 - maggch97 - https://github.com/maggch97 + https://avatars.githubusercontent.com/u/5716100?s=40&v=4 + xioxin + https://github.com/xioxin - https://avatars.githubusercontent.com/u/5954335?s=40&v=4 - TraderStf - https://github.com/TraderStf + https://avatars.githubusercontent.com/u/6884190?s=40&v=4 + Jimmy-Prime + https://github.com/Jimmy-Prime - alexiscreuzot/SwiftyGif - https://github.com/alexiscreuzot/SwiftyGif - High performance GIF engine - https://github.com/alexiscreuzot/SwiftyGif + grishka/NearDrop + https://github.com/grishka/NearDrop + An unofficial Google Nearby Share/Quick Share app for macOS + https://github.com/grishka/NearDrop Swift #F05138 - 2,036 - 212 - 4 + 4,488 + 156 + 5 - https://avatars.githubusercontent.com/u/431974?s=40&v=4 - alexiscreuzot - https://github.com/alexiscreuzot + https://avatars.githubusercontent.com/u/1478258?s=40&v=4 + grishka + https://github.com/grishka - https://avatars.githubusercontent.com/u/7167022?s=40&v=4 - neobeppe - https://github.com/neobeppe + https://avatars.githubusercontent.com/u/323428?s=40&v=4 + PapaDocta + https://github.com/PapaDocta - https://avatars.githubusercontent.com/u/11852044?s=40&v=4 - billypchan - https://github.com/billypchan + https://avatars.githubusercontent.com/u/20476002?s=40&v=4 + Angelk90 + https://github.com/Angelk90 - https://avatars.githubusercontent.com/u/6184367?s=40&v=4 - noblakit01 - https://github.com/noblakit01 + https://avatars.githubusercontent.com/u/63141348?s=40&v=4 + dohun0310 + https://github.com/dohun0310 - https://avatars.githubusercontent.com/u/1301068?s=40&v=4 - grzegorzkrukowski - https://github.com/grzegorzkrukowski + https://avatars.githubusercontent.com/u/33484828?s=40&v=4 + krittamark + https://github.com/krittamark - apple/swift-nio - https://github.com/apple/swift-nio - Event-driven network application framework for high performance protocol servers & clients, non-blocking. - https://github.com/apple/swift-nio + mRs-/Black-Friday-Deals + https://github.com/mRs-/Black-Friday-Deals + Black Friday Deals for macOS / iOS Software & Books + https://github.com/mRs-/Black-Friday-Deals Swift #F05138 - 7,992 - 651 + 1,855 + 403 + 23 + + + https://avatars.githubusercontent.com/u/736421?s=40&v=4 + mRs- + https://github.com/mRs- + + + https://avatars.githubusercontent.com/u/5878421?s=40&v=4 + NghiaTranUIT + https://github.com/NghiaTranUIT + + + https://avatars.githubusercontent.com/u/222353?s=40&v=4 + whkoh + https://github.com/whkoh + + + https://avatars.githubusercontent.com/u/498445?s=40&v=4 + ice8lue + https://github.com/ice8lue + + + https://avatars.githubusercontent.com/u/187720?s=40&v=4 + longseespace + https://github.com/longseespace + + + + + MessageKit/MessageKit + https://github.com/MessageKit/MessageKit + A community-driven replacement for JSQMessagesViewController + https://github.com/MessageKit/MessageKit + Swift + #F05138 + 6,040 + 1,185 1 - https://avatars.githubusercontent.com/u/624238?s=40&v=4 - weissi - https://github.com/weissi + https://avatars.githubusercontent.com/u/7445580?s=40&v=4 + SD10 + https://github.com/SD10 - https://avatars.githubusercontent.com/u/1382556?s=40&v=4 - Lukasa - https://github.com/Lukasa + https://avatars.githubusercontent.com/u/7204168?s=40&v=4 + Kaspik + https://github.com/Kaspik - https://avatars.githubusercontent.com/u/439362?s=40&v=4 - normanmaurer - https://github.com/normanmaurer + https://avatars.githubusercontent.com/u/15272998?s=40&v=4 + nathantannar4 + https://github.com/nathantannar4 - https://avatars.githubusercontent.com/u/3491887?s=40&v=4 - FranzBusch - https://github.com/FranzBusch + https://avatars.githubusercontent.com/u/5061845?s=40&v=4 + zhongwuzw + https://github.com/zhongwuzw - https://avatars.githubusercontent.com/u/5047671?s=40&v=4 - glbrntt - https://github.com/glbrntt + https://avatars.githubusercontent.com/u/5503097?s=40&v=4 + martinpucik + https://github.com/martinpucik - realm/SwiftLint - https://github.com/realm/SwiftLint - A tool to enforce Swift style and conventions. - https://github.com/realm/SwiftLint + italomandara/CXPatcher + https://github.com/italomandara/CXPatcher + A patcher to upgrade Crossover dependencies and improve compatibility + https://github.com/italomandara/CXPatcher Swift #F05138 - 18,699 - 2,227 + 1,033 + 24 3 - https://avatars.githubusercontent.com/u/474794?s=40&v=4 - jpsim - https://github.com/jpsim + https://avatars.githubusercontent.com/u/12135454?s=40&v=4 + italomandara + https://github.com/italomandara - https://avatars.githubusercontent.com/u/833072?s=40&v=4 - marcelofabri - https://github.com/marcelofabri + https://avatars.githubusercontent.com/u/38226388?s=40&v=4 + Gcenx + https://github.com/Gcenx - https://avatars.githubusercontent.com/u/33430?s=40&v=4 - norio-nomura - https://github.com/norio-nomura + https://avatars.githubusercontent.com/u/2091312?s=40&v=4 + stonerl + https://github.com/stonerl - https://avatars.githubusercontent.com/u/16365760?s=40&v=4 - SimplyDanny - https://github.com/SimplyDanny + https://avatars.githubusercontent.com/u/47083527?s=40&v=4 + mavethee + https://github.com/mavethee - https://avatars.githubusercontent.com/u/4259250?s=40&v=4 - scottrhoyt - https://github.com/scottrhoyt + https://avatars.githubusercontent.com/u/6960799?s=40&v=4 + zorikon + https://github.com/zorikon - ddddxxx/LyricsX - https://github.com/ddddxxx/LyricsX - 🎶 Ultimate lyrics app for macOS. - https://github.com/ddddxxx/LyricsX + PlayCover/PlayCover + https://github.com/PlayCover/PlayCover + Community fork of PlayCover + https://github.com/PlayCover/PlayCover Swift #F05138 - 4,795 - 393 - 3 + 8,684 + 767 + 7 - https://avatars.githubusercontent.com/u/11691433?s=40&v=4 - ddddxxx - https://github.com/ddddxxx + https://avatars.githubusercontent.com/u/42140194?s=40&v=4 + IsaacMarovitz + https://github.com/IsaacMarovitz - https://avatars.githubusercontent.com/u/22104080?s=40&v=4 - gfidlab-ci-user - https://github.com/gfidlab-ci-user + https://avatars.githubusercontent.com/u/47154119?s=40&v=4 + Depal1 + https://github.com/Depal1 - https://avatars.githubusercontent.com/u/418657?s=40&v=4 - chyiz - https://github.com/chyiz + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate - https://avatars.githubusercontent.com/u/31427850?s=40&v=4 - ImgBotApp - https://github.com/ImgBotApp + https://avatars.githubusercontent.com/u/47700212?s=40&v=4 + JoseMoreville + https://github.com/JoseMoreville - https://avatars.githubusercontent.com/u/1170989?s=40&v=4 - fharper - https://github.com/fharper + https://avatars.githubusercontent.com/u/371475?s=40&v=4 + lixin9311 + https://github.com/lixin9311 - khanhduytran0/LiveContainer - https://github.com/khanhduytran0/LiveContainer - Run iOS app without actually installing it! - https://github.com/khanhduytran0/LiveContainer + yattee/yattee + https://github.com/yattee/yattee + Privacy oriented video player for iOS, tvOS and macOS + https://github.com/yattee/yattee Swift #F05138 - 1,176 - 151 - 1 + 2,701 + 100 + 4 - https://avatars.githubusercontent.com/u/40482367?s=40&v=4 - khanhduytran0 - https://github.com/khanhduytran0 + https://avatars.githubusercontent.com/u/367484?s=40&v=4 + arekf + https://github.com/arekf - https://avatars.githubusercontent.com/u/60165378?s=40&v=4 - hugeBlack - https://github.com/hugeBlack + https://avatars.githubusercontent.com/u/2091312?s=40&v=4 + stonerl + https://github.com/stonerl - https://avatars.githubusercontent.com/u/28908603?s=40&v=4 - Staubgeborener - https://github.com/Staubgeborener + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate - https://avatars.githubusercontent.com/u/98632439?s=40&v=4 - AppInstalleriOSGH - https://github.com/AppInstalleriOSGH + https://avatars.githubusercontent.com/u/96393348?s=40&v=4 + bachig26 + https://github.com/bachig26 - https://avatars.githubusercontent.com/u/92153597?s=40&v=4 - fkunn1326 - https://github.com/fkunn1326 + https://avatars.githubusercontent.com/u/66576141?s=40&v=4 + joaooliva + https://github.com/joaooliva diff --git a/data/daily/swig.json b/data/daily/swig.json index db97524bcd45..7a0067a313d1 100644 --- a/data/daily/swig.json +++ b/data/daily/swig.json @@ -2,6 +2,6 @@ "title": "GitHub Swig Languages Daily Trending", "description": "Daily Trending of Swig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/swig.xml b/data/daily/swig.xml index 7e4f53aaec4f..f013faff4910 100644 --- a/data/daily/swig.xml +++ b/data/daily/swig.xml @@ -3,6 +3,6 @@ GitHub Swig Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Swig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/systemverilog.json b/data/daily/systemverilog.json index c93636f4cd82..e62c5f86ca65 100644 --- a/data/daily/systemverilog.json +++ b/data/daily/systemverilog.json @@ -2,7 +2,7 @@ "title": "GitHub Systemverilog Languages Daily Trending", "description": "Daily Trending of Systemverilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "lowRISC/opentitan", @@ -11,7 +11,7 @@ "language": "SystemVerilog", "languageColor": "#DAE1C2", "stars": "2,590", - "forks": "780", + "forks": "781", "addStars": "2", "contributors": [ { @@ -42,39 +42,39 @@ ] }, { - "title": "openhwgroup/cv-hpdcache", - "url": "https://github.com/openhwgroup/cv-hpdcache", - "description": "RTL sources of the High-Performance L1 Dcache (HPDcache) for OpenHW CV cores", + "title": "lowRISC/ibex", + "url": "https://github.com/lowRISC/ibex", + "description": "Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.", "language": "SystemVerilog", "languageColor": "#DAE1C2", - "stars": "60", - "forks": "20", - "addStars": "0", + "stars": "1,386", + "forks": "547", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15080006?s=40&v=4", - "name": "cfuguet", - "url": "https://github.com/cfuguet" + "avatar": "https://avatars.githubusercontent.com/u/471032?s=40&v=4", + "name": "GregAC", + "url": "https://github.com/GregAC" }, { - "avatar": "https://avatars.githubusercontent.com/u/72170189?s=40&v=4", - "name": "AileonN", - "url": "https://github.com/AileonN" + "avatar": "https://avatars.githubusercontent.com/u/104845?s=40&v=4", + "name": "rswarbrick", + "url": "https://github.com/rswarbrick" }, { - "avatar": "https://avatars.githubusercontent.com/u/94678394?s=40&v=4", - "name": "Gchauvon", - "url": "https://github.com/Gchauvon" + "avatar": "https://avatars.githubusercontent.com/u/1159506?s=40&v=4", + "name": "Atokulus", + "url": "https://github.com/Atokulus" }, { - "avatar": "https://avatars.githubusercontent.com/u/50929?s=40&v=4", - "name": "Intuity", - "url": "https://github.com/Intuity" + "avatar": "https://avatars.githubusercontent.com/u/20307557?s=40&v=4", + "name": "vogelpi", + "url": "https://github.com/vogelpi" }, { - "avatar": "https://avatars.githubusercontent.com/u/9446837?s=40&v=4", - "name": "michael-platzer", - "url": "https://github.com/michael-platzer" + "avatar": "https://avatars.githubusercontent.com/u/2758621?s=40&v=4", + "name": "atraber", + "url": "https://github.com/atraber" } ] }, @@ -84,7 +84,7 @@ "description": "A minimal GPU design in Verilog to learn how GPUs work from the ground up", "language": "SystemVerilog", "languageColor": "#DAE1C2", - "stars": "7,100", + "stars": "7,101", "forks": "536", "addStars": "1", "contributors": [ @@ -109,117 +109,6 @@ "url": "https://github.com/xianbaoqian" } ] - }, - { - "title": "pulp-platform/riscv-dbg", - "url": "https://github.com/pulp-platform/riscv-dbg", - "description": "RISC-V Debug Support for our PULP RISC-V Cores", - "language": "SystemVerilog", - "languageColor": "#DAE1C2", - "stars": "225", - "forks": "76", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13798471?s=40&v=4", - "name": "bluewww", - "url": "https://github.com/bluewww" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/199415?s=40&v=4", - "name": "zarubaf", - "url": "https://github.com/zarubaf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41358501?s=40&v=4", - "name": "msfschaffner", - "url": "https://github.com/msfschaffner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3583291?s=40&v=4", - "name": "andreaskurth", - "url": "https://github.com/andreaskurth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40633348?s=40&v=4", - "name": "Silabs-ArjanB", - "url": "https://github.com/Silabs-ArjanB" - } - ] - }, - { - "title": "pulp-platform/axi", - "url": "https://github.com/pulp-platform/axi", - "description": "AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication", - "language": "SystemVerilog", - "languageColor": "#DAE1C2", - "stars": "1,115", - "forks": "267", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3583291?s=40&v=4", - "name": "andreaskurth", - "url": "https://github.com/andreaskurth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65011851?s=40&v=4", - "name": "thommythomaso", - "url": "https://github.com/thommythomaso" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6088584?s=40&v=4", - "name": "micprog", - "url": "https://github.com/micprog" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6261373?s=40&v=4", - "name": "suehtamacv", - "url": "https://github.com/suehtamacv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/199415?s=40&v=4", - "name": "zarubaf", - "url": "https://github.com/zarubaf" - } - ] - }, - { - "title": "pulp-platform/common_cells", - "url": "https://github.com/pulp-platform/common_cells", - "description": "Common SystemVerilog components", - "language": "SystemVerilog", - "languageColor": "#DAE1C2", - "stars": "522", - "forks": "145", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/199415?s=40&v=4", - "name": "zarubaf", - "url": "https://github.com/zarubaf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3583291?s=40&v=4", - "name": "andreaskurth", - "url": "https://github.com/andreaskurth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6498078?s=40&v=4", - "name": "niwis", - "url": "https://github.com/niwis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33124232?s=40&v=4", - "name": "stmach", - "url": "https://github.com/stmach" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7882682?s=40&v=4", - "name": "FrancescoConti", - "url": "https://github.com/FrancescoConti" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/systemverilog.xml b/data/daily/systemverilog.xml index eaa8c59fc59c..1adfaf0b0558 100644 --- a/data/daily/systemverilog.xml +++ b/data/daily/systemverilog.xml @@ -3,7 +3,7 @@ GitHub Systemverilog Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Systemverilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT lowRISC/opentitan https://github.com/lowRISC/opentitan @@ -12,7 +12,7 @@ SystemVerilog #DAE1C2 2,590 - 780 + 781 2 @@ -43,40 +43,40 @@ - openhwgroup/cv-hpdcache - https://github.com/openhwgroup/cv-hpdcache - RTL sources of the High-Performance L1 Dcache (HPDcache) for OpenHW CV cores - https://github.com/openhwgroup/cv-hpdcache + lowRISC/ibex + https://github.com/lowRISC/ibex + Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy. + https://github.com/lowRISC/ibex SystemVerilog #DAE1C2 - 60 - 20 - 0 + 1,386 + 547 + 2 - https://avatars.githubusercontent.com/u/15080006?s=40&v=4 - cfuguet - https://github.com/cfuguet + https://avatars.githubusercontent.com/u/471032?s=40&v=4 + GregAC + https://github.com/GregAC - https://avatars.githubusercontent.com/u/72170189?s=40&v=4 - AileonN - https://github.com/AileonN + https://avatars.githubusercontent.com/u/104845?s=40&v=4 + rswarbrick + https://github.com/rswarbrick - https://avatars.githubusercontent.com/u/94678394?s=40&v=4 - Gchauvon - https://github.com/Gchauvon + https://avatars.githubusercontent.com/u/1159506?s=40&v=4 + Atokulus + https://github.com/Atokulus - https://avatars.githubusercontent.com/u/50929?s=40&v=4 - Intuity - https://github.com/Intuity + https://avatars.githubusercontent.com/u/20307557?s=40&v=4 + vogelpi + https://github.com/vogelpi - https://avatars.githubusercontent.com/u/9446837?s=40&v=4 - michael-platzer - https://github.com/michael-platzer + https://avatars.githubusercontent.com/u/2758621?s=40&v=4 + atraber + https://github.com/atraber @@ -87,7 +87,7 @@ https://github.com/adam-maj/tiny-gpu SystemVerilog #DAE1C2 - 7,100 + 7,101 536 1 @@ -113,119 +113,5 @@ - - pulp-platform/riscv-dbg - https://github.com/pulp-platform/riscv-dbg - RISC-V Debug Support for our PULP RISC-V Cores - https://github.com/pulp-platform/riscv-dbg - SystemVerilog - #DAE1C2 - 225 - 76 - 0 - - - https://avatars.githubusercontent.com/u/13798471?s=40&v=4 - bluewww - https://github.com/bluewww - - - https://avatars.githubusercontent.com/u/199415?s=40&v=4 - zarubaf - https://github.com/zarubaf - - - https://avatars.githubusercontent.com/u/41358501?s=40&v=4 - msfschaffner - https://github.com/msfschaffner - - - https://avatars.githubusercontent.com/u/3583291?s=40&v=4 - andreaskurth - https://github.com/andreaskurth - - - https://avatars.githubusercontent.com/u/40633348?s=40&v=4 - Silabs-ArjanB - https://github.com/Silabs-ArjanB - - - - - pulp-platform/axi - https://github.com/pulp-platform/axi - AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication - https://github.com/pulp-platform/axi - SystemVerilog - #DAE1C2 - 1,115 - 267 - 0 - - - https://avatars.githubusercontent.com/u/3583291?s=40&v=4 - andreaskurth - https://github.com/andreaskurth - - - https://avatars.githubusercontent.com/u/65011851?s=40&v=4 - thommythomaso - https://github.com/thommythomaso - - - https://avatars.githubusercontent.com/u/6088584?s=40&v=4 - micprog - https://github.com/micprog - - - https://avatars.githubusercontent.com/u/6261373?s=40&v=4 - suehtamacv - https://github.com/suehtamacv - - - https://avatars.githubusercontent.com/u/199415?s=40&v=4 - zarubaf - https://github.com/zarubaf - - - - - pulp-platform/common_cells - https://github.com/pulp-platform/common_cells - Common SystemVerilog components - https://github.com/pulp-platform/common_cells - SystemVerilog - #DAE1C2 - 522 - 145 - 2 - - - https://avatars.githubusercontent.com/u/199415?s=40&v=4 - zarubaf - https://github.com/zarubaf - - - https://avatars.githubusercontent.com/u/3583291?s=40&v=4 - andreaskurth - https://github.com/andreaskurth - - - https://avatars.githubusercontent.com/u/6498078?s=40&v=4 - niwis - https://github.com/niwis - - - https://avatars.githubusercontent.com/u/33124232?s=40&v=4 - stmach - https://github.com/stmach - - - https://avatars.githubusercontent.com/u/7882682?s=40&v=4 - FrancescoConti - https://github.com/FrancescoConti - - - \ No newline at end of file diff --git a/data/daily/talon.json b/data/daily/talon.json index c72d3c9b89f7..f2ca2fc9be2e 100644 --- a/data/daily/talon.json +++ b/data/daily/talon.json @@ -2,6 +2,6 @@ "title": "GitHub Talon Languages Daily Trending", "description": "Daily Trending of Talon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/talon.xml b/data/daily/talon.xml index bd5386a12956..c3401d2404bf 100644 --- a/data/daily/talon.xml +++ b/data/daily/talon.xml @@ -3,6 +3,6 @@ GitHub Talon Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Talon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/tcl.json b/data/daily/tcl.json index f7729280bfac..1018c0795442 100644 --- a/data/daily/tcl.json +++ b/data/daily/tcl.json @@ -2,53 +2,16 @@ "title": "GitHub Tcl Languages Daily Trending", "description": "Daily Trending of Tcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "macports/macports-base", - "url": "https://github.com/macports/macports-base", - "description": "The MacPorts command-line client", - "language": "Tcl", - "languageColor": "#e4cc98", - "stars": "876", - "forks": "247", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1075699?s=40&v=4", - "name": "jmroot", - "url": "https://github.com/jmroot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/80340?s=40&v=4", - "name": "raimue", - "url": "https://github.com/raimue" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/357348?s=40&v=4", - "name": "neverpanic", - "url": "https://github.com/neverpanic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18884?s=40&v=4", - "name": "landonf", - "url": "https://github.com/landonf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4518384?s=40&v=4", - "name": "jmpalacios", - "url": "https://github.com/jmpalacios" - } - ] - }, { "title": "macports/macports-ports", "url": "https://github.com/macports/macports-ports", "description": "The MacPorts ports tree", "language": "Tcl", "languageColor": "#e4cc98", - "stars": "1,538", - "forks": "1,315", + "stars": "1,539", + "forks": "1,316", "addStars": "1", "contributors": [ { @@ -114,43 +77,6 @@ "url": "https://github.com/Swagathg" } ] - }, - { - "title": "rdbende/Sun-Valley-ttk-theme", - "url": "https://github.com/rdbende/Sun-Valley-ttk-theme", - "description": "A gorgeous theme for Tkinter/ttk, based on the Sun Valley visual style ✨", - "language": "Tcl", - "languageColor": "#e4cc98", - "stars": "1,997", - "forks": "112", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/77941087?s=40&v=4", - "name": "rdbende", - "url": "https://github.com/rdbende" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18505570?s=40&v=4", - "name": "Akuli", - "url": "https://github.com/Akuli" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68823982?s=40&v=4", - "name": "sumeshir26", - "url": "https://github.com/sumeshir26" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42744062?s=40&v=4", - "name": "lyraik", - "url": "https://github.com/lyraik" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/71159641?s=40&v=4", - "name": "littlewhitecloud", - "url": "https://github.com/littlewhitecloud" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/tcl.xml b/data/daily/tcl.xml index 3f154b8d8643..8b8553bf9765 100644 --- a/data/daily/tcl.xml +++ b/data/daily/tcl.xml @@ -3,45 +3,7 @@ GitHub Tcl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - macports/macports-base - https://github.com/macports/macports-base - The MacPorts command-line client - https://github.com/macports/macports-base - Tcl - #e4cc98 - 876 - 247 - 1 - - - https://avatars.githubusercontent.com/u/1075699?s=40&v=4 - jmroot - https://github.com/jmroot - - - https://avatars.githubusercontent.com/u/80340?s=40&v=4 - raimue - https://github.com/raimue - - - https://avatars.githubusercontent.com/u/357348?s=40&v=4 - neverpanic - https://github.com/neverpanic - - - https://avatars.githubusercontent.com/u/18884?s=40&v=4 - landonf - https://github.com/landonf - - - https://avatars.githubusercontent.com/u/4518384?s=40&v=4 - jmpalacios - https://github.com/jmpalacios - - - + Mon, 25 Nov 2024 13:30:36 GMT macports/macports-ports https://github.com/macports/macports-ports @@ -49,8 +11,8 @@ https://github.com/macports/macports-ports Tcl #e4cc98 - 1,538 - 1,315 + 1,539 + 1,316 1 @@ -118,43 +80,5 @@ - - rdbende/Sun-Valley-ttk-theme - https://github.com/rdbende/Sun-Valley-ttk-theme - A gorgeous theme for Tkinter/ttk, based on the Sun Valley visual style ✨ - https://github.com/rdbende/Sun-Valley-ttk-theme - Tcl - #e4cc98 - 1,997 - 112 - 0 - - - https://avatars.githubusercontent.com/u/77941087?s=40&v=4 - rdbende - https://github.com/rdbende - - - https://avatars.githubusercontent.com/u/18505570?s=40&v=4 - Akuli - https://github.com/Akuli - - - https://avatars.githubusercontent.com/u/68823982?s=40&v=4 - sumeshir26 - https://github.com/sumeshir26 - - - https://avatars.githubusercontent.com/u/42744062?s=40&v=4 - lyraik - https://github.com/lyraik - - - https://avatars.githubusercontent.com/u/71159641?s=40&v=4 - littlewhitecloud - https://github.com/littlewhitecloud - - - \ No newline at end of file diff --git a/data/daily/tcsh.json b/data/daily/tcsh.json index 686f11a91e82..9a919b601396 100644 --- a/data/daily/tcsh.json +++ b/data/daily/tcsh.json @@ -2,6 +2,6 @@ "title": "GitHub Tcsh Languages Daily Trending", "description": "Daily Trending of Tcsh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/tcsh.xml b/data/daily/tcsh.xml index 9004581ebf80..99883b12a3b1 100644 --- a/data/daily/tcsh.xml +++ b/data/daily/tcsh.xml @@ -3,6 +3,6 @@ GitHub Tcsh Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tcsh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/tea.json b/data/daily/tea.json index d7759d029dbd..fc8e1fc8101e 100644 --- a/data/daily/tea.json +++ b/data/daily/tea.json @@ -2,6 +2,6 @@ "title": "GitHub Tea Languages Daily Trending", "description": "Daily Trending of Tea Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/tea.xml b/data/daily/tea.xml index 57963d54d090..283cd2cd6fcb 100644 --- a/data/daily/tea.xml +++ b/data/daily/tea.xml @@ -3,6 +3,6 @@ GitHub Tea Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tea Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/templ.json b/data/daily/templ.json index 3a257511b5f1..cf8c6e381907 100644 --- a/data/daily/templ.json +++ b/data/daily/templ.json @@ -2,6 +2,6 @@ "title": "GitHub Templ Languages Daily Trending", "description": "Daily Trending of Templ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/templ.xml b/data/daily/templ.xml index 7914941efb7d..db352319a647 100644 --- a/data/daily/templ.xml +++ b/data/daily/templ.xml @@ -3,6 +3,6 @@ GitHub Templ Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Templ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/terra.json b/data/daily/terra.json index 386663f57a88..8afdd3347fea 100644 --- a/data/daily/terra.json +++ b/data/daily/terra.json @@ -2,6 +2,6 @@ "title": "GitHub Terra Languages Daily Trending", "description": "Daily Trending of Terra Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/terra.xml b/data/daily/terra.xml index 5c86d86408f4..ec29041e28d7 100644 --- a/data/daily/terra.xml +++ b/data/daily/terra.xml @@ -3,6 +3,6 @@ GitHub Terra Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Terra Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/terraform-template.json b/data/daily/terraform-template.json index 2b936c82e7f6..8b18b4eca6fe 100644 --- a/data/daily/terraform-template.json +++ b/data/daily/terraform-template.json @@ -2,6 +2,6 @@ "title": "GitHub Terraform-template Languages Daily Trending", "description": "Daily Trending of Terraform-template Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/terraform-template.xml b/data/daily/terraform-template.xml index bc22474f6f2d..9fcd5055c678 100644 --- a/data/daily/terraform-template.xml +++ b/data/daily/terraform-template.xml @@ -3,6 +3,6 @@ GitHub Terraform-template Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Terraform-template Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/tex.json b/data/daily/tex.json index b68d0d18cef1..db03030a8988 100644 --- a/data/daily/tex.json +++ b/data/daily/tex.json @@ -2,77 +2,8 @@ "title": "GitHub Tex Languages Daily Trending", "description": "Daily Trending of Tex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "posquit0/Awesome-CV", - "url": "https://github.com/posquit0/Awesome-CV", - "description": "📄 Awesome CV is LaTeX template for your outstanding job application", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "23,271", - "forks": "4,812", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1484002?s=40&v=4", - "name": "posquit0", - "url": "https://github.com/posquit0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/690051?s=40&v=4", - "name": "nitrogl", - "url": "https://github.com/nitrogl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3946014?s=40&v=4", - "name": "OJFord", - "url": "https://github.com/OJFord" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8258702?s=40&v=4", - "name": "johannesbottcher", - "url": "https://github.com/johannesbottcher" - } - ] - }, - { - "title": "HarisIqbal88/PlotNeuralNet", - "url": "https://github.com/HarisIqbal88/PlotNeuralNet", - "description": "Latex code for making neural networks diagrams", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "22,217", - "forks": "2,884", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17570785?s=40&v=4", - "name": "HarisIqbal88", - "url": "https://github.com/HarisIqbal88" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12168172?s=40&v=4", - "name": "pedrodiamel", - "url": "https://github.com/pedrodiamel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22336020?s=40&v=4", - "name": "weslynn", - "url": "https://github.com/weslynn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4074659?s=40&v=4", - "name": "mbrukman", - "url": "https://github.com/mbrukman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9316076?s=40&v=4", - "name": "Brandon-HY-Lin", - "url": "https://github.com/Brandon-HY-Lin" - } - ] - }, { "title": "lervag/vimtex", "url": "https://github.com/lervag/vimtex", @@ -111,554 +42,490 @@ ] }, { - "title": "sb2nov/resume", - "url": "https://github.com/sb2nov/resume", - "description": "Software developer resume in Latex", + "title": "cplusplus/draft", + "url": "https://github.com/cplusplus/draft", + "description": "C++ standards drafts", "language": "TeX", "languageColor": "#3D6117", - "stars": "5,316", - "forks": "1,497", - "addStars": "0", + "stars": "5,714", + "forks": "752", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1517779?s=40&v=4", - "name": "sb2nov", - "url": "https://github.com/sb2nov" + "avatar": "https://avatars.githubusercontent.com/u/23412755?s=40&v=4", + "name": "jensmaurer", + "url": "https://github.com/jensmaurer" }, { - "avatar": "https://avatars.githubusercontent.com/u/146706527?s=40&v=4", - "name": "TheColorDarkBlue", - "url": "https://github.com/TheColorDarkBlue" + "avatar": "https://avatars.githubusercontent.com/u/232783?s=40&v=4", + "name": "zygoloid", + "url": "https://github.com/zygoloid" }, { - "avatar": "https://avatars.githubusercontent.com/u/947595?s=40&v=4", - "name": "dearlordylord", - "url": "https://github.com/dearlordylord" + "avatar": "https://avatars.githubusercontent.com/u/6378233?s=40&v=4", + "name": "tkoeppe", + "url": "https://github.com/tkoeppe" }, { - "avatar": "https://avatars.githubusercontent.com/u/1228142?s=40&v=4", - "name": "greenmoon55", - "url": "https://github.com/greenmoon55" + "avatar": "https://avatars.githubusercontent.com/u/6687528?s=40&v=4", + "name": "burblebee", + "url": "https://github.com/burblebee" }, { - "avatar": "https://avatars.githubusercontent.com/u/6411209?s=40&v=4", - "name": "ygnr", - "url": "https://github.com/ygnr" + "avatar": "https://avatars.githubusercontent.com/u/1254480?s=40&v=4", + "name": "jwakely", + "url": "https://github.com/jwakely" } ] }, { - "title": "Cactus-proj/A-Philosophy-of-Software-Design-zh", - "url": "https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh", - "description": "A Philosophy of Software Design 《软件设计的哲学》中文翻译", + "title": "vdumoulin/conv_arithmetic", + "url": "https://github.com/vdumoulin/conv_arithmetic", + "description": "A technical report on convolution arithmetic in the context of deep learning", "language": "TeX", "languageColor": "#3D6117", - "stars": "716", - "forks": "270", + "stars": "14,081", + "forks": "2,289", "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5158738?s=40&v=4", - "name": "inkydragon", - "url": "https://github.com/inkydragon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14055251?s=40&v=4", - "name": "wanghuanwei", - "url": "https://github.com/wanghuanwei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33390928?s=40&v=4", - "name": "gdut-yy", - "url": "https://github.com/gdut-yy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1365962?s=40&v=4", - "name": "BlackGlory", - "url": "https://github.com/BlackGlory" + "avatar": "https://avatars.githubusercontent.com/u/2034067?s=40&v=4", + "name": "vdumoulin", + "url": "https://github.com/vdumoulin" }, { - "avatar": "https://avatars.githubusercontent.com/u/48850370?s=40&v=4", - "name": "liquid207", - "url": "https://github.com/liquid207" + "avatar": "https://avatars.githubusercontent.com/u/4639261?s=40&v=4", + "name": "fvisin", + "url": "https://github.com/fvisin" } ] }, { - "title": "billryan/resume", - "url": "https://github.com/billryan/resume", - "description": "An elegant \\LaTeX\\ résumé template. 大陆镜像 https://gods.coding.net/p/resume/git", + "title": "saadq/resumake.io", + "url": "https://github.com/saadq/resumake.io", + "description": "📝 A website for automatically generating elegant LaTeX resumes.", "language": "TeX", "languageColor": "#3D6117", - "stars": "9,304", - "forks": "2,614", - "addStars": "2", + "stars": "3,323", + "forks": "446", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1292567?s=40&v=4", - "name": "billryan", - "url": "https://github.com/billryan" + "avatar": "https://avatars.githubusercontent.com/u/5678694?s=40&v=4", + "name": "saadq", + "url": "https://github.com/saadq" }, { - "avatar": "https://avatars.githubusercontent.com/u/22552597?s=40&v=4", - "name": "Lovelace42", - "url": "https://github.com/Lovelace42" + "avatar": "https://avatars.githubusercontent.com/u/16341131?s=40&v=4", + "name": "ducaale", + "url": "https://github.com/ducaale" }, { - "avatar": "https://avatars.githubusercontent.com/u/24441206?s=40&v=4", - "name": "sedrakk", - "url": "https://github.com/sedrakk" + "avatar": "https://avatars.githubusercontent.com/u/98285166?s=40&v=4", + "name": "devdrowsy", + "url": "https://github.com/devdrowsy" }, { - "avatar": "https://avatars.githubusercontent.com/u/25968335?s=40&v=4", - "name": "remiliacn", - "url": "https://github.com/remiliacn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51283607?s=40&v=4", - "name": "SARIKAMB", - "url": "https://github.com/SARIKAMB" + "avatar": "https://avatars.githubusercontent.com/u/7316730?s=40&v=4", + "name": "monkeywithacupcake", + "url": "https://github.com/monkeywithacupcake" } ] }, { - "title": "riscv/riscv-isa-manual", - "url": "https://github.com/riscv/riscv-isa-manual", - "description": "RISC-V Instruction Set Manual", + "title": "zhanwen/MathModel", + "url": "https://github.com/zhanwen/MathModel", + "description": "研究生数学建模,本科生数学建模、数学建模竞赛优秀论文,数学建模算法,LaTeX论文模板,算法思维导图,参考书籍,Matlab软件教程,PPT", "language": "TeX", "languageColor": "#3D6117", - "stars": "3,704", - "forks": "645", - "addStars": "0", + "stars": "8,304", + "forks": "2,137", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/57188?s=40&v=4", - "name": "wmat", - "url": "https://github.com/wmat" + "avatar": "https://avatars.githubusercontent.com/u/11382789?s=40&v=4", + "name": "zhanwen", + "url": "https://github.com/zhanwen" }, { - "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", - "name": "aswaterman", - "url": "https://github.com/aswaterman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/786505?s=40&v=4", - "name": "kasanovic", - "url": "https://github.com/kasanovic" + "avatar": "https://avatars.githubusercontent.com/u/28013506?s=40&v=4", + "name": "Sophia-fez", + "url": "https://github.com/Sophia-fez" }, { - "avatar": "https://avatars.githubusercontent.com/u/5017946?s=40&v=4", - "name": "kersten1", - "url": "https://github.com/kersten1" + "avatar": "https://avatars.githubusercontent.com/u/53591990?s=40&v=4", + "name": "CosmosView", + "url": "https://github.com/CosmosView" }, { - "avatar": "https://avatars.githubusercontent.com/u/31252952?s=40&v=4", - "name": "jhauser-us", - "url": "https://github.com/jhauser-us" + "avatar": "https://avatars.githubusercontent.com/u/87629670?s=40&v=4", + "name": "WayneWu0526", + "url": "https://github.com/WayneWu0526" } ] }, { - "title": "sysprog21/lkmpg", - "url": "https://github.com/sysprog21/lkmpg", - "description": "The Linux Kernel Module Programming Guide (updated for 5.0+ kernels)", + "title": "lib-pku/libpku", + "url": "https://github.com/lib-pku/libpku", + "description": "贵校课程资料民间整理", "language": "TeX", "languageColor": "#3D6117", - "stars": "7,681", - "forks": "524", - "addStars": "2", + "stars": "30,443", + "forks": "8,262", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/478921?s=40&v=4", - "name": "jserv", - "url": "https://github.com/jserv" + "avatar": "https://avatars.githubusercontent.com/u/45264158?s=40&v=4", + "name": "librarian-pku", + "url": "https://github.com/librarian-pku" }, { - "avatar": "https://avatars.githubusercontent.com/u/66012716?s=40&v=4", - "name": "linD026", - "url": "https://github.com/linD026" + "avatar": "https://avatars.githubusercontent.com/u/35071593?s=40&v=4", + "name": "littlesulley", + "url": "https://github.com/littlesulley" }, { - "avatar": "https://avatars.githubusercontent.com/u/58484289?s=40&v=4", - "name": "fennecJ", - "url": "https://github.com/fennecJ" + "avatar": "https://avatars.githubusercontent.com/u/47054269?s=40&v=4", + "name": "SyzygyYuan", + "url": "https://github.com/SyzygyYuan" }, { - "avatar": "https://avatars.githubusercontent.com/u/214348?s=40&v=4", - "name": "mechanicalamit", - "url": "https://github.com/mechanicalamit" + "avatar": "https://avatars.githubusercontent.com/u/44885400?s=40&v=4", + "name": "colizz", + "url": "https://github.com/colizz" }, { - "avatar": "https://avatars.githubusercontent.com/u/27193514?s=40&v=4", - "name": "NOVBobLee", - "url": "https://github.com/NOVBobLee" + "avatar": "https://avatars.githubusercontent.com/u/31237954?s=40&v=4", + "name": "brenner8023", + "url": "https://github.com/brenner8023" } ] }, { - "title": "tuhdo/os01", - "url": "https://github.com/tuhdo/os01", - "description": "Bootstrap yourself to write an OS from scratch. A book for self-learner.", + "title": "Cactus-proj/A-Philosophy-of-Software-Design-zh", + "url": "https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh", + "description": "A Philosophy of Software Design 《软件设计的哲学》中文翻译", "language": "TeX", "languageColor": "#3D6117", - "stars": "12,020", - "forks": "710", - "addStars": "3", + "stars": "717", + "forks": "271", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4818719?s=40&v=4", - "name": "tuhdo", - "url": "https://github.com/tuhdo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12422195?s=40&v=4", - "name": "ryangalamb", - "url": "https://github.com/ryangalamb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6293125?s=40&v=4", - "name": "Kriskras99", - "url": "https://github.com/Kriskras99" + "avatar": "https://avatars.githubusercontent.com/u/5158738?s=40&v=4", + "name": "inkydragon", + "url": "https://github.com/inkydragon" }, { - "avatar": "https://avatars.githubusercontent.com/u/16965931?s=40&v=4", - "name": "sloganking", - "url": "https://github.com/sloganking" + "avatar": "https://avatars.githubusercontent.com/u/14055251?s=40&v=4", + "name": "wanghuanwei", + "url": "https://github.com/wanghuanwei" }, { - "avatar": "https://avatars.githubusercontent.com/u/939551?s=40&v=4", - "name": "battaile", - "url": "https://github.com/battaile" - } - ] - }, - { - "title": "dnl-blkv/mcdowell-cv", - "url": "https://github.com/dnl-blkv/mcdowell-cv", - "description": "A Nice-looking CV template made into LaTeX", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "2,191", - "forks": "776", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6583731?s=40&v=4", - "name": "dnl-blkv", - "url": "https://github.com/dnl-blkv" + "avatar": "https://avatars.githubusercontent.com/u/33390928?s=40&v=4", + "name": "gdut-yy", + "url": "https://github.com/gdut-yy" }, { - "avatar": "https://avatars.githubusercontent.com/u/1695417?s=40&v=4", - "name": "drFerg", - "url": "https://github.com/drFerg" + "avatar": "https://avatars.githubusercontent.com/u/1365962?s=40&v=4", + "name": "BlackGlory", + "url": "https://github.com/BlackGlory" }, { - "avatar": "https://avatars.githubusercontent.com/u/65634473?s=40&v=4", - "name": "Alvi09", - "url": "https://github.com/Alvi09" + "avatar": "https://avatars.githubusercontent.com/u/48850370?s=40&v=4", + "name": "liquid207", + "url": "https://github.com/liquid207" } ] }, { - "title": "cplusplus/draft", - "url": "https://github.com/cplusplus/draft", - "description": "C++ standards drafts", + "title": "exacity/deeplearningbook-chinese", + "url": "https://github.com/exacity/deeplearningbook-chinese", + "description": "Deep Learning Book Chinese Translation", "language": "TeX", "languageColor": "#3D6117", - "stars": "5,714", - "forks": "752", - "addStars": "2", + "stars": "35,831", + "forks": "9,114", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23412755?s=40&v=4", - "name": "jensmaurer", - "url": "https://github.com/jensmaurer" + "avatar": "https://avatars.githubusercontent.com/u/1974742?s=40&v=4", + "name": "SwordYork", + "url": "https://github.com/SwordYork" }, { - "avatar": "https://avatars.githubusercontent.com/u/232783?s=40&v=4", - "name": "zygoloid", - "url": "https://github.com/zygoloid" + "avatar": "https://avatars.githubusercontent.com/u/6804598?s=40&v=4", + "name": "liber145", + "url": "https://github.com/liber145" }, { - "avatar": "https://avatars.githubusercontent.com/u/6378233?s=40&v=4", - "name": "tkoeppe", - "url": "https://github.com/tkoeppe" + "avatar": "https://avatars.githubusercontent.com/u/5087210?s=40&v=4", + "name": "futianfan", + "url": "https://github.com/futianfan" }, { - "avatar": "https://avatars.githubusercontent.com/u/6687528?s=40&v=4", - "name": "burblebee", - "url": "https://github.com/burblebee" + "avatar": "https://avatars.githubusercontent.com/u/24370741?s=40&v=4", + "name": "KevinLee1110", + "url": "https://github.com/KevinLee1110" }, { - "avatar": "https://avatars.githubusercontent.com/u/1254480?s=40&v=4", - "name": "jwakely", - "url": "https://github.com/jwakely" + "avatar": "https://avatars.githubusercontent.com/u/1014132?s=40&v=4", + "name": "zhoupeng", + "url": "https://github.com/zhoupeng" } ] }, { - "title": "tuna/thuthesis", - "url": "https://github.com/tuna/thuthesis", - "description": "LaTeX Thesis Template for Tsinghua University", + "title": "libretro/docs", + "url": "https://github.com/libretro/docs", + "description": "This is a repo of the RetroArch official document page.", "language": "TeX", "languageColor": "#3D6117", - "stars": "4,606", - "forks": "1,081", - "addStars": "1", + "stars": "260", + "forks": "464", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1863?s=40&v=4", - "name": "xueruini", - "url": "https://github.com/xueruini" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12290822?s=40&v=4", - "name": "zepinglee", - "url": "https://github.com/zepinglee" + "avatar": "https://avatars.githubusercontent.com/u/4651944?s=40&v=4", + "name": "fpscan", + "url": "https://github.com/fpscan" }, { - "avatar": "https://avatars.githubusercontent.com/u/2819727?s=40&v=4", - "name": "Harry-Chen", - "url": "https://github.com/Harry-Chen" + "avatar": "https://avatars.githubusercontent.com/u/1075274?s=40&v=4", + "name": "inactive123", + "url": "https://github.com/inactive123" }, { - "avatar": "https://avatars.githubusercontent.com/u/933935?s=40&v=4", - "name": "alick", - "url": "https://github.com/alick" + "avatar": "https://avatars.githubusercontent.com/u/2805586?s=40&v=4", + "name": "hizzlekizzle", + "url": "https://github.com/hizzlekizzle" }, { - "avatar": "https://avatars.githubusercontent.com/u/110140?s=40&v=4", - "name": "frankpzh", - "url": "https://github.com/frankpzh" - } - ] - }, - { - "title": "vdumoulin/conv_arithmetic", - "url": "https://github.com/vdumoulin/conv_arithmetic", - "description": "A technical report on convolution arithmetic in the context of deep learning", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "14,079", - "forks": "2,289", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2034067?s=40&v=4", - "name": "vdumoulin", - "url": "https://github.com/vdumoulin" + "avatar": "https://avatars.githubusercontent.com/u/6416073?s=40&v=4", + "name": "davidhedlund", + "url": "https://github.com/davidhedlund" }, { - "avatar": "https://avatars.githubusercontent.com/u/4639261?s=40&v=4", - "name": "fvisin", - "url": "https://github.com/fvisin" + "avatar": "https://avatars.githubusercontent.com/u/19554678?s=40&v=4", + "name": "markwkidd", + "url": "https://github.com/markwkidd" } ] }, { - "title": "lib-pku/libpku", - "url": "https://github.com/lib-pku/libpku", - "description": "贵校课程资料民间整理", + "title": "posquit0/Awesome-CV", + "url": "https://github.com/posquit0/Awesome-CV", + "description": "📄 Awesome CV is LaTeX template for your outstanding job application", "language": "TeX", "languageColor": "#3D6117", - "stars": "30,442", - "forks": "8,262", - "addStars": "5", + "stars": "23,271", + "forks": "4,814", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45264158?s=40&v=4", - "name": "librarian-pku", - "url": "https://github.com/librarian-pku" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35071593?s=40&v=4", - "name": "littlesulley", - "url": "https://github.com/littlesulley" + "avatar": "https://avatars.githubusercontent.com/u/1484002?s=40&v=4", + "name": "posquit0", + "url": "https://github.com/posquit0" }, { - "avatar": "https://avatars.githubusercontent.com/u/47054269?s=40&v=4", - "name": "SyzygyYuan", - "url": "https://github.com/SyzygyYuan" + "avatar": "https://avatars.githubusercontent.com/u/690051?s=40&v=4", + "name": "nitrogl", + "url": "https://github.com/nitrogl" }, { - "avatar": "https://avatars.githubusercontent.com/u/44885400?s=40&v=4", - "name": "colizz", - "url": "https://github.com/colizz" + "avatar": "https://avatars.githubusercontent.com/u/3946014?s=40&v=4", + "name": "OJFord", + "url": "https://github.com/OJFord" }, { - "avatar": "https://avatars.githubusercontent.com/u/31237954?s=40&v=4", - "name": "brenner8023", - "url": "https://github.com/brenner8023" + "avatar": "https://avatars.githubusercontent.com/u/8258702?s=40&v=4", + "name": "johannesbottcher", + "url": "https://github.com/johannesbottcher" } ] }, { - "title": "Wandmalfarbe/pandoc-latex-template", - "url": "https://github.com/Wandmalfarbe/pandoc-latex-template", - "description": "A pandoc LaTeX template to convert markdown files to PDF or LaTeX.", + "title": "latex3/latex2e", + "url": "https://github.com/latex3/latex2e", + "description": "The LaTeX2e kernel", "language": "TeX", "languageColor": "#3D6117", - "stars": "6,240", - "forks": "968", - "addStars": "1", + "stars": "1,947", + "forks": "267", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17237627?s=40&v=4", - "name": "Wandmalfarbe", - "url": "https://github.com/Wandmalfarbe" + "avatar": "https://avatars.githubusercontent.com/u/1062981?s=40&v=4", + "name": "FrankMittelbach", + "url": "https://github.com/FrankMittelbach" }, { - "avatar": "https://avatars.githubusercontent.com/u/4822805?s=40&v=4", - "name": "wollanup", - "url": "https://github.com/wollanup" + "avatar": "https://avatars.githubusercontent.com/u/654518?s=40&v=4", + "name": "josephwright", + "url": "https://github.com/josephwright" }, { - "avatar": "https://avatars.githubusercontent.com/u/165646?s=40&v=4", - "name": "daamien", - "url": "https://github.com/daamien" + "avatar": "https://avatars.githubusercontent.com/u/1268738?s=40&v=4", + "name": "davidcarlisle", + "url": "https://github.com/davidcarlisle" }, { - "avatar": "https://avatars.githubusercontent.com/u/4398066?s=40&v=4", - "name": "khaveesh", - "url": "https://github.com/khaveesh" + "avatar": "https://avatars.githubusercontent.com/u/12678598?s=40&v=4", + "name": "PhelypeOleinik", + "url": "https://github.com/PhelypeOleinik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4047173?s=40&v=4", + "name": "u-fischer", + "url": "https://github.com/u-fischer" } ] }, { - "title": "AllenDowney/ThinkPython2", - "url": "https://github.com/AllenDowney/ThinkPython2", - "description": "LaTeX source and supporting code for Think Python, 2nd edition, by Allen Downey.", + "title": "riscv/riscv-isa-manual", + "url": "https://github.com/riscv/riscv-isa-manual", + "description": "RISC-V Instruction Set Manual", "language": "TeX", "languageColor": "#3D6117", - "stars": "2,511", - "forks": "1,658", - "addStars": "1", + "stars": "3,704", + "forks": "644", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1882093?s=40&v=4", - "name": "AllenDowney", - "url": "https://github.com/AllenDowney" + "avatar": "https://avatars.githubusercontent.com/u/57188?s=40&v=4", + "name": "wmat", + "url": "https://github.com/wmat" }, { - "avatar": "https://avatars.githubusercontent.com/u/42013600?s=40&v=4", - "name": "espinoza", - "url": "https://github.com/espinoza" + "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", + "name": "aswaterman", + "url": "https://github.com/aswaterman" }, { - "avatar": "https://avatars.githubusercontent.com/u/8841425?s=40&v=4", - "name": "lbordoni", - "url": "https://github.com/lbordoni" + "avatar": "https://avatars.githubusercontent.com/u/786505?s=40&v=4", + "name": "kasanovic", + "url": "https://github.com/kasanovic" }, { - "avatar": "https://avatars.githubusercontent.com/u/9666?s=40&v=4", - "name": "marked", - "url": "https://github.com/marked" + "avatar": "https://avatars.githubusercontent.com/u/5017946?s=40&v=4", + "name": "kersten1", + "url": "https://github.com/kersten1" }, { - "avatar": "https://avatars.githubusercontent.com/u/88080?s=40&v=4", - "name": "lbt", - "url": "https://github.com/lbt" + "avatar": "https://avatars.githubusercontent.com/u/31252952?s=40&v=4", + "name": "jhauser-us", + "url": "https://github.com/jhauser-us" } ] }, { - "title": "deedy/Deedy-Resume", - "url": "https://github.com/deedy/Deedy-Resume", - "description": "A one page , two asymmetric column resume template in XeTeX that caters to an undergraduate Computer Science student", + "title": "tuhdo/os01", + "url": "https://github.com/tuhdo/os01", + "description": "Bootstrap yourself to write an OS from scratch. A book for self-learner.", "language": "TeX", "languageColor": "#3D6117", - "stars": "4,802", - "forks": "1,262", - "addStars": "2", + "stars": "12,019", + "forks": "710", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1846373?s=40&v=4", - "name": "deedy", - "url": "https://github.com/deedy" + "avatar": "https://avatars.githubusercontent.com/u/4818719?s=40&v=4", + "name": "tuhdo", + "url": "https://github.com/tuhdo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12422195?s=40&v=4", + "name": "ryangalamb", + "url": "https://github.com/ryangalamb" }, { - "avatar": "https://avatars.githubusercontent.com/u/1873994?s=40&v=4", - "name": "alanyee", - "url": "https://github.com/alanyee" + "avatar": "https://avatars.githubusercontent.com/u/6293125?s=40&v=4", + "name": "Kriskras99", + "url": "https://github.com/Kriskras99" }, { - "avatar": "https://avatars.githubusercontent.com/u/4419640?s=40&v=4", - "name": "smihir", - "url": "https://github.com/smihir" + "avatar": "https://avatars.githubusercontent.com/u/16965931?s=40&v=4", + "name": "sloganking", + "url": "https://github.com/sloganking" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/939551?s=40&v=4", + "name": "battaile", + "url": "https://github.com/battaile" } ] }, { - "title": "openmlsys/openmlsys-zh", - "url": "https://github.com/openmlsys/openmlsys-zh", - "description": "《Machine Learning Systems: Design and Implementation》- Chinese Version", + "title": "moesoha/debian-media-box", + "url": "https://github.com/moesoha/debian-media-box", + "description": "“Debian 小药盒”,一个用来包装 Debian 安装介质的盒子设计和介绍用的说明书。", "language": "TeX", "languageColor": "#3D6117", - "stars": "4,087", - "forks": "436", - "addStars": "1", + "stars": "1,084", + "forks": "63", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/39682259?s=40&v=4", - "name": "eedalong", - "url": "https://github.com/eedalong" + "avatar": "https://avatars.githubusercontent.com/u/5895757?s=40&v=4", + "name": "moesoha", + "url": "https://github.com/moesoha" }, { - "avatar": "https://avatars.githubusercontent.com/u/73918561?s=40&v=4", - "name": "hanjr92", - "url": "https://github.com/hanjr92" + "avatar": "https://avatars.githubusercontent.com/u/12855915?s=40&v=4", + "name": "YukariChiba", + "url": "https://github.com/YukariChiba" }, { - "avatar": "https://avatars.githubusercontent.com/u/1136455?s=40&v=4", - "name": "luomai", - "url": "https://github.com/luomai" + "avatar": "https://avatars.githubusercontent.com/u/13282380?s=40&v=4", + "name": "Guochengjie", + "url": "https://github.com/Guochengjie" }, { - "avatar": "https://avatars.githubusercontent.com/u/22659010?s=40&v=4", - "name": "quantumiracle", - "url": "https://github.com/quantumiracle" + "avatar": "https://avatars.githubusercontent.com/u/16517322?s=40&v=4", + "name": "david4958606", + "url": "https://github.com/david4958606" }, { - "avatar": "https://avatars.githubusercontent.com/u/17228522?s=40&v=4", - "name": "Rudysheeppig", - "url": "https://github.com/Rudysheeppig" + "avatar": "https://avatars.githubusercontent.com/u/21251711?s=40&v=4", + "name": "LaMP57", + "url": "https://github.com/LaMP57" } ] }, { - "title": "soulmachine/machine-learning-cheat-sheet", - "url": "https://github.com/soulmachine/machine-learning-cheat-sheet", - "description": "Classical equations and diagrams in machine learning", + "title": "mooculus/calculus", + "url": "https://github.com/mooculus/calculus", + "description": "", "language": "TeX", "languageColor": "#3D6117", - "stars": "7,490", - "forks": "1,268", - "addStars": "5", + "stars": "139", + "forks": "452", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/620768?s=40&v=4", - "name": "soulmachine", - "url": "https://github.com/soulmachine" + "avatar": "https://avatars.githubusercontent.com/u/1376703?s=40&v=4", + "name": "bartsnapp", + "url": "https://github.com/bartsnapp" }, { - "avatar": "https://avatars.githubusercontent.com/u/2225358?s=40&v=4", - "name": "flytomylife", - "url": "https://github.com/flytomylife" + "avatar": "https://avatars.githubusercontent.com/u/29204883?s=40&v=4", + "name": "Nelalakos", + "url": "https://github.com/Nelalakos" }, { - "avatar": "https://avatars.githubusercontent.com/u/54361529?s=40&v=4", - "name": "arun-prathap", - "url": "https://github.com/arun-prathap" + "avatar": "https://avatars.githubusercontent.com/u/12518889?s=40&v=4", + "name": "NDMathHo", + "url": "https://github.com/NDMathHo" }, { - "avatar": "https://avatars.githubusercontent.com/u/19606164?s=40&v=4", - "name": "ilivans", - "url": "https://github.com/ilivans" + "avatar": "https://avatars.githubusercontent.com/u/148352?s=40&v=4", + "name": "kisonecat", + "url": "https://github.com/kisonecat" }, { - "avatar": "https://avatars.githubusercontent.com/u/406396?s=40&v=4", - "name": "kv-gh", - "url": "https://github.com/kv-gh" + "avatar": "https://avatars.githubusercontent.com/u/5612650?s=40&v=4", + "name": "jgeorge287", + "url": "https://github.com/jgeorge287" } ] } diff --git a/data/daily/tex.xml b/data/daily/tex.xml index 9530fd1393a6..9bef76f1c3f2 100644 --- a/data/daily/tex.xml +++ b/data/daily/tex.xml @@ -3,78 +3,7 @@ GitHub Tex Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - posquit0/Awesome-CV - https://github.com/posquit0/Awesome-CV - 📄 Awesome CV is LaTeX template for your outstanding job application - https://github.com/posquit0/Awesome-CV - TeX - #3D6117 - 23,271 - 4,812 - 9 - - - https://avatars.githubusercontent.com/u/1484002?s=40&v=4 - posquit0 - https://github.com/posquit0 - - - https://avatars.githubusercontent.com/u/690051?s=40&v=4 - nitrogl - https://github.com/nitrogl - - - https://avatars.githubusercontent.com/u/3946014?s=40&v=4 - OJFord - https://github.com/OJFord - - - https://avatars.githubusercontent.com/u/8258702?s=40&v=4 - johannesbottcher - https://github.com/johannesbottcher - - - - - HarisIqbal88/PlotNeuralNet - https://github.com/HarisIqbal88/PlotNeuralNet - Latex code for making neural networks diagrams - https://github.com/HarisIqbal88/PlotNeuralNet - TeX - #3D6117 - 22,217 - 2,884 - 4 - - - https://avatars.githubusercontent.com/u/17570785?s=40&v=4 - HarisIqbal88 - https://github.com/HarisIqbal88 - - - https://avatars.githubusercontent.com/u/12168172?s=40&v=4 - pedrodiamel - https://github.com/pedrodiamel - - - https://avatars.githubusercontent.com/u/22336020?s=40&v=4 - weslynn - https://github.com/weslynn - - - https://avatars.githubusercontent.com/u/4074659?s=40&v=4 - mbrukman - https://github.com/mbrukman - - - https://avatars.githubusercontent.com/u/9316076?s=40&v=4 - Brandon-HY-Lin - https://github.com/Brandon-HY-Lin - - - + Mon, 25 Nov 2024 13:30:36 GMT lervag/vimtex https://github.com/lervag/vimtex @@ -114,570 +43,504 @@ - sb2nov/resume - https://github.com/sb2nov/resume - Software developer resume in Latex - https://github.com/sb2nov/resume + cplusplus/draft + https://github.com/cplusplus/draft + C++ standards drafts + https://github.com/cplusplus/draft TeX #3D6117 - 5,316 - 1,497 - 0 + 5,714 + 752 + 2 - https://avatars.githubusercontent.com/u/1517779?s=40&v=4 - sb2nov - https://github.com/sb2nov + https://avatars.githubusercontent.com/u/23412755?s=40&v=4 + jensmaurer + https://github.com/jensmaurer - https://avatars.githubusercontent.com/u/146706527?s=40&v=4 - TheColorDarkBlue - https://github.com/TheColorDarkBlue + https://avatars.githubusercontent.com/u/232783?s=40&v=4 + zygoloid + https://github.com/zygoloid - https://avatars.githubusercontent.com/u/947595?s=40&v=4 - dearlordylord - https://github.com/dearlordylord + https://avatars.githubusercontent.com/u/6378233?s=40&v=4 + tkoeppe + https://github.com/tkoeppe - https://avatars.githubusercontent.com/u/1228142?s=40&v=4 - greenmoon55 - https://github.com/greenmoon55 + https://avatars.githubusercontent.com/u/6687528?s=40&v=4 + burblebee + https://github.com/burblebee - https://avatars.githubusercontent.com/u/6411209?s=40&v=4 - ygnr - https://github.com/ygnr + https://avatars.githubusercontent.com/u/1254480?s=40&v=4 + jwakely + https://github.com/jwakely - Cactus-proj/A-Philosophy-of-Software-Design-zh - https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh - A Philosophy of Software Design 《软件设计的哲学》中文翻译 - https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh + vdumoulin/conv_arithmetic + https://github.com/vdumoulin/conv_arithmetic + A technical report on convolution arithmetic in the context of deep learning + https://github.com/vdumoulin/conv_arithmetic TeX #3D6117 - 716 - 270 + 14,081 + 2,289 4 - https://avatars.githubusercontent.com/u/5158738?s=40&v=4 - inkydragon - https://github.com/inkydragon - - - https://avatars.githubusercontent.com/u/14055251?s=40&v=4 - wanghuanwei - https://github.com/wanghuanwei - - - https://avatars.githubusercontent.com/u/33390928?s=40&v=4 - gdut-yy - https://github.com/gdut-yy - - - https://avatars.githubusercontent.com/u/1365962?s=40&v=4 - BlackGlory - https://github.com/BlackGlory + https://avatars.githubusercontent.com/u/2034067?s=40&v=4 + vdumoulin + https://github.com/vdumoulin - https://avatars.githubusercontent.com/u/48850370?s=40&v=4 - liquid207 - https://github.com/liquid207 + https://avatars.githubusercontent.com/u/4639261?s=40&v=4 + fvisin + https://github.com/fvisin - billryan/resume - https://github.com/billryan/resume - An elegant \LaTeX\ résumé template. 大陆镜像 https://gods.coding.net/p/resume/git - https://github.com/billryan/resume + saadq/resumake.io + https://github.com/saadq/resumake.io + 📝 A website for automatically generating elegant LaTeX resumes. + https://github.com/saadq/resumake.io TeX #3D6117 - 9,304 - 2,614 - 2 + 3,323 + 446 + 4 - https://avatars.githubusercontent.com/u/1292567?s=40&v=4 - billryan - https://github.com/billryan + https://avatars.githubusercontent.com/u/5678694?s=40&v=4 + saadq + https://github.com/saadq - https://avatars.githubusercontent.com/u/22552597?s=40&v=4 - Lovelace42 - https://github.com/Lovelace42 + https://avatars.githubusercontent.com/u/16341131?s=40&v=4 + ducaale + https://github.com/ducaale - https://avatars.githubusercontent.com/u/24441206?s=40&v=4 - sedrakk - https://github.com/sedrakk + https://avatars.githubusercontent.com/u/98285166?s=40&v=4 + devdrowsy + https://github.com/devdrowsy - https://avatars.githubusercontent.com/u/25968335?s=40&v=4 - remiliacn - https://github.com/remiliacn - - - https://avatars.githubusercontent.com/u/51283607?s=40&v=4 - SARIKAMB - https://github.com/SARIKAMB + https://avatars.githubusercontent.com/u/7316730?s=40&v=4 + monkeywithacupcake + https://github.com/monkeywithacupcake - riscv/riscv-isa-manual - https://github.com/riscv/riscv-isa-manual - RISC-V Instruction Set Manual - https://github.com/riscv/riscv-isa-manual + zhanwen/MathModel + https://github.com/zhanwen/MathModel + 研究生数学建模,本科生数学建模、数学建模竞赛优秀论文,数学建模算法,LaTeX论文模板,算法思维导图,参考书籍,Matlab软件教程,PPT + https://github.com/zhanwen/MathModel TeX #3D6117 - 3,704 - 645 - 0 + 8,304 + 2,137 + 5 - https://avatars.githubusercontent.com/u/57188?s=40&v=4 - wmat - https://github.com/wmat - - - https://avatars.githubusercontent.com/u/1031106?s=40&v=4 - aswaterman - https://github.com/aswaterman + https://avatars.githubusercontent.com/u/11382789?s=40&v=4 + zhanwen + https://github.com/zhanwen - https://avatars.githubusercontent.com/u/786505?s=40&v=4 - kasanovic - https://github.com/kasanovic + https://avatars.githubusercontent.com/u/28013506?s=40&v=4 + Sophia-fez + https://github.com/Sophia-fez - https://avatars.githubusercontent.com/u/5017946?s=40&v=4 - kersten1 - https://github.com/kersten1 + https://avatars.githubusercontent.com/u/53591990?s=40&v=4 + CosmosView + https://github.com/CosmosView - https://avatars.githubusercontent.com/u/31252952?s=40&v=4 - jhauser-us - https://github.com/jhauser-us + https://avatars.githubusercontent.com/u/87629670?s=40&v=4 + WayneWu0526 + https://github.com/WayneWu0526 - sysprog21/lkmpg - https://github.com/sysprog21/lkmpg - The Linux Kernel Module Programming Guide (updated for 5.0+ kernels) - https://github.com/sysprog21/lkmpg + lib-pku/libpku + https://github.com/lib-pku/libpku + 贵校课程资料民间整理 + https://github.com/lib-pku/libpku TeX #3D6117 - 7,681 - 524 - 2 + 30,443 + 8,262 + 5 - https://avatars.githubusercontent.com/u/478921?s=40&v=4 - jserv - https://github.com/jserv + https://avatars.githubusercontent.com/u/45264158?s=40&v=4 + librarian-pku + https://github.com/librarian-pku - https://avatars.githubusercontent.com/u/66012716?s=40&v=4 - linD026 - https://github.com/linD026 + https://avatars.githubusercontent.com/u/35071593?s=40&v=4 + littlesulley + https://github.com/littlesulley - https://avatars.githubusercontent.com/u/58484289?s=40&v=4 - fennecJ - https://github.com/fennecJ + https://avatars.githubusercontent.com/u/47054269?s=40&v=4 + SyzygyYuan + https://github.com/SyzygyYuan - https://avatars.githubusercontent.com/u/214348?s=40&v=4 - mechanicalamit - https://github.com/mechanicalamit + https://avatars.githubusercontent.com/u/44885400?s=40&v=4 + colizz + https://github.com/colizz - https://avatars.githubusercontent.com/u/27193514?s=40&v=4 - NOVBobLee - https://github.com/NOVBobLee + https://avatars.githubusercontent.com/u/31237954?s=40&v=4 + brenner8023 + https://github.com/brenner8023 - tuhdo/os01 - https://github.com/tuhdo/os01 - Bootstrap yourself to write an OS from scratch. A book for self-learner. - https://github.com/tuhdo/os01 + Cactus-proj/A-Philosophy-of-Software-Design-zh + https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh + A Philosophy of Software Design 《软件设计的哲学》中文翻译 + https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh TeX #3D6117 - 12,020 - 710 - 3 + 717 + 271 + 4 - https://avatars.githubusercontent.com/u/4818719?s=40&v=4 - tuhdo - https://github.com/tuhdo - - - https://avatars.githubusercontent.com/u/12422195?s=40&v=4 - ryangalamb - https://github.com/ryangalamb - - - https://avatars.githubusercontent.com/u/6293125?s=40&v=4 - Kriskras99 - https://github.com/Kriskras99 - - - https://avatars.githubusercontent.com/u/16965931?s=40&v=4 - sloganking - https://github.com/sloganking + https://avatars.githubusercontent.com/u/5158738?s=40&v=4 + inkydragon + https://github.com/inkydragon - https://avatars.githubusercontent.com/u/939551?s=40&v=4 - battaile - https://github.com/battaile + https://avatars.githubusercontent.com/u/14055251?s=40&v=4 + wanghuanwei + https://github.com/wanghuanwei - - - - dnl-blkv/mcdowell-cv - https://github.com/dnl-blkv/mcdowell-cv - A Nice-looking CV template made into LaTeX - https://github.com/dnl-blkv/mcdowell-cv - TeX - #3D6117 - 2,191 - 776 - 0 - - https://avatars.githubusercontent.com/u/6583731?s=40&v=4 - dnl-blkv - https://github.com/dnl-blkv + https://avatars.githubusercontent.com/u/33390928?s=40&v=4 + gdut-yy + https://github.com/gdut-yy - https://avatars.githubusercontent.com/u/1695417?s=40&v=4 - drFerg - https://github.com/drFerg + https://avatars.githubusercontent.com/u/1365962?s=40&v=4 + BlackGlory + https://github.com/BlackGlory - https://avatars.githubusercontent.com/u/65634473?s=40&v=4 - Alvi09 - https://github.com/Alvi09 + https://avatars.githubusercontent.com/u/48850370?s=40&v=4 + liquid207 + https://github.com/liquid207 - cplusplus/draft - https://github.com/cplusplus/draft - C++ standards drafts - https://github.com/cplusplus/draft + exacity/deeplearningbook-chinese + https://github.com/exacity/deeplearningbook-chinese + Deep Learning Book Chinese Translation + https://github.com/exacity/deeplearningbook-chinese TeX #3D6117 - 5,714 - 752 - 2 + 35,831 + 9,114 + 7 - https://avatars.githubusercontent.com/u/23412755?s=40&v=4 - jensmaurer - https://github.com/jensmaurer + https://avatars.githubusercontent.com/u/1974742?s=40&v=4 + SwordYork + https://github.com/SwordYork - https://avatars.githubusercontent.com/u/232783?s=40&v=4 - zygoloid - https://github.com/zygoloid + https://avatars.githubusercontent.com/u/6804598?s=40&v=4 + liber145 + https://github.com/liber145 - https://avatars.githubusercontent.com/u/6378233?s=40&v=4 - tkoeppe - https://github.com/tkoeppe + https://avatars.githubusercontent.com/u/5087210?s=40&v=4 + futianfan + https://github.com/futianfan - https://avatars.githubusercontent.com/u/6687528?s=40&v=4 - burblebee - https://github.com/burblebee + https://avatars.githubusercontent.com/u/24370741?s=40&v=4 + KevinLee1110 + https://github.com/KevinLee1110 - https://avatars.githubusercontent.com/u/1254480?s=40&v=4 - jwakely - https://github.com/jwakely + https://avatars.githubusercontent.com/u/1014132?s=40&v=4 + zhoupeng + https://github.com/zhoupeng - tuna/thuthesis - https://github.com/tuna/thuthesis - LaTeX Thesis Template for Tsinghua University - https://github.com/tuna/thuthesis + libretro/docs + https://github.com/libretro/docs + This is a repo of the RetroArch official document page. + https://github.com/libretro/docs TeX #3D6117 - 4,606 - 1,081 - 1 + 260 + 464 + 0 - https://avatars.githubusercontent.com/u/1863?s=40&v=4 - xueruini - https://github.com/xueruini - - - https://avatars.githubusercontent.com/u/12290822?s=40&v=4 - zepinglee - https://github.com/zepinglee + https://avatars.githubusercontent.com/u/4651944?s=40&v=4 + fpscan + https://github.com/fpscan - https://avatars.githubusercontent.com/u/2819727?s=40&v=4 - Harry-Chen - https://github.com/Harry-Chen + https://avatars.githubusercontent.com/u/1075274?s=40&v=4 + inactive123 + https://github.com/inactive123 - https://avatars.githubusercontent.com/u/933935?s=40&v=4 - alick - https://github.com/alick + https://avatars.githubusercontent.com/u/2805586?s=40&v=4 + hizzlekizzle + https://github.com/hizzlekizzle - https://avatars.githubusercontent.com/u/110140?s=40&v=4 - frankpzh - https://github.com/frankpzh - - - - - vdumoulin/conv_arithmetic - https://github.com/vdumoulin/conv_arithmetic - A technical report on convolution arithmetic in the context of deep learning - https://github.com/vdumoulin/conv_arithmetic - TeX - #3D6117 - 14,079 - 2,289 - 4 - - - https://avatars.githubusercontent.com/u/2034067?s=40&v=4 - vdumoulin - https://github.com/vdumoulin + https://avatars.githubusercontent.com/u/6416073?s=40&v=4 + davidhedlund + https://github.com/davidhedlund - https://avatars.githubusercontent.com/u/4639261?s=40&v=4 - fvisin - https://github.com/fvisin + https://avatars.githubusercontent.com/u/19554678?s=40&v=4 + markwkidd + https://github.com/markwkidd - lib-pku/libpku - https://github.com/lib-pku/libpku - 贵校课程资料民间整理 - https://github.com/lib-pku/libpku + posquit0/Awesome-CV + https://github.com/posquit0/Awesome-CV + 📄 Awesome CV is LaTeX template for your outstanding job application + https://github.com/posquit0/Awesome-CV TeX #3D6117 - 30,442 - 8,262 - 5 + 23,271 + 4,814 + 9 - https://avatars.githubusercontent.com/u/45264158?s=40&v=4 - librarian-pku - https://github.com/librarian-pku - - - https://avatars.githubusercontent.com/u/35071593?s=40&v=4 - littlesulley - https://github.com/littlesulley + https://avatars.githubusercontent.com/u/1484002?s=40&v=4 + posquit0 + https://github.com/posquit0 - https://avatars.githubusercontent.com/u/47054269?s=40&v=4 - SyzygyYuan - https://github.com/SyzygyYuan + https://avatars.githubusercontent.com/u/690051?s=40&v=4 + nitrogl + https://github.com/nitrogl - https://avatars.githubusercontent.com/u/44885400?s=40&v=4 - colizz - https://github.com/colizz + https://avatars.githubusercontent.com/u/3946014?s=40&v=4 + OJFord + https://github.com/OJFord - https://avatars.githubusercontent.com/u/31237954?s=40&v=4 - brenner8023 - https://github.com/brenner8023 + https://avatars.githubusercontent.com/u/8258702?s=40&v=4 + johannesbottcher + https://github.com/johannesbottcher - Wandmalfarbe/pandoc-latex-template - https://github.com/Wandmalfarbe/pandoc-latex-template - A pandoc LaTeX template to convert markdown files to PDF or LaTeX. - https://github.com/Wandmalfarbe/pandoc-latex-template + latex3/latex2e + https://github.com/latex3/latex2e + The LaTeX2e kernel + https://github.com/latex3/latex2e TeX #3D6117 - 6,240 - 968 - 1 + 1,947 + 267 + 2 - https://avatars.githubusercontent.com/u/17237627?s=40&v=4 - Wandmalfarbe - https://github.com/Wandmalfarbe + https://avatars.githubusercontent.com/u/1062981?s=40&v=4 + FrankMittelbach + https://github.com/FrankMittelbach + + + https://avatars.githubusercontent.com/u/654518?s=40&v=4 + josephwright + https://github.com/josephwright - https://avatars.githubusercontent.com/u/4822805?s=40&v=4 - wollanup - https://github.com/wollanup + https://avatars.githubusercontent.com/u/1268738?s=40&v=4 + davidcarlisle + https://github.com/davidcarlisle - https://avatars.githubusercontent.com/u/165646?s=40&v=4 - daamien - https://github.com/daamien + https://avatars.githubusercontent.com/u/12678598?s=40&v=4 + PhelypeOleinik + https://github.com/PhelypeOleinik - https://avatars.githubusercontent.com/u/4398066?s=40&v=4 - khaveesh - https://github.com/khaveesh + https://avatars.githubusercontent.com/u/4047173?s=40&v=4 + u-fischer + https://github.com/u-fischer - AllenDowney/ThinkPython2 - https://github.com/AllenDowney/ThinkPython2 - LaTeX source and supporting code for Think Python, 2nd edition, by Allen Downey. - https://github.com/AllenDowney/ThinkPython2 + riscv/riscv-isa-manual + https://github.com/riscv/riscv-isa-manual + RISC-V Instruction Set Manual + https://github.com/riscv/riscv-isa-manual TeX #3D6117 - 2,511 - 1,658 - 1 + 3,704 + 644 + 0 - https://avatars.githubusercontent.com/u/1882093?s=40&v=4 - AllenDowney - https://github.com/AllenDowney + https://avatars.githubusercontent.com/u/57188?s=40&v=4 + wmat + https://github.com/wmat - https://avatars.githubusercontent.com/u/42013600?s=40&v=4 - espinoza - https://github.com/espinoza + https://avatars.githubusercontent.com/u/1031106?s=40&v=4 + aswaterman + https://github.com/aswaterman - https://avatars.githubusercontent.com/u/8841425?s=40&v=4 - lbordoni - https://github.com/lbordoni + https://avatars.githubusercontent.com/u/786505?s=40&v=4 + kasanovic + https://github.com/kasanovic - https://avatars.githubusercontent.com/u/9666?s=40&v=4 - marked - https://github.com/marked + https://avatars.githubusercontent.com/u/5017946?s=40&v=4 + kersten1 + https://github.com/kersten1 - https://avatars.githubusercontent.com/u/88080?s=40&v=4 - lbt - https://github.com/lbt + https://avatars.githubusercontent.com/u/31252952?s=40&v=4 + jhauser-us + https://github.com/jhauser-us - deedy/Deedy-Resume - https://github.com/deedy/Deedy-Resume - A one page , two asymmetric column resume template in XeTeX that caters to an undergraduate Computer Science student - https://github.com/deedy/Deedy-Resume + tuhdo/os01 + https://github.com/tuhdo/os01 + Bootstrap yourself to write an OS from scratch. A book for self-learner. + https://github.com/tuhdo/os01 TeX #3D6117 - 4,802 - 1,262 - 2 + 12,019 + 710 + 3 - https://avatars.githubusercontent.com/u/1846373?s=40&v=4 - deedy - https://github.com/deedy + https://avatars.githubusercontent.com/u/4818719?s=40&v=4 + tuhdo + https://github.com/tuhdo - https://avatars.githubusercontent.com/u/1873994?s=40&v=4 - alanyee - https://github.com/alanyee + https://avatars.githubusercontent.com/u/12422195?s=40&v=4 + ryangalamb + https://github.com/ryangalamb - https://avatars.githubusercontent.com/u/4419640?s=40&v=4 - smihir - https://github.com/smihir + https://avatars.githubusercontent.com/u/6293125?s=40&v=4 + Kriskras99 + https://github.com/Kriskras99 + + + https://avatars.githubusercontent.com/u/16965931?s=40&v=4 + sloganking + https://github.com/sloganking + + + https://avatars.githubusercontent.com/u/939551?s=40&v=4 + battaile + https://github.com/battaile - openmlsys/openmlsys-zh - https://github.com/openmlsys/openmlsys-zh - 《Machine Learning Systems: Design and Implementation》- Chinese Version - https://github.com/openmlsys/openmlsys-zh + moesoha/debian-media-box + https://github.com/moesoha/debian-media-box + “Debian 小药盒”,一个用来包装 Debian 安装介质的盒子设计和介绍用的说明书。 + https://github.com/moesoha/debian-media-box TeX #3D6117 - 4,087 - 436 - 1 + 1,084 + 63 + 3 - https://avatars.githubusercontent.com/u/39682259?s=40&v=4 - eedalong - https://github.com/eedalong + https://avatars.githubusercontent.com/u/5895757?s=40&v=4 + moesoha + https://github.com/moesoha - https://avatars.githubusercontent.com/u/73918561?s=40&v=4 - hanjr92 - https://github.com/hanjr92 + https://avatars.githubusercontent.com/u/12855915?s=40&v=4 + YukariChiba + https://github.com/YukariChiba - https://avatars.githubusercontent.com/u/1136455?s=40&v=4 - luomai - https://github.com/luomai + https://avatars.githubusercontent.com/u/13282380?s=40&v=4 + Guochengjie + https://github.com/Guochengjie - https://avatars.githubusercontent.com/u/22659010?s=40&v=4 - quantumiracle - https://github.com/quantumiracle + https://avatars.githubusercontent.com/u/16517322?s=40&v=4 + david4958606 + https://github.com/david4958606 - https://avatars.githubusercontent.com/u/17228522?s=40&v=4 - Rudysheeppig - https://github.com/Rudysheeppig + https://avatars.githubusercontent.com/u/21251711?s=40&v=4 + LaMP57 + https://github.com/LaMP57 - soulmachine/machine-learning-cheat-sheet - https://github.com/soulmachine/machine-learning-cheat-sheet - Classical equations and diagrams in machine learning - https://github.com/soulmachine/machine-learning-cheat-sheet + mooculus/calculus + https://github.com/mooculus/calculus + + https://github.com/mooculus/calculus TeX #3D6117 - 7,490 - 1,268 - 5 + 139 + 452 + 0 - https://avatars.githubusercontent.com/u/620768?s=40&v=4 - soulmachine - https://github.com/soulmachine + https://avatars.githubusercontent.com/u/1376703?s=40&v=4 + bartsnapp + https://github.com/bartsnapp - https://avatars.githubusercontent.com/u/2225358?s=40&v=4 - flytomylife - https://github.com/flytomylife + https://avatars.githubusercontent.com/u/29204883?s=40&v=4 + Nelalakos + https://github.com/Nelalakos - https://avatars.githubusercontent.com/u/54361529?s=40&v=4 - arun-prathap - https://github.com/arun-prathap + https://avatars.githubusercontent.com/u/12518889?s=40&v=4 + NDMathHo + https://github.com/NDMathHo - https://avatars.githubusercontent.com/u/19606164?s=40&v=4 - ilivans - https://github.com/ilivans + https://avatars.githubusercontent.com/u/148352?s=40&v=4 + kisonecat + https://github.com/kisonecat - https://avatars.githubusercontent.com/u/406396?s=40&v=4 - kv-gh - https://github.com/kv-gh + https://avatars.githubusercontent.com/u/5612650?s=40&v=4 + jgeorge287 + https://github.com/jgeorge287 diff --git a/data/daily/texinfo.json b/data/daily/texinfo.json index 65fb23ada20d..5a1ab45e2c89 100644 --- a/data/daily/texinfo.json +++ b/data/daily/texinfo.json @@ -2,6 +2,6 @@ "title": "GitHub Texinfo Languages Daily Trending", "description": "Daily Trending of Texinfo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/texinfo.xml b/data/daily/texinfo.xml index 334058f31f1a..f1c1e6fdd399 100644 --- a/data/daily/texinfo.xml +++ b/data/daily/texinfo.xml @@ -3,6 +3,6 @@ GitHub Texinfo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Texinfo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/text.json b/data/daily/text.json index 84154ee892f5..971842109961 100644 --- a/data/daily/text.json +++ b/data/daily/text.json @@ -2,7 +2,7 @@ "title": "GitHub Text Languages Daily Trending", "description": "Daily Trending of Text Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "DSPBluePrints/FactoryBluePrints", @@ -10,7 +10,7 @@ "description": "游戏戴森球计划的**工厂**蓝图仓库", "language": "Text", "languageColor": "#ccc", - "stars": "1,254", + "stars": "1,255", "forks": "248", "addStars": "1", "contributors": [ diff --git a/data/daily/text.xml b/data/daily/text.xml index 2977517d770a..97c5305405d7 100644 --- a/data/daily/text.xml +++ b/data/daily/text.xml @@ -3,7 +3,7 @@ GitHub Text Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Text Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT DSPBluePrints/FactoryBluePrints https://github.com/DSPBluePrints/FactoryBluePrints @@ -11,7 +11,7 @@ https://github.com/DSPBluePrints/FactoryBluePrints Text #ccc - 1,254 + 1,255 248 1 diff --git a/data/daily/textgrid.json b/data/daily/textgrid.json index aeb14b9840f5..619a02f3fd80 100644 --- a/data/daily/textgrid.json +++ b/data/daily/textgrid.json @@ -2,6 +2,6 @@ "title": "GitHub Textgrid Languages Daily Trending", "description": "Daily Trending of Textgrid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/textgrid.xml b/data/daily/textgrid.xml index 11ab6d16f00e..219206655342 100644 --- a/data/daily/textgrid.xml +++ b/data/daily/textgrid.xml @@ -3,6 +3,6 @@ GitHub Textgrid Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Textgrid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/textile.json b/data/daily/textile.json index 722db08cfcbf..8f3051b295c1 100644 --- a/data/daily/textile.json +++ b/data/daily/textile.json @@ -2,6 +2,6 @@ "title": "GitHub Textile Languages Daily Trending", "description": "Daily Trending of Textile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/textile.xml b/data/daily/textile.xml index e58524f6eeca..3c2a7468a985 100644 --- a/data/daily/textile.xml +++ b/data/daily/textile.xml @@ -3,6 +3,6 @@ GitHub Textile Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Textile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/textmate-properties.json b/data/daily/textmate-properties.json index 475ba94b6900..2f59a7bee52a 100644 --- a/data/daily/textmate-properties.json +++ b/data/daily/textmate-properties.json @@ -2,6 +2,6 @@ "title": "GitHub Textmate-properties Languages Daily Trending", "description": "Daily Trending of Textmate-properties Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/textmate-properties.xml b/data/daily/textmate-properties.xml index 711796c8b0b4..64b873fcdd38 100644 --- a/data/daily/textmate-properties.xml +++ b/data/daily/textmate-properties.xml @@ -3,6 +3,6 @@ GitHub Textmate-properties Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Textmate-properties Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/thrift.json b/data/daily/thrift.json index a0bb3a393730..7e3beccb488b 100644 --- a/data/daily/thrift.json +++ b/data/daily/thrift.json @@ -2,7 +2,7 @@ "title": "GitHub Thrift Languages Daily Trending", "description": "Daily Trending of Thrift Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "jaegertracing/jaeger-idl", diff --git a/data/daily/thrift.xml b/data/daily/thrift.xml index 5827d549aeb5..6fd62d078e23 100644 --- a/data/daily/thrift.xml +++ b/data/daily/thrift.xml @@ -3,7 +3,7 @@ GitHub Thrift Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Thrift Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT jaegertracing/jaeger-idl https://github.com/jaegertracing/jaeger-idl diff --git a/data/daily/ti-program.json b/data/daily/ti-program.json index 9a0b36e7f509..7e68cfb82570 100644 --- a/data/daily/ti-program.json +++ b/data/daily/ti-program.json @@ -2,6 +2,6 @@ "title": "GitHub Ti-program Languages Daily Trending", "description": "Daily Trending of Ti-program Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/ti-program.xml b/data/daily/ti-program.xml index 652d7b18e28f..34b75531ef4e 100644 --- a/data/daily/ti-program.xml +++ b/data/daily/ti-program.xml @@ -3,6 +3,6 @@ GitHub Ti-program Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Ti-program Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/tl-verilog.json b/data/daily/tl-verilog.json index 5fa2f519c0c1..5eea4a24f228 100644 --- a/data/daily/tl-verilog.json +++ b/data/daily/tl-verilog.json @@ -2,6 +2,6 @@ "title": "GitHub Tl-verilog Languages Daily Trending", "description": "Daily Trending of Tl-verilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/tl-verilog.xml b/data/daily/tl-verilog.xml index 14404cad2764..c67bc4dbff1d 100644 --- a/data/daily/tl-verilog.xml +++ b/data/daily/tl-verilog.xml @@ -3,6 +3,6 @@ GitHub Tl-verilog Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tl-verilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/tla.json b/data/daily/tla.json index 12d869b2cc1e..d5e756080500 100644 --- a/data/daily/tla.json +++ b/data/daily/tla.json @@ -2,6 +2,6 @@ "title": "GitHub Tla Languages Daily Trending", "description": "Daily Trending of Tla Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/tla.xml b/data/daily/tla.xml index f1d9e26bf747..6b2ae52b5e2e 100644 --- a/data/daily/tla.xml +++ b/data/daily/tla.xml @@ -3,6 +3,6 @@ GitHub Tla Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tla Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/toit.json b/data/daily/toit.json index 98e2f1ef37a3..ef892ef6a4a8 100644 --- a/data/daily/toit.json +++ b/data/daily/toit.json @@ -2,6 +2,6 @@ "title": "GitHub Toit Languages Daily Trending", "description": "Daily Trending of Toit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/toit.xml b/data/daily/toit.xml index 86a608a7f2f3..0d037b0e3056 100644 --- a/data/daily/toit.xml +++ b/data/daily/toit.xml @@ -3,6 +3,6 @@ GitHub Toit Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Toit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/toml.json b/data/daily/toml.json index 66c68c4b5211..952033e38fc7 100644 --- a/data/daily/toml.json +++ b/data/daily/toml.json @@ -2,6 +2,6 @@ "title": "GitHub Toml Languages Daily Trending", "description": "Daily Trending of Toml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/toml.xml b/data/daily/toml.xml index dec927a6a622..2ab3d71485fb 100644 --- a/data/daily/toml.xml +++ b/data/daily/toml.xml @@ -3,6 +3,6 @@ GitHub Toml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Toml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/tsql.json b/data/daily/tsql.json index 313d7110210b..46aa4dba5255 100644 --- a/data/daily/tsql.json +++ b/data/daily/tsql.json @@ -2,74 +2,37 @@ "title": "GitHub Tsql Languages Daily Trending", "description": "Daily Trending of Tsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "dr5hn/countries-states-cities-database", - "url": "https://github.com/dr5hn/countries-states-cities-database", - "description": "🌍 Discover our global repository of countries, states, and cities! 🏙️ Get comprehensive data in JSON, SQL, PSQL, XML, YAML, and CSV formats. Access ISO2, ISO3 codes, country code, capital, native language, timezones (for countries), and more. #countries #states #cities", + "title": "microsoft/fhir-server", + "url": "https://github.com/microsoft/fhir-server", + "description": "A service that implements the FHIR standard", "language": "TSQL", "languageColor": "#e38c00", - "stars": "7,524", - "forks": "2,593", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6929121?s=40&v=4", - "name": "dr5hn", - "url": "https://github.com/dr5hn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5888753?s=40&v=4", - "name": "cesariverit", - "url": "https://github.com/cesariverit" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16164903?s=40&v=4", - "name": "tudoranghelina91", - "url": "https://github.com/tudoranghelina91" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/169593545?s=40&v=4", - "name": "Aakash315", - "url": "https://github.com/Aakash315" - } - ] - }, - { - "title": "babelfish-for-postgresql/babelfish_extensions", - "url": "https://github.com/babelfish-for-postgresql/babelfish_extensions", - "description": "Babelfish for PostgreSQL provides the capability for PostgreSQL to work with applications written for Microsoft SQL Server. Babelfish understands the SQL Server wire-protocol and T-SQL, the Microsoft SQL Server query and procedural language, so you don’t have to switch database drivers or rewrite all of your application queries.", - "language": "TSQL", - "languageColor": "#e38c00", - "stars": "277", - "forks": "93", + "stars": "1,213", + "forks": "518", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/30777678?s=40&v=4", - "name": "thephantomthief", - "url": "https://github.com/thephantomthief" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/46928246?s=40&v=4", - "name": "shalinilohia50", - "url": "https://github.com/shalinilohia50" + "avatar": "https://avatars.githubusercontent.com/u/197221?s=40&v=4", + "name": "brendankowitz", + "url": "https://github.com/brendankowitz" }, { - "avatar": "https://avatars.githubusercontent.com/u/33982749?s=40&v=4", - "name": "rishabhtanwar29", - "url": "https://github.com/rishabhtanwar29" + "avatar": "https://avatars.githubusercontent.com/u/17463554?s=40&v=4", + "name": "LTA-Thinking", + "url": "https://github.com/LTA-Thinking" }, { - "avatar": "https://avatars.githubusercontent.com/u/96209028?s=40&v=4", - "name": "jusjosj", - "url": "https://github.com/jusjosj" + "avatar": "https://avatars.githubusercontent.com/u/29265076?s=40&v=4", + "name": "MicrosoftHealthService", + "url": "https://github.com/MicrosoftHealthService" }, { - "avatar": "https://avatars.githubusercontent.com/u/25386204?s=40&v=4", - "name": "Deepesh125", - "url": "https://github.com/Deepesh125" + "avatar": "https://avatars.githubusercontent.com/u/95932081?s=40&v=4", + "name": "SergeyGaluzo", + "url": "https://github.com/SergeyGaluzo" } ] } diff --git a/data/daily/tsql.xml b/data/daily/tsql.xml index d0760fb93e56..ee51d3c1da08 100644 --- a/data/daily/tsql.xml +++ b/data/daily/tsql.xml @@ -3,75 +3,37 @@ GitHub Tsql Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - dr5hn/countries-states-cities-database - https://github.com/dr5hn/countries-states-cities-database - 🌍 Discover our global repository of countries, states, and cities! 🏙️ Get comprehensive data in JSON, SQL, PSQL, XML, YAML, and CSV formats. Access ISO2, ISO3 codes, country code, capital, native language, timezones (for countries), and more. #countries #states #cities - https://github.com/dr5hn/countries-states-cities-database + microsoft/fhir-server + https://github.com/microsoft/fhir-server + A service that implements the FHIR standard + https://github.com/microsoft/fhir-server TSQL #e38c00 - 7,524 - 2,593 - 2 - - - https://avatars.githubusercontent.com/u/6929121?s=40&v=4 - dr5hn - https://github.com/dr5hn - - - https://avatars.githubusercontent.com/u/5888753?s=40&v=4 - cesariverit - https://github.com/cesariverit - - - https://avatars.githubusercontent.com/u/16164903?s=40&v=4 - tudoranghelina91 - https://github.com/tudoranghelina91 - - - https://avatars.githubusercontent.com/u/169593545?s=40&v=4 - Aakash315 - https://github.com/Aakash315 - - - - - babelfish-for-postgresql/babelfish_extensions - https://github.com/babelfish-for-postgresql/babelfish_extensions - Babelfish for PostgreSQL provides the capability for PostgreSQL to work with applications written for Microsoft SQL Server. Babelfish understands the SQL Server wire-protocol and T-SQL, the Microsoft SQL Server query and procedural language, so you don’t have to switch database drivers or rewrite all of your application queries. - https://github.com/babelfish-for-postgresql/babelfish_extensions - TSQL - #e38c00 - 277 - 93 + 1,213 + 518 0 - https://avatars.githubusercontent.com/u/30777678?s=40&v=4 - thephantomthief - https://github.com/thephantomthief - - - https://avatars.githubusercontent.com/u/46928246?s=40&v=4 - shalinilohia50 - https://github.com/shalinilohia50 + https://avatars.githubusercontent.com/u/197221?s=40&v=4 + brendankowitz + https://github.com/brendankowitz - https://avatars.githubusercontent.com/u/33982749?s=40&v=4 - rishabhtanwar29 - https://github.com/rishabhtanwar29 + https://avatars.githubusercontent.com/u/17463554?s=40&v=4 + LTA-Thinking + https://github.com/LTA-Thinking - https://avatars.githubusercontent.com/u/96209028?s=40&v=4 - jusjosj - https://github.com/jusjosj + https://avatars.githubusercontent.com/u/29265076?s=40&v=4 + MicrosoftHealthService + https://github.com/MicrosoftHealthService - https://avatars.githubusercontent.com/u/25386204?s=40&v=4 - Deepesh125 - https://github.com/Deepesh125 + https://avatars.githubusercontent.com/u/95932081?s=40&v=4 + SergeyGaluzo + https://github.com/SergeyGaluzo diff --git a/data/daily/tsv.json b/data/daily/tsv.json index 5fc277bc57fb..18b272a84431 100644 --- a/data/daily/tsv.json +++ b/data/daily/tsv.json @@ -2,6 +2,6 @@ "title": "GitHub Tsv Languages Daily Trending", "description": "Daily Trending of Tsv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/tsv.xml b/data/daily/tsv.xml index ff87e26ca71a..30a1c25c472b 100644 --- a/data/daily/tsv.xml +++ b/data/daily/tsv.xml @@ -3,6 +3,6 @@ GitHub Tsv Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tsv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/tsx.json b/data/daily/tsx.json index 9f2872598ddf..d2a13ea99505 100644 --- a/data/daily/tsx.json +++ b/data/daily/tsx.json @@ -2,6 +2,6 @@ "title": "GitHub Tsx Languages Daily Trending", "description": "Daily Trending of Tsx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/tsx.xml b/data/daily/tsx.xml index 6b57034e7ada..63009f404103 100644 --- a/data/daily/tsx.xml +++ b/data/daily/tsx.xml @@ -3,6 +3,6 @@ GitHub Tsx Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Tsx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/turing.json b/data/daily/turing.json index 1d0ced761cbe..a5bb9d8783a8 100644 --- a/data/daily/turing.json +++ b/data/daily/turing.json @@ -2,6 +2,6 @@ "title": "GitHub Turing Languages Daily Trending", "description": "Daily Trending of Turing Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/turing.xml b/data/daily/turing.xml index 71428e53a8e5..fd4ef9f61691 100644 --- a/data/daily/turing.xml +++ b/data/daily/turing.xml @@ -3,6 +3,6 @@ GitHub Turing Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Turing Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/turtle.json b/data/daily/turtle.json index 10392b444851..ee779c1e5ba8 100644 --- a/data/daily/turtle.json +++ b/data/daily/turtle.json @@ -2,6 +2,6 @@ "title": "GitHub Turtle Languages Daily Trending", "description": "Daily Trending of Turtle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/turtle.xml b/data/daily/turtle.xml index 1b21372f9559..058b8f43b2e8 100644 --- a/data/daily/turtle.xml +++ b/data/daily/turtle.xml @@ -3,6 +3,6 @@ GitHub Turtle Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Turtle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/twig.json b/data/daily/twig.json index 5520a1b2dfa4..6fe443bbeb67 100644 --- a/data/daily/twig.json +++ b/data/daily/twig.json @@ -2,42 +2,37 @@ "title": "GitHub Twig Languages Daily Trending", "description": "Daily Trending of Twig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "SallaApp/theme-raed", - "url": "https://github.com/SallaApp/theme-raed", - "description": "A wide range of features to support your business...", + "title": "govCMS/GovCMS", + "url": "https://github.com/govCMS/GovCMS", + "description": "Current Drupal release of the main GovCMS distribution, with releases mirrored at https://www.drupal.org/project/govcms", "language": "Twig", "languageColor": "#c1d026", - "stars": "28", - "forks": "60", + "stars": "148", + "forks": "40", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16459340?s=40&v=4", - "name": "ashrafreda", - "url": "https://github.com/ashrafreda" + "avatar": "https://avatars.githubusercontent.com/u/1326673?s=40&v=4", + "name": "pandaskii", + "url": "https://github.com/pandaskii" }, { - "avatar": "https://avatars.githubusercontent.com/u/2941118?s=40&v=4", - "name": "jalmatari", - "url": "https://github.com/jalmatari" + "avatar": "https://avatars.githubusercontent.com/u/10952710?s=40&v=4", + "name": "ruwanl", + "url": "https://github.com/ruwanl" }, { - "avatar": "https://avatars.githubusercontent.com/u/23479405?s=40&v=4", - "name": "adelelshafey", - "url": "https://github.com/adelelshafey" + "avatar": "https://avatars.githubusercontent.com/u/3345373?s=40&v=4", + "name": "drupal-spider", + "url": "https://github.com/drupal-spider" }, { - "avatar": "https://avatars.githubusercontent.com/u/10876587?s=40&v=4", - "name": "salkhwlani", - "url": "https://github.com/salkhwlani" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/111746804?s=40&v=4", - "name": "Ahmed-S-Abduallah", - "url": "https://github.com/Ahmed-S-Abduallah" + "avatar": "https://avatars.githubusercontent.com/u/76574779?s=40&v=4", + "name": "Tara-Wij", + "url": "https://github.com/Tara-Wij" } ] } diff --git a/data/daily/twig.xml b/data/daily/twig.xml index 832c6b5f036d..539d4078a62d 100644 --- a/data/daily/twig.xml +++ b/data/daily/twig.xml @@ -3,42 +3,37 @@ GitHub Twig Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Twig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - SallaApp/theme-raed - https://github.com/SallaApp/theme-raed - A wide range of features to support your business... - https://github.com/SallaApp/theme-raed + govCMS/GovCMS + https://github.com/govCMS/GovCMS + Current Drupal release of the main GovCMS distribution, with releases mirrored at https://www.drupal.org/project/govcms + https://github.com/govCMS/GovCMS Twig #c1d026 - 28 - 60 + 148 + 40 0 - https://avatars.githubusercontent.com/u/16459340?s=40&v=4 - ashrafreda - https://github.com/ashrafreda + https://avatars.githubusercontent.com/u/1326673?s=40&v=4 + pandaskii + https://github.com/pandaskii - https://avatars.githubusercontent.com/u/2941118?s=40&v=4 - jalmatari - https://github.com/jalmatari + https://avatars.githubusercontent.com/u/10952710?s=40&v=4 + ruwanl + https://github.com/ruwanl - https://avatars.githubusercontent.com/u/23479405?s=40&v=4 - adelelshafey - https://github.com/adelelshafey + https://avatars.githubusercontent.com/u/3345373?s=40&v=4 + drupal-spider + https://github.com/drupal-spider - https://avatars.githubusercontent.com/u/10876587?s=40&v=4 - salkhwlani - https://github.com/salkhwlani - - - https://avatars.githubusercontent.com/u/111746804?s=40&v=4 - Ahmed-S-Abduallah - https://github.com/Ahmed-S-Abduallah + https://avatars.githubusercontent.com/u/76574779?s=40&v=4 + Tara-Wij + https://github.com/Tara-Wij diff --git a/data/daily/txl.json b/data/daily/txl.json index b766b68016c1..4a3cf8ba4a8c 100644 --- a/data/daily/txl.json +++ b/data/daily/txl.json @@ -2,6 +2,6 @@ "title": "GitHub Txl Languages Daily Trending", "description": "Daily Trending of Txl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/txl.xml b/data/daily/txl.xml index 9c7848bca8c4..10c46899fec1 100644 --- a/data/daily/txl.xml +++ b/data/daily/txl.xml @@ -3,6 +3,6 @@ GitHub Txl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Txl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/type-language.json b/data/daily/type-language.json index 6cbc17ff58d6..c983cedcb1e4 100644 --- a/data/daily/type-language.json +++ b/data/daily/type-language.json @@ -2,6 +2,6 @@ "title": "GitHub Type-language Languages Daily Trending", "description": "Daily Trending of Type-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/type-language.xml b/data/daily/type-language.xml index da072eb01a66..38c6ba357e41 100644 --- a/data/daily/type-language.xml +++ b/data/daily/type-language.xml @@ -3,6 +3,6 @@ GitHub Type-language Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Type-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/typescript.json b/data/daily/typescript.json index 1b6618cce5a5..8f9b18b7d5c6 100644 --- a/data/daily/typescript.json +++ b/data/daily/typescript.json @@ -2,17 +2,54 @@ "title": "GitHub Typescript Languages Daily Trending", "description": "Daily Trending of Typescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "bluesky-social/social-app", + "url": "https://github.com/bluesky-social/social-app", + "description": "The Bluesky Social application for Web, iOS, and Android", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "12,526", + "forks": "1,601", + "addStars": "350", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1270099?s=40&v=4", + "name": "pfrazee", + "url": "https://github.com/pfrazee" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4732330?s=40&v=4", + "name": "estrattonbailey", + "url": "https://github.com/estrattonbailey" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/153161762?s=40&v=4", + "name": "haileyok", + "url": "https://github.com/haileyok" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/810438?s=40&v=4", + "name": "gaearon", + "url": "https://github.com/gaearon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10959775?s=40&v=4", + "name": "mozzius", + "url": "https://github.com/mozzius" + } + ] + }, { "title": "gitroomhq/postiz-app", "url": "https://github.com/gitroomhq/postiz-app", "description": "📨 The ultimate social media scheduling tool, with a bunch of AI 🤖", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "11,341", - "forks": "2,321", - "addStars": "294", + "stars": "11,429", + "forks": "2,325", + "addStars": "354", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/2025715?s=40&v=4", @@ -42,490 +79,490 @@ ] }, { - "title": "pingcap/autoflow", - "url": "https://github.com/pingcap/autoflow", - "description": "pingcap/autoflow is a Graph RAG based and conversational knowledge base tool built with TiDB Serverless Vector Storage. Demo: https://tidb.ai", + "title": "bluesky-social/atproto", + "url": "https://github.com/bluesky-social/atproto", + "description": "Social networking technology created by Bluesky", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "1,463", - "forks": "70", - "addStars": "194", + "stars": "7,122", + "forks": "509", + "addStars": "114", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12960671?s=40&v=4", - "name": "634750802", - "url": "https://github.com/634750802" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10102304?s=40&v=4", - "name": "wd0517", - "url": "https://github.com/wd0517" + "avatar": "https://avatars.githubusercontent.com/u/4898225?s=40&v=4", + "name": "dholms", + "url": "https://github.com/dholms" }, { - "avatar": "https://avatars.githubusercontent.com/u/10701973?s=40&v=4", - "name": "IANTHEREAL", - "url": "https://github.com/IANTHEREAL" + "avatar": "https://avatars.githubusercontent.com/u/3150233?s=40&v=4", + "name": "devinivy", + "url": "https://github.com/devinivy" }, { - "avatar": "https://avatars.githubusercontent.com/u/1237528?s=40&v=4", - "name": "sykp241095", - "url": "https://github.com/sykp241095" + "avatar": "https://avatars.githubusercontent.com/u/1270099?s=40&v=4", + "name": "pfrazee", + "url": "https://github.com/pfrazee" }, { - "avatar": "https://avatars.githubusercontent.com/u/5086433?s=40&v=4", - "name": "Mini256", - "url": "https://github.com/Mini256" + "avatar": "https://avatars.githubusercontent.com/u/4732330?s=40&v=4", + "name": "estrattonbailey", + "url": "https://github.com/estrattonbailey" } ] }, { - "title": "ant-design/x", - "url": "https://github.com/ant-design/x", - "description": "Craft AI-driven interfaces effortlessly 🤖", + "title": "RSSNext/Follow", + "url": "https://github.com/RSSNext/Follow", + "description": "🧡 Follow your favorites in one inbox", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "288", - "forks": "18", - "addStars": "40", + "stars": "18,147", + "forks": "737", + "addStars": "226", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5378891?s=40&v=4", - "name": "zombieJ", - "url": "https://github.com/zombieJ" + "avatar": "https://avatars.githubusercontent.com/u/41265413?s=40&v=4", + "name": "Innei", + "url": "https://github.com/Innei" }, { - "avatar": "https://avatars.githubusercontent.com/u/98121322?s=40&v=4", - "name": "YumoImer", - "url": "https://github.com/YumoImer" + "avatar": "https://avatars.githubusercontent.com/u/8266075?s=40&v=4", + "name": "DIYgod", + "url": "https://github.com/DIYgod" }, { - "avatar": "https://avatars.githubusercontent.com/u/507615?s=40&v=4", - "name": "afc163", - "url": "https://github.com/afc163" + "avatar": "https://avatars.githubusercontent.com/u/38493346?s=40&v=4", + "name": "hyoban", + "url": "https://github.com/hyoban" }, { - "avatar": "https://avatars.githubusercontent.com/u/49217418?s=40&v=4", - "name": "li-jia-nan", - "url": "https://github.com/li-jia-nan" + "avatar": "https://avatars.githubusercontent.com/u/18554747?s=40&v=4", + "name": "lawvs", + "url": "https://github.com/lawvs" }, { - "avatar": "https://avatars.githubusercontent.com/u/52664827?s=40&v=4", - "name": "ONLY-yours", - "url": "https://github.com/ONLY-yours" + "avatar": "https://avatars.githubusercontent.com/u/22665058?s=40&v=4", + "name": "songkeys", + "url": "https://github.com/songkeys" } ] }, { - "title": "sst/sst", - "url": "https://github.com/sst/sst", - "description": "Build full-stack apps on your own infrastructure.", + "title": "streetwriters/notesnook", + "url": "https://github.com/streetwriters/notesnook", + "description": "A fully open source & end-to-end encrypted note taking alternative to Evernote.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "22,122", - "forks": "1,675", - "addStars": "18", + "stars": "10,285", + "forks": "634", + "addStars": "17", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/826656?s=40&v=4", - "name": "thdxr", - "url": "https://github.com/thdxr" + "avatar": "https://avatars.githubusercontent.com/u/7473959?s=40&v=4", + "name": "thecodrr", + "url": "https://github.com/thecodrr" }, { - "avatar": "https://avatars.githubusercontent.com/u/83515?s=40&v=4", - "name": "fwang", - "url": "https://github.com/fwang" + "avatar": "https://avatars.githubusercontent.com/u/40239442?s=40&v=4", + "name": "ammarahm-ed", + "url": "https://github.com/ammarahm-ed" }, { - "avatar": "https://avatars.githubusercontent.com/u/53023?s=40&v=4", - "name": "jayair", - "url": "https://github.com/jayair" + "avatar": "https://avatars.githubusercontent.com/u/22678611?s=40&v=4", + "name": "alihamuh", + "url": "https://github.com/alihamuh" }, { - "avatar": "https://avatars.githubusercontent.com/u/127435?s=40&v=4", - "name": "brunocleite", - "url": "https://github.com/brunocleite" + "avatar": "https://avatars.githubusercontent.com/u/121712508?s=40&v=4", + "name": "Abdulrehman-Jafer", + "url": "https://github.com/Abdulrehman-Jafer" }, { - "avatar": "https://avatars.githubusercontent.com/u/32212214?s=40&v=4", - "name": "garretcharp", - "url": "https://github.com/garretcharp" + "avatar": "https://avatars.githubusercontent.com/u/85733202?s=40&v=4", + "name": "01zulfi", + "url": "https://github.com/01zulfi" } ] }, { - "title": "RSSNext/Follow", - "url": "https://github.com/RSSNext/Follow", - "description": "🧡 Follow your favorites in one inbox", + "title": "Vencord/Vesktop", + "url": "https://github.com/Vencord/Vesktop", + "description": "Vesktop is a custom Discord App aiming to give you better performance and improve linux support", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "18,070", - "forks": "737", - "addStars": "226", + "stars": "4,416", + "forks": "197", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/41265413?s=40&v=4", - "name": "Innei", - "url": "https://github.com/Innei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8266075?s=40&v=4", - "name": "DIYgod", - "url": "https://github.com/DIYgod" + "avatar": "https://avatars.githubusercontent.com/u/45497981?s=40&v=4", + "name": "Vendicated", + "url": "https://github.com/Vendicated" }, { - "avatar": "https://avatars.githubusercontent.com/u/38493346?s=40&v=4", - "name": "hyoban", - "url": "https://github.com/hyoban" + "avatar": "https://avatars.githubusercontent.com/u/8019327?s=40&v=4", + "name": "lewisakura", + "url": "https://github.com/lewisakura" }, { - "avatar": "https://avatars.githubusercontent.com/u/18554747?s=40&v=4", - "name": "lawvs", - "url": "https://github.com/lawvs" + "avatar": "https://avatars.githubusercontent.com/u/37805707?s=40&v=4", + "name": "Curve", + "url": "https://github.com/Curve" }, { - "avatar": "https://avatars.githubusercontent.com/u/22665058?s=40&v=4", - "name": "songkeys", - "url": "https://github.com/songkeys" + "avatar": "https://avatars.githubusercontent.com/u/70191398?s=40&v=4", + "name": "ryanccn", + "url": "https://github.com/ryanccn" } ] }, { - "title": "dream-num/univer", - "url": "https://github.com/dream-num/univer", - "description": "Univer is an isomorphic full-stack framework for creating and editing spreadsheets, docs, and slides across client and server.", + "title": "enricoros/big-AGI", + "url": "https://github.com/enricoros/big-AGI", + "description": "Generative AI suite powered by state-of-the-art models and providing advanced AI/AGI functions. It features AI personas, AGI functions, multi-model chats, text-to-image, voice, response streaming, code highlighting and execution, PDF import, presets for developers, much more. Deploy on-prem or in the cloud.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "7,435", - "forks": "641", - "addStars": "167", + "stars": "5,598", + "forks": "1,297", + "addStars": "45", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26371161?s=40&v=4", - "name": "Dushusir", - "url": "https://github.com/Dushusir" + "avatar": "https://avatars.githubusercontent.com/u/32999?s=40&v=4", + "name": "enricoros", + "url": "https://github.com/enricoros" }, { - "avatar": "https://avatars.githubusercontent.com/u/14025786?s=40&v=4", - "name": "jikkai", - "url": "https://github.com/jikkai" + "avatar": "https://avatars.githubusercontent.com/u/1590910?s=40&v=4", + "name": "joriskalz", + "url": "https://github.com/joriskalz" }, { - "avatar": "https://avatars.githubusercontent.com/u/12122021?s=40&v=4", - "name": "wzhudev", - "url": "https://github.com/wzhudev" + "avatar": "https://avatars.githubusercontent.com/u/51766?s=40&v=4", + "name": "harlanlewis", + "url": "https://github.com/harlanlewis" }, { - "avatar": "https://avatars.githubusercontent.com/u/22467037?s=40&v=4", - "name": "jerry-TangHao", - "url": "https://github.com/jerry-TangHao" + "avatar": "https://avatars.githubusercontent.com/u/4734005?s=40&v=4", + "name": "fredliubojin", + "url": "https://github.com/fredliubojin" }, { - "avatar": "https://avatars.githubusercontent.com/u/68851825?s=40&v=4", - "name": "DR-Univer", - "url": "https://github.com/DR-Univer" + "avatar": "https://avatars.githubusercontent.com/u/6212701?s=40&v=4", + "name": "tboydston", + "url": "https://github.com/tboydston" } ] }, { - "title": "mastra-ai/mastra", - "url": "https://github.com/mastra-ai/mastra", - "description": "The TypeScript AI framework.", + "title": "DIYgod/RSSHub", + "url": "https://github.com/DIYgod/RSSHub", + "description": "🧡 Everything is RSSible", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "685", - "forks": "18", - "addStars": "72", + "stars": "33,851", + "forks": "7,560", + "addStars": "29", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/32024147?s=40&v=4", - "name": "adeleke5140", - "url": "https://github.com/adeleke5140" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65332951?s=40&v=4", - "name": "TheIsrael1", - "url": "https://github.com/TheIsrael1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2359375?s=40&v=4", - "name": "abhiaiyer91", - "url": "https://github.com/abhiaiyer91" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54284970?s=40&v=4", - "name": "Taofeeq-deru", - "url": "https://github.com/Taofeeq-deru" + "avatar": "https://avatars.githubusercontent.com/u/8266075?s=40&v=4", + "name": "DIYgod", + "url": "https://github.com/DIYgod" }, { - "avatar": "https://avatars.githubusercontent.com/u/52568775?s=40&v=4", - "name": "Adeniyii", - "url": "https://github.com/Adeniyii" + "avatar": "https://avatars.githubusercontent.com/u/11386903?s=40&v=4", + "name": "TonyRL", + "url": "https://github.com/TonyRL" } ] }, { - "title": "ghostfolio/ghostfolio", - "url": "https://github.com/ghostfolio/ghostfolio", - "description": "Open Source Wealth Management Software. Angular + NestJS + Prisma + Nx + TypeScript 🤍", + "title": "immich-app/immich", + "url": "https://github.com/immich-app/immich", + "description": "High performance self-hosted photo and video management solution.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "4,561", - "forks": "467", - "addStars": "8", + "stars": "52,590", + "forks": "2,789", + "addStars": "66", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4159106?s=40&v=4", - "name": "dtslvr", - "url": "https://github.com/dtslvr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3200232?s=40&v=4", - "name": "vzickner", - "url": "https://github.com/vzickner" + "avatar": "https://avatars.githubusercontent.com/u/27055614?s=40&v=4", + "name": "alextran1502", + "url": "https://github.com/alextran1502" }, { - "avatar": "https://avatars.githubusercontent.com/u/31533186?s=40&v=4", - "name": "dw-0", - "url": "https://github.com/dw-0" + "avatar": "https://avatars.githubusercontent.com/u/4334196?s=40&v=4", + "name": "jrasm91", + "url": "https://github.com/jrasm91" }, { - "avatar": "https://avatars.githubusercontent.com/u/11334553?s=40&v=4", - "name": "gizmodus", - "url": "https://github.com/gizmodus" + "avatar": "https://avatars.githubusercontent.com/u/59014050?s=40&v=4", + "name": "michelheusschen", + "url": "https://github.com/michelheusschen" }, { - "avatar": "https://avatars.githubusercontent.com/u/39493102?s=40&v=4", - "name": "yksolanki9", - "url": "https://github.com/yksolanki9" + "avatar": "https://avatars.githubusercontent.com/u/101130780?s=40&v=4", + "name": "mertalev", + "url": "https://github.com/mertalev" } ] }, { - "title": "getsentry/sentry-javascript", - "url": "https://github.com/getsentry/sentry-javascript", - "description": "Official Sentry SDKs for JavaScript", + "title": "n4ze3m/page-assist", + "url": "https://github.com/n4ze3m/page-assist", + "description": "Use your locally running AI models to assist you in your web browsing", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "8,015", - "forks": "1,585", - "addStars": "7", + "stars": "1,575", + "forks": "150", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2411343?s=40&v=4", - "name": "mydea", - "url": "https://github.com/mydea" + "avatar": "https://avatars.githubusercontent.com/u/39720973?s=40&v=4", + "name": "n4ze3m", + "url": "https://github.com/n4ze3m" }, { - "avatar": "https://avatars.githubusercontent.com/u/18689448?s=40&v=4", - "name": "AbhiPrasad", - "url": "https://github.com/AbhiPrasad" + "avatar": "https://avatars.githubusercontent.com/u/113997856?s=40&v=4", + "name": "Abubakar115e", + "url": "https://github.com/Abubakar115e" }, { - "avatar": "https://avatars.githubusercontent.com/u/375744?s=40&v=4", - "name": "mattrobenolt", - "url": "https://github.com/mattrobenolt" + "avatar": "https://avatars.githubusercontent.com/u/1169963?s=40&v=4", + "name": "colin-campbell", + "url": "https://github.com/colin-campbell" }, { - "avatar": "https://avatars.githubusercontent.com/u/1523305?s=40&v=4", - "name": "kamilogorek", - "url": "https://github.com/kamilogorek" + "avatar": "https://avatars.githubusercontent.com/u/791137?s=40&v=4", + "name": "josx", + "url": "https://github.com/josx" }, { - "avatar": "https://avatars.githubusercontent.com/u/8118419?s=40&v=4", - "name": "lforst", - "url": "https://github.com/lforst" + "avatar": "https://avatars.githubusercontent.com/u/7223028?s=40&v=4", + "name": "PierreMesure", + "url": "https://github.com/PierreMesure" } ] }, { - "title": "enricoros/big-AGI", - "url": "https://github.com/enricoros/big-AGI", - "description": "Generative AI suite powered by state-of-the-art models and providing advanced AI/AGI functions. It features AI personas, AGI functions, multi-model chats, text-to-image, voice, response streaming, code highlighting and execution, PDF import, presets for developers, much more. Deploy on-prem or in the cloud.", + "title": "dream-num/univer", + "url": "https://github.com/dream-num/univer", + "description": "Univer is an isomorphic full-stack framework for creating and editing spreadsheets, docs, and slides across client and server.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "5,594", - "forks": "1,295", - "addStars": "45", + "stars": "7,439", + "forks": "641", + "addStars": "167", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/32999?s=40&v=4", - "name": "enricoros", - "url": "https://github.com/enricoros" + "avatar": "https://avatars.githubusercontent.com/u/26371161?s=40&v=4", + "name": "Dushusir", + "url": "https://github.com/Dushusir" }, { - "avatar": "https://avatars.githubusercontent.com/u/1590910?s=40&v=4", - "name": "joriskalz", - "url": "https://github.com/joriskalz" + "avatar": "https://avatars.githubusercontent.com/u/14025786?s=40&v=4", + "name": "jikkai", + "url": "https://github.com/jikkai" }, { - "avatar": "https://avatars.githubusercontent.com/u/51766?s=40&v=4", - "name": "harlanlewis", - "url": "https://github.com/harlanlewis" + "avatar": "https://avatars.githubusercontent.com/u/12122021?s=40&v=4", + "name": "wzhudev", + "url": "https://github.com/wzhudev" }, { - "avatar": "https://avatars.githubusercontent.com/u/4734005?s=40&v=4", - "name": "fredliubojin", - "url": "https://github.com/fredliubojin" + "avatar": "https://avatars.githubusercontent.com/u/22467037?s=40&v=4", + "name": "jerry-TangHao", + "url": "https://github.com/jerry-TangHao" }, { - "avatar": "https://avatars.githubusercontent.com/u/6212701?s=40&v=4", - "name": "tboydston", - "url": "https://github.com/tboydston" + "avatar": "https://avatars.githubusercontent.com/u/68851825?s=40&v=4", + "name": "DR-Univer", + "url": "https://github.com/DR-Univer" } ] }, { - "title": "linkwarden/linkwarden", - "url": "https://github.com/linkwarden/linkwarden", - "description": "⚡️⚡️⚡️Self-hosted collaborative bookmark manager to collect, organize, and preserve webpages, articles, and more...", + "title": "pingcap/autoflow", + "url": "https://github.com/pingcap/autoflow", + "description": "pingcap/autoflow is a Graph RAG based and conversational knowledge base tool built with TiDB Serverless Vector Storage. Demo: https://tidb.ai", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "8,848", - "forks": "329", - "addStars": "30", + "stars": "1,520", + "forks": "73", + "addStars": "359", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/93432314?s=40&v=4", - "name": "daniel31x13", - "url": "https://github.com/daniel31x13" + "avatar": "https://avatars.githubusercontent.com/u/12960671?s=40&v=4", + "name": "634750802", + "url": "https://github.com/634750802" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10102304?s=40&v=4", + "name": "wd0517", + "url": "https://github.com/wd0517" }, { - "avatar": "https://avatars.githubusercontent.com/u/38229170?s=40&v=4", - "name": "IsaacWise06", - "url": "https://github.com/IsaacWise06" + "avatar": "https://avatars.githubusercontent.com/u/10701973?s=40&v=4", + "name": "IANTHEREAL", + "url": "https://github.com/IANTHEREAL" }, { - "avatar": "https://avatars.githubusercontent.com/u/21292986?s=40&v=4", - "name": "YeeJiaWei", - "url": "https://github.com/YeeJiaWei" + "avatar": "https://avatars.githubusercontent.com/u/1237528?s=40&v=4", + "name": "sykp241095", + "url": "https://github.com/sykp241095" }, { - "avatar": "https://avatars.githubusercontent.com/u/141587807?s=40&v=4", - "name": "QAComet", - "url": "https://github.com/QAComet" + "avatar": "https://avatars.githubusercontent.com/u/5086433?s=40&v=4", + "name": "Mini256", + "url": "https://github.com/Mini256" } ] }, { - "title": "BuilderIO/gpt-crawler", - "url": "https://github.com/BuilderIO/gpt-crawler", - "description": "Crawl a site to generate knowledge files to create your own custom GPT from a URL", + "title": "teableio/teable", + "url": "https://github.com/teableio/teable", + "description": "✨ The Next Gen Airtable Alternative: No-Code Postgres", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "18,925", - "forks": "2,026", + "stars": "13,159", + "forks": "593", "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/844291?s=40&v=4", - "name": "steve8708", - "url": "https://github.com/steve8708" + "avatar": "https://avatars.githubusercontent.com/u/113977203?s=40&v=4", + "name": "tea-artist", + "url": "https://github.com/tea-artist" }, { - "avatar": "https://avatars.githubusercontent.com/u/33183880?s=40&v=4", - "name": "marcelovicentegc", - "url": "https://github.com/marcelovicentegc" + "avatar": "https://avatars.githubusercontent.com/u/37397984?s=40&v=4", + "name": "boris-w", + "url": "https://github.com/boris-w" }, { - "avatar": "https://avatars.githubusercontent.com/u/52298929?s=40&v=4", - "name": "guillermoscript", - "url": "https://github.com/guillermoscript" + "avatar": "https://avatars.githubusercontent.com/u/110664616?s=40&v=4", + "name": "caoxing9", + "url": "https://github.com/caoxing9" }, { - "avatar": "https://avatars.githubusercontent.com/u/5231288?s=40&v=4", - "name": "adityak74", - "url": "https://github.com/adityak74" + "avatar": "https://avatars.githubusercontent.com/u/23622592?s=40&v=4", + "name": "Sky-FE", + "url": "https://github.com/Sky-FE" }, { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" + "avatar": "https://avatars.githubusercontent.com/u/23395872?s=40&v=4", + "name": "Pengap", + "url": "https://github.com/Pengap" } ] }, { - "title": "DIYgod/RSSHub", - "url": "https://github.com/DIYgod/RSSHub", - "description": "🧡 Everything is RSSible", + "title": "louislam/dockge", + "url": "https://github.com/louislam/dockge", + "description": "A fancy, easy-to-use and reactive self-hosted docker compose.yaml stack-oriented manager", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "33,836", - "forks": "7,558", - "addStars": "29", + "stars": "13,167", + "forks": "375", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8266075?s=40&v=4", - "name": "DIYgod", - "url": "https://github.com/DIYgod" + "avatar": "https://avatars.githubusercontent.com/u/1336778?s=40&v=4", + "name": "louislam", + "url": "https://github.com/louislam" }, { - "avatar": "https://avatars.githubusercontent.com/u/11386903?s=40&v=4", - "name": "TonyRL", - "url": "https://github.com/TonyRL" + "avatar": "https://avatars.githubusercontent.com/u/53424436?s=40&v=4", + "name": "Zaid-maker", + "url": "https://github.com/Zaid-maker" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70776486?s=40&v=4", + "name": "cyril59310", + "url": "https://github.com/cyril59310" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16870542?s=40&v=4", + "name": "LevantinLynx", + "url": "https://github.com/LevantinLynx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27770896?s=40&v=4", + "name": "stanol", + "url": "https://github.com/stanol" } ] }, { - "title": "ai16z/eliza", - "url": "https://github.com/ai16z/eliza", - "description": "Conversational Agent for Twitter and Discord", + "title": "codestoryai/aide", + "url": "https://github.com/codestoryai/aide", + "description": "The open-source AI-native IDE", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "2,108", - "forks": "568", - "addStars": "70", + "stars": "692", + "forks": "55", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18633264?s=40&v=4", - "name": "lalalune", - "url": "https://github.com/lalalune" + "avatar": "https://avatars.githubusercontent.com/u/10356126?s=40&v=4", + "name": "theskcd", + "url": "https://github.com/theskcd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10023615?s=40&v=4", + "name": "ghostwriternr", + "url": "https://github.com/ghostwriternr" }, { - "avatar": "https://avatars.githubusercontent.com/u/90423308?s=40&v=4", - "name": "ponderingdemocritus", - "url": "https://github.com/ponderingdemocritus" + "avatar": "https://avatars.githubusercontent.com/u/23014664?s=40&v=4", + "name": "g-danna", + "url": "https://github.com/g-danna" }, { - "avatar": "https://avatars.githubusercontent.com/u/28543?s=40&v=4", - "name": "sirkitree", - "url": "https://github.com/sirkitree" + "avatar": "https://avatars.githubusercontent.com/u/99770068?s=40&v=4", + "name": "sartoshi-foot-dao", + "url": "https://github.com/sartoshi-foot-dao" }, { - "avatar": "https://avatars.githubusercontent.com/u/70625671?s=40&v=4", - "name": "MarcoMandar", - "url": "https://github.com/MarcoMandar" + "avatar": "https://avatars.githubusercontent.com/u/110452?s=40&v=4", + "name": "jamesjrg", + "url": "https://github.com/jamesjrg" } ] }, { - "title": "caorushizi/mediago", - "url": "https://github.com/caorushizi/mediago", - "description": "m3u8[m3u8-downloader] 视频在线提取工具 流媒体下载 、视频下载 、 m3u8下载 、 B站视频下载 桌面客户端 windows mac", + "title": "ant-design/x", + "url": "https://github.com/ant-design/x", + "description": "Craft AI-driven interfaces effortlessly 🤖", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "4,757", - "forks": "429", - "addStars": "20", + "stars": "315", + "forks": "20", + "addStars": "40", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19359849?s=40&v=4", - "name": "caorushizi", - "url": "https://github.com/caorushizi" + "avatar": "https://avatars.githubusercontent.com/u/5378891?s=40&v=4", + "name": "zombieJ", + "url": "https://github.com/zombieJ" }, { - "avatar": "https://avatars.githubusercontent.com/u/15952066?s=40&v=4", - "name": "hanzc0106", - "url": "https://github.com/hanzc0106" + "avatar": "https://avatars.githubusercontent.com/u/98121322?s=40&v=4", + "name": "YumoImer", + "url": "https://github.com/YumoImer" }, { - "avatar": "https://avatars.githubusercontent.com/u/20834781?s=40&v=4", - "name": "wfl36", - "url": "https://github.com/wfl36" + "avatar": "https://avatars.githubusercontent.com/u/507615?s=40&v=4", + "name": "afc163", + "url": "https://github.com/afc163" }, { - "avatar": "https://avatars.githubusercontent.com/u/162932656?s=40&v=4", - "name": "david88558855", - "url": "https://github.com/david88558855" + "avatar": "https://avatars.githubusercontent.com/u/49217418?s=40&v=4", + "name": "li-jia-nan", + "url": "https://github.com/li-jia-nan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52664827?s=40&v=4", + "name": "ONLY-yours", + "url": "https://github.com/ONLY-yours" } ] } diff --git a/data/daily/typescript.xml b/data/daily/typescript.xml index 75e0abd40226..6aa772f94058 100644 --- a/data/daily/typescript.xml +++ b/data/daily/typescript.xml @@ -3,7 +3,45 @@ GitHub Typescript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Typescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + bluesky-social/social-app + https://github.com/bluesky-social/social-app + The Bluesky Social application for Web, iOS, and Android + https://github.com/bluesky-social/social-app + TypeScript + #3178c6 + 12,526 + 1,601 + 350 + + + https://avatars.githubusercontent.com/u/1270099?s=40&v=4 + pfrazee + https://github.com/pfrazee + + + https://avatars.githubusercontent.com/u/4732330?s=40&v=4 + estrattonbailey + https://github.com/estrattonbailey + + + https://avatars.githubusercontent.com/u/153161762?s=40&v=4 + haileyok + https://github.com/haileyok + + + https://avatars.githubusercontent.com/u/810438?s=40&v=4 + gaearon + https://github.com/gaearon + + + https://avatars.githubusercontent.com/u/10959775?s=40&v=4 + mozzius + https://github.com/mozzius + + + gitroomhq/postiz-app https://github.com/gitroomhq/postiz-app @@ -11,9 +49,9 @@ https://github.com/gitroomhq/postiz-app TypeScript #3178c6 - 11,341 - 2,321 - 294 + 11,429 + 2,325 + 354 https://avatars.githubusercontent.com/u/2025715?s=40&v=4 @@ -43,504 +81,504 @@ - pingcap/autoflow - https://github.com/pingcap/autoflow - pingcap/autoflow is a Graph RAG based and conversational knowledge base tool built with TiDB Serverless Vector Storage. Demo: https://tidb.ai - https://github.com/pingcap/autoflow + bluesky-social/atproto + https://github.com/bluesky-social/atproto + Social networking technology created by Bluesky + https://github.com/bluesky-social/atproto TypeScript #3178c6 - 1,463 - 70 - 194 + 7,122 + 509 + 114 - https://avatars.githubusercontent.com/u/12960671?s=40&v=4 - 634750802 - https://github.com/634750802 + https://avatars.githubusercontent.com/u/4898225?s=40&v=4 + dholms + https://github.com/dholms - https://avatars.githubusercontent.com/u/10102304?s=40&v=4 - wd0517 - https://github.com/wd0517 + https://avatars.githubusercontent.com/u/3150233?s=40&v=4 + devinivy + https://github.com/devinivy - https://avatars.githubusercontent.com/u/10701973?s=40&v=4 - IANTHEREAL - https://github.com/IANTHEREAL + https://avatars.githubusercontent.com/u/1270099?s=40&v=4 + pfrazee + https://github.com/pfrazee - https://avatars.githubusercontent.com/u/1237528?s=40&v=4 - sykp241095 - https://github.com/sykp241095 - - - https://avatars.githubusercontent.com/u/5086433?s=40&v=4 - Mini256 - https://github.com/Mini256 + https://avatars.githubusercontent.com/u/4732330?s=40&v=4 + estrattonbailey + https://github.com/estrattonbailey - ant-design/x - https://github.com/ant-design/x - Craft AI-driven interfaces effortlessly 🤖 - https://github.com/ant-design/x + RSSNext/Follow + https://github.com/RSSNext/Follow + 🧡 Follow your favorites in one inbox + https://github.com/RSSNext/Follow TypeScript #3178c6 - 288 - 18 - 40 + 18,147 + 737 + 226 - https://avatars.githubusercontent.com/u/5378891?s=40&v=4 - zombieJ - https://github.com/zombieJ + https://avatars.githubusercontent.com/u/41265413?s=40&v=4 + Innei + https://github.com/Innei - https://avatars.githubusercontent.com/u/98121322?s=40&v=4 - YumoImer - https://github.com/YumoImer + https://avatars.githubusercontent.com/u/8266075?s=40&v=4 + DIYgod + https://github.com/DIYgod - https://avatars.githubusercontent.com/u/507615?s=40&v=4 - afc163 - https://github.com/afc163 + https://avatars.githubusercontent.com/u/38493346?s=40&v=4 + hyoban + https://github.com/hyoban - https://avatars.githubusercontent.com/u/49217418?s=40&v=4 - li-jia-nan - https://github.com/li-jia-nan + https://avatars.githubusercontent.com/u/18554747?s=40&v=4 + lawvs + https://github.com/lawvs - https://avatars.githubusercontent.com/u/52664827?s=40&v=4 - ONLY-yours - https://github.com/ONLY-yours + https://avatars.githubusercontent.com/u/22665058?s=40&v=4 + songkeys + https://github.com/songkeys - sst/sst - https://github.com/sst/sst - Build full-stack apps on your own infrastructure. - https://github.com/sst/sst + streetwriters/notesnook + https://github.com/streetwriters/notesnook + A fully open source & end-to-end encrypted note taking alternative to Evernote. + https://github.com/streetwriters/notesnook TypeScript #3178c6 - 22,122 - 1,675 - 18 + 10,285 + 634 + 17 - https://avatars.githubusercontent.com/u/826656?s=40&v=4 - thdxr - https://github.com/thdxr + https://avatars.githubusercontent.com/u/7473959?s=40&v=4 + thecodrr + https://github.com/thecodrr - https://avatars.githubusercontent.com/u/83515?s=40&v=4 - fwang - https://github.com/fwang + https://avatars.githubusercontent.com/u/40239442?s=40&v=4 + ammarahm-ed + https://github.com/ammarahm-ed - https://avatars.githubusercontent.com/u/53023?s=40&v=4 - jayair - https://github.com/jayair + https://avatars.githubusercontent.com/u/22678611?s=40&v=4 + alihamuh + https://github.com/alihamuh - https://avatars.githubusercontent.com/u/127435?s=40&v=4 - brunocleite - https://github.com/brunocleite + https://avatars.githubusercontent.com/u/121712508?s=40&v=4 + Abdulrehman-Jafer + https://github.com/Abdulrehman-Jafer - https://avatars.githubusercontent.com/u/32212214?s=40&v=4 - garretcharp - https://github.com/garretcharp + https://avatars.githubusercontent.com/u/85733202?s=40&v=4 + 01zulfi + https://github.com/01zulfi - RSSNext/Follow - https://github.com/RSSNext/Follow - 🧡 Follow your favorites in one inbox - https://github.com/RSSNext/Follow + Vencord/Vesktop + https://github.com/Vencord/Vesktop + Vesktop is a custom Discord App aiming to give you better performance and improve linux support + https://github.com/Vencord/Vesktop TypeScript #3178c6 - 18,070 - 737 - 226 + 4,416 + 197 + 16 - https://avatars.githubusercontent.com/u/41265413?s=40&v=4 - Innei - https://github.com/Innei - - - https://avatars.githubusercontent.com/u/8266075?s=40&v=4 - DIYgod - https://github.com/DIYgod + https://avatars.githubusercontent.com/u/45497981?s=40&v=4 + Vendicated + https://github.com/Vendicated - https://avatars.githubusercontent.com/u/38493346?s=40&v=4 - hyoban - https://github.com/hyoban + https://avatars.githubusercontent.com/u/8019327?s=40&v=4 + lewisakura + https://github.com/lewisakura - https://avatars.githubusercontent.com/u/18554747?s=40&v=4 - lawvs - https://github.com/lawvs + https://avatars.githubusercontent.com/u/37805707?s=40&v=4 + Curve + https://github.com/Curve - https://avatars.githubusercontent.com/u/22665058?s=40&v=4 - songkeys - https://github.com/songkeys + https://avatars.githubusercontent.com/u/70191398?s=40&v=4 + ryanccn + https://github.com/ryanccn - dream-num/univer - https://github.com/dream-num/univer - Univer is an isomorphic full-stack framework for creating and editing spreadsheets, docs, and slides across client and server. - https://github.com/dream-num/univer + enricoros/big-AGI + https://github.com/enricoros/big-AGI + Generative AI suite powered by state-of-the-art models and providing advanced AI/AGI functions. It features AI personas, AGI functions, multi-model chats, text-to-image, voice, response streaming, code highlighting and execution, PDF import, presets for developers, much more. Deploy on-prem or in the cloud. + https://github.com/enricoros/big-AGI TypeScript #3178c6 - 7,435 - 641 - 167 + 5,598 + 1,297 + 45 - https://avatars.githubusercontent.com/u/26371161?s=40&v=4 - Dushusir - https://github.com/Dushusir + https://avatars.githubusercontent.com/u/32999?s=40&v=4 + enricoros + https://github.com/enricoros - https://avatars.githubusercontent.com/u/14025786?s=40&v=4 - jikkai - https://github.com/jikkai + https://avatars.githubusercontent.com/u/1590910?s=40&v=4 + joriskalz + https://github.com/joriskalz - https://avatars.githubusercontent.com/u/12122021?s=40&v=4 - wzhudev - https://github.com/wzhudev + https://avatars.githubusercontent.com/u/51766?s=40&v=4 + harlanlewis + https://github.com/harlanlewis - https://avatars.githubusercontent.com/u/22467037?s=40&v=4 - jerry-TangHao - https://github.com/jerry-TangHao + https://avatars.githubusercontent.com/u/4734005?s=40&v=4 + fredliubojin + https://github.com/fredliubojin - https://avatars.githubusercontent.com/u/68851825?s=40&v=4 - DR-Univer - https://github.com/DR-Univer + https://avatars.githubusercontent.com/u/6212701?s=40&v=4 + tboydston + https://github.com/tboydston - mastra-ai/mastra - https://github.com/mastra-ai/mastra - The TypeScript AI framework. - https://github.com/mastra-ai/mastra + DIYgod/RSSHub + https://github.com/DIYgod/RSSHub + 🧡 Everything is RSSible + https://github.com/DIYgod/RSSHub TypeScript #3178c6 - 685 - 18 - 72 + 33,851 + 7,560 + 29 - https://avatars.githubusercontent.com/u/32024147?s=40&v=4 - adeleke5140 - https://github.com/adeleke5140 - - - https://avatars.githubusercontent.com/u/65332951?s=40&v=4 - TheIsrael1 - https://github.com/TheIsrael1 - - - https://avatars.githubusercontent.com/u/2359375?s=40&v=4 - abhiaiyer91 - https://github.com/abhiaiyer91 - - - https://avatars.githubusercontent.com/u/54284970?s=40&v=4 - Taofeeq-deru - https://github.com/Taofeeq-deru + https://avatars.githubusercontent.com/u/8266075?s=40&v=4 + DIYgod + https://github.com/DIYgod - https://avatars.githubusercontent.com/u/52568775?s=40&v=4 - Adeniyii - https://github.com/Adeniyii + https://avatars.githubusercontent.com/u/11386903?s=40&v=4 + TonyRL + https://github.com/TonyRL - ghostfolio/ghostfolio - https://github.com/ghostfolio/ghostfolio - Open Source Wealth Management Software. Angular + NestJS + Prisma + Nx + TypeScript 🤍 - https://github.com/ghostfolio/ghostfolio + immich-app/immich + https://github.com/immich-app/immich + High performance self-hosted photo and video management solution. + https://github.com/immich-app/immich TypeScript #3178c6 - 4,561 - 467 - 8 + 52,590 + 2,789 + 66 - https://avatars.githubusercontent.com/u/4159106?s=40&v=4 - dtslvr - https://github.com/dtslvr + https://avatars.githubusercontent.com/u/27055614?s=40&v=4 + alextran1502 + https://github.com/alextran1502 - https://avatars.githubusercontent.com/u/3200232?s=40&v=4 - vzickner - https://github.com/vzickner + https://avatars.githubusercontent.com/u/4334196?s=40&v=4 + jrasm91 + https://github.com/jrasm91 - https://avatars.githubusercontent.com/u/31533186?s=40&v=4 - dw-0 - https://github.com/dw-0 + https://avatars.githubusercontent.com/u/59014050?s=40&v=4 + michelheusschen + https://github.com/michelheusschen - https://avatars.githubusercontent.com/u/11334553?s=40&v=4 - gizmodus - https://github.com/gizmodus - - - https://avatars.githubusercontent.com/u/39493102?s=40&v=4 - yksolanki9 - https://github.com/yksolanki9 + https://avatars.githubusercontent.com/u/101130780?s=40&v=4 + mertalev + https://github.com/mertalev - getsentry/sentry-javascript - https://github.com/getsentry/sentry-javascript - Official Sentry SDKs for JavaScript - https://github.com/getsentry/sentry-javascript + n4ze3m/page-assist + https://github.com/n4ze3m/page-assist + Use your locally running AI models to assist you in your web browsing + https://github.com/n4ze3m/page-assist TypeScript #3178c6 - 8,015 - 1,585 - 7 + 1,575 + 150 + 11 - https://avatars.githubusercontent.com/u/2411343?s=40&v=4 - mydea - https://github.com/mydea + https://avatars.githubusercontent.com/u/39720973?s=40&v=4 + n4ze3m + https://github.com/n4ze3m - https://avatars.githubusercontent.com/u/18689448?s=40&v=4 - AbhiPrasad - https://github.com/AbhiPrasad + https://avatars.githubusercontent.com/u/113997856?s=40&v=4 + Abubakar115e + https://github.com/Abubakar115e - https://avatars.githubusercontent.com/u/375744?s=40&v=4 - mattrobenolt - https://github.com/mattrobenolt + https://avatars.githubusercontent.com/u/1169963?s=40&v=4 + colin-campbell + https://github.com/colin-campbell - https://avatars.githubusercontent.com/u/1523305?s=40&v=4 - kamilogorek - https://github.com/kamilogorek + https://avatars.githubusercontent.com/u/791137?s=40&v=4 + josx + https://github.com/josx - https://avatars.githubusercontent.com/u/8118419?s=40&v=4 - lforst - https://github.com/lforst + https://avatars.githubusercontent.com/u/7223028?s=40&v=4 + PierreMesure + https://github.com/PierreMesure - enricoros/big-AGI - https://github.com/enricoros/big-AGI - Generative AI suite powered by state-of-the-art models and providing advanced AI/AGI functions. It features AI personas, AGI functions, multi-model chats, text-to-image, voice, response streaming, code highlighting and execution, PDF import, presets for developers, much more. Deploy on-prem or in the cloud. - https://github.com/enricoros/big-AGI + dream-num/univer + https://github.com/dream-num/univer + Univer is an isomorphic full-stack framework for creating and editing spreadsheets, docs, and slides across client and server. + https://github.com/dream-num/univer TypeScript #3178c6 - 5,594 - 1,295 - 45 + 7,439 + 641 + 167 - https://avatars.githubusercontent.com/u/32999?s=40&v=4 - enricoros - https://github.com/enricoros + https://avatars.githubusercontent.com/u/26371161?s=40&v=4 + Dushusir + https://github.com/Dushusir - https://avatars.githubusercontent.com/u/1590910?s=40&v=4 - joriskalz - https://github.com/joriskalz + https://avatars.githubusercontent.com/u/14025786?s=40&v=4 + jikkai + https://github.com/jikkai - https://avatars.githubusercontent.com/u/51766?s=40&v=4 - harlanlewis - https://github.com/harlanlewis + https://avatars.githubusercontent.com/u/12122021?s=40&v=4 + wzhudev + https://github.com/wzhudev - https://avatars.githubusercontent.com/u/4734005?s=40&v=4 - fredliubojin - https://github.com/fredliubojin + https://avatars.githubusercontent.com/u/22467037?s=40&v=4 + jerry-TangHao + https://github.com/jerry-TangHao - https://avatars.githubusercontent.com/u/6212701?s=40&v=4 - tboydston - https://github.com/tboydston + https://avatars.githubusercontent.com/u/68851825?s=40&v=4 + DR-Univer + https://github.com/DR-Univer - linkwarden/linkwarden - https://github.com/linkwarden/linkwarden - ⚡️⚡️⚡️Self-hosted collaborative bookmark manager to collect, organize, and preserve webpages, articles, and more... - https://github.com/linkwarden/linkwarden + pingcap/autoflow + https://github.com/pingcap/autoflow + pingcap/autoflow is a Graph RAG based and conversational knowledge base tool built with TiDB Serverless Vector Storage. Demo: https://tidb.ai + https://github.com/pingcap/autoflow TypeScript #3178c6 - 8,848 - 329 - 30 + 1,520 + 73 + 359 - https://avatars.githubusercontent.com/u/93432314?s=40&v=4 - daniel31x13 - https://github.com/daniel31x13 + https://avatars.githubusercontent.com/u/12960671?s=40&v=4 + 634750802 + https://github.com/634750802 - https://avatars.githubusercontent.com/u/38229170?s=40&v=4 - IsaacWise06 - https://github.com/IsaacWise06 + https://avatars.githubusercontent.com/u/10102304?s=40&v=4 + wd0517 + https://github.com/wd0517 - https://avatars.githubusercontent.com/u/21292986?s=40&v=4 - YeeJiaWei - https://github.com/YeeJiaWei + https://avatars.githubusercontent.com/u/10701973?s=40&v=4 + IANTHEREAL + https://github.com/IANTHEREAL - https://avatars.githubusercontent.com/u/141587807?s=40&v=4 - QAComet - https://github.com/QAComet + https://avatars.githubusercontent.com/u/1237528?s=40&v=4 + sykp241095 + https://github.com/sykp241095 + + + https://avatars.githubusercontent.com/u/5086433?s=40&v=4 + Mini256 + https://github.com/Mini256 - BuilderIO/gpt-crawler - https://github.com/BuilderIO/gpt-crawler - Crawl a site to generate knowledge files to create your own custom GPT from a URL - https://github.com/BuilderIO/gpt-crawler + teableio/teable + https://github.com/teableio/teable + ✨ The Next Gen Airtable Alternative: No-Code Postgres + https://github.com/teableio/teable TypeScript #3178c6 - 18,925 - 2,026 + 13,159 + 593 14 - https://avatars.githubusercontent.com/u/844291?s=40&v=4 - steve8708 - https://github.com/steve8708 + https://avatars.githubusercontent.com/u/113977203?s=40&v=4 + tea-artist + https://github.com/tea-artist - https://avatars.githubusercontent.com/u/33183880?s=40&v=4 - marcelovicentegc - https://github.com/marcelovicentegc + https://avatars.githubusercontent.com/u/37397984?s=40&v=4 + boris-w + https://github.com/boris-w - https://avatars.githubusercontent.com/u/52298929?s=40&v=4 - guillermoscript - https://github.com/guillermoscript + https://avatars.githubusercontent.com/u/110664616?s=40&v=4 + caoxing9 + https://github.com/caoxing9 - https://avatars.githubusercontent.com/u/5231288?s=40&v=4 - adityak74 - https://github.com/adityak74 + https://avatars.githubusercontent.com/u/23622592?s=40&v=4 + Sky-FE + https://github.com/Sky-FE - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot + https://avatars.githubusercontent.com/u/23395872?s=40&v=4 + Pengap + https://github.com/Pengap - DIYgod/RSSHub - https://github.com/DIYgod/RSSHub - 🧡 Everything is RSSible - https://github.com/DIYgod/RSSHub + louislam/dockge + https://github.com/louislam/dockge + A fancy, easy-to-use and reactive self-hosted docker compose.yaml stack-oriented manager + https://github.com/louislam/dockge TypeScript #3178c6 - 33,836 - 7,558 - 29 + 13,167 + 375 + 20 - https://avatars.githubusercontent.com/u/8266075?s=40&v=4 - DIYgod - https://github.com/DIYgod + https://avatars.githubusercontent.com/u/1336778?s=40&v=4 + louislam + https://github.com/louislam - https://avatars.githubusercontent.com/u/11386903?s=40&v=4 - TonyRL - https://github.com/TonyRL + https://avatars.githubusercontent.com/u/53424436?s=40&v=4 + Zaid-maker + https://github.com/Zaid-maker + + + https://avatars.githubusercontent.com/u/70776486?s=40&v=4 + cyril59310 + https://github.com/cyril59310 + + + https://avatars.githubusercontent.com/u/16870542?s=40&v=4 + LevantinLynx + https://github.com/LevantinLynx + + + https://avatars.githubusercontent.com/u/27770896?s=40&v=4 + stanol + https://github.com/stanol - ai16z/eliza - https://github.com/ai16z/eliza - Conversational Agent for Twitter and Discord - https://github.com/ai16z/eliza + codestoryai/aide + https://github.com/codestoryai/aide + The open-source AI-native IDE + https://github.com/codestoryai/aide TypeScript #3178c6 - 2,108 - 568 - 70 + 692 + 55 + 22 - https://avatars.githubusercontent.com/u/18633264?s=40&v=4 - lalalune - https://github.com/lalalune + https://avatars.githubusercontent.com/u/10356126?s=40&v=4 + theskcd + https://github.com/theskcd - https://avatars.githubusercontent.com/u/90423308?s=40&v=4 - ponderingdemocritus - https://github.com/ponderingdemocritus + https://avatars.githubusercontent.com/u/10023615?s=40&v=4 + ghostwriternr + https://github.com/ghostwriternr - https://avatars.githubusercontent.com/u/28543?s=40&v=4 - sirkitree - https://github.com/sirkitree + https://avatars.githubusercontent.com/u/23014664?s=40&v=4 + g-danna + https://github.com/g-danna - https://avatars.githubusercontent.com/u/70625671?s=40&v=4 - MarcoMandar - https://github.com/MarcoMandar + https://avatars.githubusercontent.com/u/99770068?s=40&v=4 + sartoshi-foot-dao + https://github.com/sartoshi-foot-dao + + + https://avatars.githubusercontent.com/u/110452?s=40&v=4 + jamesjrg + https://github.com/jamesjrg - caorushizi/mediago - https://github.com/caorushizi/mediago - m3u8[m3u8-downloader] 视频在线提取工具 流媒体下载 、视频下载 、 m3u8下载 、 B站视频下载 桌面客户端 windows mac - https://github.com/caorushizi/mediago + ant-design/x + https://github.com/ant-design/x + Craft AI-driven interfaces effortlessly 🤖 + https://github.com/ant-design/x TypeScript #3178c6 - 4,757 - 429 - 20 + 315 + 20 + 40 - https://avatars.githubusercontent.com/u/19359849?s=40&v=4 - caorushizi - https://github.com/caorushizi + https://avatars.githubusercontent.com/u/5378891?s=40&v=4 + zombieJ + https://github.com/zombieJ + + + https://avatars.githubusercontent.com/u/98121322?s=40&v=4 + YumoImer + https://github.com/YumoImer - https://avatars.githubusercontent.com/u/15952066?s=40&v=4 - hanzc0106 - https://github.com/hanzc0106 + https://avatars.githubusercontent.com/u/507615?s=40&v=4 + afc163 + https://github.com/afc163 - https://avatars.githubusercontent.com/u/20834781?s=40&v=4 - wfl36 - https://github.com/wfl36 + https://avatars.githubusercontent.com/u/49217418?s=40&v=4 + li-jia-nan + https://github.com/li-jia-nan - https://avatars.githubusercontent.com/u/162932656?s=40&v=4 - david88558855 - https://github.com/david88558855 + https://avatars.githubusercontent.com/u/52664827?s=40&v=4 + ONLY-yours + https://github.com/ONLY-yours diff --git a/data/daily/typst.json b/data/daily/typst.json index cc797acce368..599d7db9a2b4 100644 --- a/data/daily/typst.json +++ b/data/daily/typst.json @@ -2,6 +2,6 @@ "title": "GitHub Typst Languages Daily Trending", "description": "Daily Trending of Typst Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/typst.xml b/data/daily/typst.xml index f935620a3f52..f561951bd5ec 100644 --- a/data/daily/typst.xml +++ b/data/daily/typst.xml @@ -3,6 +3,6 @@ GitHub Typst Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Typst Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/unified-parallel-c.json b/data/daily/unified-parallel-c.json index dcc871b6781e..dfd9c6b1760f 100644 --- a/data/daily/unified-parallel-c.json +++ b/data/daily/unified-parallel-c.json @@ -2,6 +2,6 @@ "title": "GitHub Unified-parallel-c Languages Daily Trending", "description": "Daily Trending of Unified-parallel-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/unified-parallel-c.xml b/data/daily/unified-parallel-c.xml index b3773669a1d0..7d5e98c508c2 100644 --- a/data/daily/unified-parallel-c.xml +++ b/data/daily/unified-parallel-c.xml @@ -3,6 +3,6 @@ GitHub Unified-parallel-c Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Unified-parallel-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/unity3d-asset.json b/data/daily/unity3d-asset.json index 214eaab56d73..d54591a058c2 100644 --- a/data/daily/unity3d-asset.json +++ b/data/daily/unity3d-asset.json @@ -2,6 +2,6 @@ "title": "GitHub Unity3d-asset Languages Daily Trending", "description": "Daily Trending of Unity3d-asset Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/unity3d-asset.xml b/data/daily/unity3d-asset.xml index 8b3411fa0e86..5e003ee126a6 100644 --- a/data/daily/unity3d-asset.xml +++ b/data/daily/unity3d-asset.xml @@ -3,6 +3,6 @@ GitHub Unity3d-asset Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Unity3d-asset Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/unix-assembly.json b/data/daily/unix-assembly.json index ee505fde38a2..8e38f7ae6d12 100644 --- a/data/daily/unix-assembly.json +++ b/data/daily/unix-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Unix-assembly Languages Daily Trending", "description": "Daily Trending of Unix-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/unix-assembly.xml b/data/daily/unix-assembly.xml index 1891760b8ea4..ecbb9e9be4f6 100644 --- a/data/daily/unix-assembly.xml +++ b/data/daily/unix-assembly.xml @@ -3,6 +3,6 @@ GitHub Unix-assembly Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Unix-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/uno.json b/data/daily/uno.json index c3f404b90814..5240e28c6489 100644 --- a/data/daily/uno.json +++ b/data/daily/uno.json @@ -2,6 +2,6 @@ "title": "GitHub Uno Languages Daily Trending", "description": "Daily Trending of Uno Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/uno.xml b/data/daily/uno.xml index 8c6552ed6757..0ccdcbdfe2d1 100644 --- a/data/daily/uno.xml +++ b/data/daily/uno.xml @@ -3,6 +3,6 @@ GitHub Uno Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Uno Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/unrealscript.json b/data/daily/unrealscript.json index 2766e7fcd698..941c714c36c2 100644 --- a/data/daily/unrealscript.json +++ b/data/daily/unrealscript.json @@ -2,6 +2,6 @@ "title": "GitHub Unrealscript Languages Daily Trending", "description": "Daily Trending of Unrealscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/unrealscript.xml b/data/daily/unrealscript.xml index 5e5df0a9c7a2..09a7038a7d5e 100644 --- a/data/daily/unrealscript.xml +++ b/data/daily/unrealscript.xml @@ -3,6 +3,6 @@ GitHub Unrealscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Unrealscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/urweb.json b/data/daily/urweb.json index 1b7926001bc2..06aefda94fa2 100644 --- a/data/daily/urweb.json +++ b/data/daily/urweb.json @@ -2,6 +2,6 @@ "title": "GitHub Urweb Languages Daily Trending", "description": "Daily Trending of Urweb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/urweb.xml b/data/daily/urweb.xml index e5a0f79c2c36..8d2d7f486135 100644 --- a/data/daily/urweb.xml +++ b/data/daily/urweb.xml @@ -3,6 +3,6 @@ GitHub Urweb Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Urweb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/v.json b/data/daily/v.json index 179326723f50..360500550309 100644 --- a/data/daily/v.json +++ b/data/daily/v.json @@ -2,7 +2,7 @@ "title": "GitHub V Languages Daily Trending", "description": "Daily Trending of V Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "vlang/v", @@ -10,8 +10,8 @@ "description": "Simple, fast, safe, compiled language for developing maintainable software. Compiles itself in <1s with zero library dependencies. Supports automatic C => V translation. https://vlang.io", "language": "V", "languageColor": "#4f87c4", - "stars": "35,857", - "forks": "2,168", + "stars": "35,859", + "forks": "2,169", "addStars": "5", "contributors": [ { @@ -41,43 +41,6 @@ } ] }, - { - "title": "vlang/v-analyzer", - "url": "https://github.com/vlang/v-analyzer", - "description": "The @vlang language server, for all your editing needs like go-to-definition, code completion, type hints, and more.", - "language": "V", - "languageColor": "#4f87c4", - "stars": "116", - "forks": "16", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/51853996?s=40&v=4", - "name": "i582", - "url": "https://github.com/i582" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34311583?s=40&v=4", - "name": "ttytm", - "url": "https://github.com/ttytm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26967?s=40&v=4", - "name": "spytheman", - "url": "https://github.com/spytheman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/139197676?s=40&v=4", - "name": "Lycs-D", - "url": "https://github.com/Lycs-D" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2801678?s=40&v=4", - "name": "joe-conigliaro", - "url": "https://github.com/joe-conigliaro" - } - ] - }, { "title": "v-analyzer/v-analyzer", "url": "https://github.com/v-analyzer/v-analyzer", diff --git a/data/daily/v.xml b/data/daily/v.xml index 5cffcdee8d4d..56532ea5ea73 100644 --- a/data/daily/v.xml +++ b/data/daily/v.xml @@ -3,7 +3,7 @@ GitHub V Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of V Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT vlang/v https://github.com/vlang/v @@ -11,8 +11,8 @@ https://github.com/vlang/v V #4f87c4 - 35,857 - 2,168 + 35,859 + 2,169 5 @@ -42,44 +42,6 @@ - - vlang/v-analyzer - https://github.com/vlang/v-analyzer - The @vlang language server, for all your editing needs like go-to-definition, code completion, type hints, and more. - https://github.com/vlang/v-analyzer - V - #4f87c4 - 116 - 16 - 2 - - - https://avatars.githubusercontent.com/u/51853996?s=40&v=4 - i582 - https://github.com/i582 - - - https://avatars.githubusercontent.com/u/34311583?s=40&v=4 - ttytm - https://github.com/ttytm - - - https://avatars.githubusercontent.com/u/26967?s=40&v=4 - spytheman - https://github.com/spytheman - - - https://avatars.githubusercontent.com/u/139197676?s=40&v=4 - Lycs-D - https://github.com/Lycs-D - - - https://avatars.githubusercontent.com/u/2801678?s=40&v=4 - joe-conigliaro - https://github.com/joe-conigliaro - - - v-analyzer/v-analyzer https://github.com/v-analyzer/v-analyzer diff --git a/data/daily/vala.json b/data/daily/vala.json index 37b5a7acc494..7139aa2b4985 100644 --- a/data/daily/vala.json +++ b/data/daily/vala.json @@ -2,45 +2,8 @@ "title": "GitHub Vala Languages Daily Trending", "description": "Daily Trending of Vala Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "alainm23/planify", - "url": "https://github.com/alainm23/planify", - "description": "Task manager with Todoist & Nextcloud support designed for GNOME", - "language": "Vala", - "languageColor": "#a56de2", - "stars": "3,653", - "forks": "215", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/33765137?s=40&v=4", - "name": "alainm23", - "url": "https://github.com/alainm23" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1838576?s=40&v=4", - "name": "romixch", - "url": "https://github.com/romixch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34414563?s=40&v=4", - "name": "IhorHordiichuk", - "url": "https://github.com/IhorHordiichuk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1716229?s=40&v=4", - "name": "Vistaus", - "url": "https://github.com/Vistaus" - } - ] - }, { "title": "linuxmint/timeshift", "url": "https://github.com/linuxmint/timeshift", @@ -101,39 +64,113 @@ ] }, { - "title": "teejee2008/timeshift", - "url": "https://github.com/teejee2008/timeshift", - "description": "System restore tool for Linux. Creates filesystem snapshots using rsync+hardlinks, or BTRFS snapshots. Supports scheduled snapshots, multiple backup levels, and exclude filters. Snapshots can be restored while system is running or from Live CD/USB.", + "title": "alainm23/planify", + "url": "https://github.com/alainm23/planify", + "description": "Task manager with Todoist & Nextcloud support designed for GNOME", "language": "Vala", "languageColor": "#a56de2", - "stars": "4,693", - "forks": "282", + "stars": "3,653", + "forks": "215", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/33765137?s=40&v=4", + "name": "alainm23", + "url": "https://github.com/alainm23" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1838576?s=40&v=4", + "name": "romixch", + "url": "https://github.com/romixch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34414563?s=40&v=4", + "name": "IhorHordiichuk", + "url": "https://github.com/IhorHordiichuk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1716229?s=40&v=4", + "name": "Vistaus", + "url": "https://github.com/Vistaus" + } + ] + }, + { + "title": "ErikReider/SwayNotificationCenter", + "url": "https://github.com/ErikReider/SwayNotificationCenter", + "description": "A simple GTK based notification daemon for SwayWM", + "language": "Vala", + "languageColor": "#a56de2", + "stars": "1,406", + "forks": "63", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/35975961?s=40&v=4", + "name": "ErikReider", + "url": "https://github.com/ErikReider" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/78504175?s=40&v=4", + "name": "JannisPetschenka", + "url": "https://github.com/JannisPetschenka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20448408?s=40&v=4", + "name": "berbiche", + "url": "https://github.com/berbiche" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/93888516?s=40&v=4", + "name": "nightly-brew", + "url": "https://github.com/nightly-brew" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/974569?s=40&v=4", + "name": "abmantis", + "url": "https://github.com/abmantis" + } + ] + }, + { + "title": "elementary/terminal", + "url": "https://github.com/elementary/terminal", + "description": "Terminal emulator designed for elementary OS", + "language": "Vala", + "languageColor": "#a56de2", + "stars": "413", + "forks": "97", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12488854?s=40&v=4", - "name": "teejee2008", - "url": "https://github.com/teejee2008" + "avatar": "https://avatars.githubusercontent.com/u/741621?s=40&v=4", + "name": "davidgomes", + "url": "https://github.com/davidgomes" }, { - "avatar": "https://avatars.githubusercontent.com/u/16755638?s=40&v=4", - "name": "kheyyam", - "url": "https://github.com/kheyyam" + "avatar": "https://avatars.githubusercontent.com/u/7277719?s=40&v=4", + "name": "danirabbit", + "url": "https://github.com/danirabbit" }, { - "avatar": "https://avatars.githubusercontent.com/u/1138515?s=40&v=4", - "name": "clefebvre", - "url": "https://github.com/clefebvre" + "avatar": "https://avatars.githubusercontent.com/u/2378083?s=40&v=4", + "name": "voldyman", + "url": "https://github.com/voldyman" }, { - "avatar": "https://avatars.githubusercontent.com/u/12208593?s=40&v=4", - "name": "p-bo", - "url": "https://github.com/p-bo" + "avatar": "https://avatars.githubusercontent.com/u/22037954?s=40&v=4", + "name": "elementaryBot", + "url": "https://github.com/elementaryBot" }, { - "avatar": "https://avatars.githubusercontent.com/u/14265316?s=40&v=4", - "name": "TotalCaesar659", - "url": "https://github.com/TotalCaesar659" + "avatar": "https://avatars.githubusercontent.com/u/2166391?s=40&v=4", + "name": "codygarver", + "url": "https://github.com/codygarver" } ] } diff --git a/data/daily/vala.xml b/data/daily/vala.xml index 446dc0acbfef..385a5ff5f945 100644 --- a/data/daily/vala.xml +++ b/data/daily/vala.xml @@ -3,45 +3,7 @@ GitHub Vala Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vala Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - alainm23/planify - https://github.com/alainm23/planify - Task manager with Todoist & Nextcloud support designed for GNOME - https://github.com/alainm23/planify - Vala - #a56de2 - 3,653 - 215 - 3 - - - https://avatars.githubusercontent.com/u/33765137?s=40&v=4 - alainm23 - https://github.com/alainm23 - - - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate - - - https://avatars.githubusercontent.com/u/1838576?s=40&v=4 - romixch - https://github.com/romixch - - - https://avatars.githubusercontent.com/u/34414563?s=40&v=4 - IhorHordiichuk - https://github.com/IhorHordiichuk - - - https://avatars.githubusercontent.com/u/1716229?s=40&v=4 - Vistaus - https://github.com/Vistaus - - - + Mon, 25 Nov 2024 13:30:36 GMT linuxmint/timeshift https://github.com/linuxmint/timeshift @@ -104,40 +66,116 @@ - teejee2008/timeshift - https://github.com/teejee2008/timeshift - System restore tool for Linux. Creates filesystem snapshots using rsync+hardlinks, or BTRFS snapshots. Supports scheduled snapshots, multiple backup levels, and exclude filters. Snapshots can be restored while system is running or from Live CD/USB. - https://github.com/teejee2008/timeshift + alainm23/planify + https://github.com/alainm23/planify + Task manager with Todoist & Nextcloud support designed for GNOME + https://github.com/alainm23/planify Vala #a56de2 - 4,693 - 282 + 3,653 + 215 + 3 + + + https://avatars.githubusercontent.com/u/33765137?s=40&v=4 + alainm23 + https://github.com/alainm23 + + + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate + + + https://avatars.githubusercontent.com/u/1838576?s=40&v=4 + romixch + https://github.com/romixch + + + https://avatars.githubusercontent.com/u/34414563?s=40&v=4 + IhorHordiichuk + https://github.com/IhorHordiichuk + + + https://avatars.githubusercontent.com/u/1716229?s=40&v=4 + Vistaus + https://github.com/Vistaus + + + + + ErikReider/SwayNotificationCenter + https://github.com/ErikReider/SwayNotificationCenter + A simple GTK based notification daemon for SwayWM + https://github.com/ErikReider/SwayNotificationCenter + Vala + #a56de2 + 1,406 + 63 + 2 + + + https://avatars.githubusercontent.com/u/35975961?s=40&v=4 + ErikReider + https://github.com/ErikReider + + + https://avatars.githubusercontent.com/u/78504175?s=40&v=4 + JannisPetschenka + https://github.com/JannisPetschenka + + + https://avatars.githubusercontent.com/u/20448408?s=40&v=4 + berbiche + https://github.com/berbiche + + + https://avatars.githubusercontent.com/u/93888516?s=40&v=4 + nightly-brew + https://github.com/nightly-brew + + + https://avatars.githubusercontent.com/u/974569?s=40&v=4 + abmantis + https://github.com/abmantis + + + + + elementary/terminal + https://github.com/elementary/terminal + Terminal emulator designed for elementary OS + https://github.com/elementary/terminal + Vala + #a56de2 + 413 + 97 1 - https://avatars.githubusercontent.com/u/12488854?s=40&v=4 - teejee2008 - https://github.com/teejee2008 + https://avatars.githubusercontent.com/u/741621?s=40&v=4 + davidgomes + https://github.com/davidgomes - https://avatars.githubusercontent.com/u/16755638?s=40&v=4 - kheyyam - https://github.com/kheyyam + https://avatars.githubusercontent.com/u/7277719?s=40&v=4 + danirabbit + https://github.com/danirabbit - https://avatars.githubusercontent.com/u/1138515?s=40&v=4 - clefebvre - https://github.com/clefebvre + https://avatars.githubusercontent.com/u/2378083?s=40&v=4 + voldyman + https://github.com/voldyman - https://avatars.githubusercontent.com/u/12208593?s=40&v=4 - p-bo - https://github.com/p-bo + https://avatars.githubusercontent.com/u/22037954?s=40&v=4 + elementaryBot + https://github.com/elementaryBot - https://avatars.githubusercontent.com/u/14265316?s=40&v=4 - TotalCaesar659 - https://github.com/TotalCaesar659 + https://avatars.githubusercontent.com/u/2166391?s=40&v=4 + codygarver + https://github.com/codygarver diff --git a/data/daily/valve-data-format.json b/data/daily/valve-data-format.json index 7b720b33342e..fd92f3097c76 100644 --- a/data/daily/valve-data-format.json +++ b/data/daily/valve-data-format.json @@ -2,6 +2,6 @@ "title": "GitHub Valve-data-format Languages Daily Trending", "description": "Daily Trending of Valve-data-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/valve-data-format.xml b/data/daily/valve-data-format.xml index 370f8f2d0369..942680c27176 100644 --- a/data/daily/valve-data-format.xml +++ b/data/daily/valve-data-format.xml @@ -3,6 +3,6 @@ GitHub Valve-data-format Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Valve-data-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/vba.json b/data/daily/vba.json index b890ad2fac1b..83b19ad950c2 100644 --- a/data/daily/vba.json +++ b/data/daily/vba.json @@ -2,6 +2,6 @@ "title": "GitHub Vba Languages Daily Trending", "description": "Daily Trending of Vba Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/vba.xml b/data/daily/vba.xml index 8b6eaf1990fd..1785b272a18c 100644 --- a/data/daily/vba.xml +++ b/data/daily/vba.xml @@ -3,6 +3,6 @@ GitHub Vba Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vba Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/vbscript.json b/data/daily/vbscript.json index c2d39ae110ca..39ab27e47a48 100644 --- a/data/daily/vbscript.json +++ b/data/daily/vbscript.json @@ -2,7 +2,7 @@ "title": "GitHub Vbscript Languages Daily Trending", "description": "Daily Trending of Vbscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "abbodi1406/vcredist", @@ -32,7 +32,7 @@ "description": "pyenv for Windows. pyenv is a simple python version management tool. It lets you easily switch between multiple versions of Python. It's simple, unobtrusive, and follows the UNIX tradition of single-purpose tools that do one thing well.", "language": "VBScript", "languageColor": "#15dcdc", - "stars": "5,036", + "stars": "5,037", "forks": "460", "addStars": "6", "contributors": [ diff --git a/data/daily/vbscript.xml b/data/daily/vbscript.xml index ee34f95d13dc..60e5f1148317 100644 --- a/data/daily/vbscript.xml +++ b/data/daily/vbscript.xml @@ -3,7 +3,7 @@ GitHub Vbscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vbscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT abbodi1406/vcredist https://github.com/abbodi1406/vcredist @@ -34,7 +34,7 @@ https://github.com/pyenv-win/pyenv-win VBScript #15dcdc - 5,036 + 5,037 460 6 diff --git a/data/daily/vcl.json b/data/daily/vcl.json index 986174d8bbc0..a7280a43ea86 100644 --- a/data/daily/vcl.json +++ b/data/daily/vcl.json @@ -2,6 +2,6 @@ "title": "GitHub Vcl Languages Daily Trending", "description": "Daily Trending of Vcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/vcl.xml b/data/daily/vcl.xml index e368229eb332..3f5994ac967d 100644 --- a/data/daily/vcl.xml +++ b/data/daily/vcl.xml @@ -3,6 +3,6 @@ GitHub Vcl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/velocity-template-language.json b/data/daily/velocity-template-language.json index b66dd01c1bb3..ba97b7335c7e 100644 --- a/data/daily/velocity-template-language.json +++ b/data/daily/velocity-template-language.json @@ -2,6 +2,6 @@ "title": "GitHub Velocity-template-language Languages Daily Trending", "description": "Daily Trending of Velocity-template-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/velocity-template-language.xml b/data/daily/velocity-template-language.xml index c98d24467d20..e8de3490c8e1 100644 --- a/data/daily/velocity-template-language.xml +++ b/data/daily/velocity-template-language.xml @@ -3,6 +3,6 @@ GitHub Velocity-template-language Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Velocity-template-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/verilog.json b/data/daily/verilog.json index 5b6fae0da323..30cba875d634 100644 --- a/data/daily/verilog.json +++ b/data/daily/verilog.json @@ -2,79 +2,79 @@ "title": "GitHub Verilog Languages Daily Trending", "description": "Daily Trending of Verilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "The-OpenROAD-Project/OpenROAD", - "url": "https://github.com/The-OpenROAD-Project/OpenROAD", - "description": "OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/", + "title": "vortexgpgpu/vortex", + "url": "https://github.com/vortexgpgpu/vortex", + "description": "", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,623", - "forks": "562", - "addStars": "3", + "stars": "1,248", + "forks": "272", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/761514?s=40&v=4", - "name": "maliberty", - "url": "https://github.com/maliberty" + "avatar": "https://avatars.githubusercontent.com/u/2282163?s=40&v=4", + "name": "tinebp", + "url": "https://github.com/tinebp" }, { - "avatar": "https://avatars.githubusercontent.com/u/43450810?s=40&v=4", - "name": "eder-matheus", - "url": "https://github.com/eder-matheus" + "avatar": "https://avatars.githubusercontent.com/u/60236720?s=40&v=4", + "name": "jaewon-lee-github", + "url": "https://github.com/jaewon-lee-github" }, { - "avatar": "https://avatars.githubusercontent.com/u/34749589?s=40&v=4", - "name": "jjcherry56", - "url": "https://github.com/jjcherry56" + "avatar": "https://avatars.githubusercontent.com/u/44707773?s=40&v=4", + "name": "SantoshSrivatsan24", + "url": "https://github.com/SantoshSrivatsan24" }, { - "avatar": "https://avatars.githubusercontent.com/u/46405338?s=40&v=4", - "name": "gadfort", - "url": "https://github.com/gadfort" + "avatar": "https://avatars.githubusercontent.com/u/22665322?s=40&v=4", + "name": "Udit8348", + "url": "https://github.com/Udit8348" }, { - "avatar": "https://avatars.githubusercontent.com/u/56893454?s=40&v=4", - "name": "osamahammad21", - "url": "https://github.com/osamahammad21" + "avatar": "https://avatars.githubusercontent.com/u/42877662?s=40&v=4", + "name": "MalikBurton", + "url": "https://github.com/MalikBurton" } ] }, { - "title": "The-OpenROAD-Project/OpenROAD-flow-scripts", - "url": "https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts", - "description": "OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/", + "title": "riscv-mcu/e203_hbirdv2", + "url": "https://github.com/riscv-mcu/e203_hbirdv2", + "description": "The Ultra-Low Power RISC-V Core", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "346", - "forks": "292", - "addStars": "0", + "stars": "1,292", + "forks": "344", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/761514?s=40&v=4", - "name": "maliberty", - "url": "https://github.com/maliberty" + "avatar": "https://avatars.githubusercontent.com/u/13828612?s=40&v=4", + "name": "hucan7", + "url": "https://github.com/hucan7" }, { - "avatar": "https://avatars.githubusercontent.com/u/9001905?s=40&v=4", - "name": "vvbandeira", - "url": "https://github.com/vvbandeira" + "avatar": "https://avatars.githubusercontent.com/u/1538922?s=40&v=4", + "name": "fanghuaqi", + "url": "https://github.com/fanghuaqi" }, { - "avatar": "https://avatars.githubusercontent.com/u/2798822?s=40&v=4", - "name": "oharboe", - "url": "https://github.com/oharboe" + "avatar": "https://avatars.githubusercontent.com/u/144345?s=40&v=4", + "name": "Carton", + "url": "https://github.com/Carton" }, { - "avatar": "https://avatars.githubusercontent.com/u/43450810?s=40&v=4", - "name": "eder-matheus", - "url": "https://github.com/eder-matheus" + "avatar": "https://avatars.githubusercontent.com/u/766788?s=40&v=4", + "name": "howard0su", + "url": "https://github.com/howard0su" }, { - "avatar": "https://avatars.githubusercontent.com/u/71403620?s=40&v=4", - "name": "ravi-varadarajan", - "url": "https://github.com/ravi-varadarajan" + "avatar": "https://avatars.githubusercontent.com/u/1916518?s=40&v=4", + "name": "Icenowy", + "url": "https://github.com/Icenowy" } ] }, @@ -153,135 +153,103 @@ ] }, { - "title": "vortexgpgpu/vortex", - "url": "https://github.com/vortexgpgpu/vortex", - "description": "", + "title": "lnis-uofu/OpenFPGA", + "url": "https://github.com/lnis-uofu/OpenFPGA", + "description": "An Open-source FPGA IP Generator", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,248", - "forks": "272", - "addStars": "0", + "stars": "842", + "forks": "162", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2282163?s=40&v=4", - "name": "tinebp", - "url": "https://github.com/tinebp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60236720?s=40&v=4", - "name": "jaewon-lee-github", - "url": "https://github.com/jaewon-lee-github" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44707773?s=40&v=4", - "name": "SantoshSrivatsan24", - "url": "https://github.com/SantoshSrivatsan24" + "avatar": "https://avatars.githubusercontent.com/u/11499826?s=40&v=4", + "name": "tangxifan", + "url": "https://github.com/tangxifan" }, { - "avatar": "https://avatars.githubusercontent.com/u/22665322?s=40&v=4", - "name": "Udit8348", - "url": "https://github.com/Udit8348" + "avatar": "https://avatars.githubusercontent.com/u/6169914?s=40&v=4", + "name": "ganeshgore", + "url": "https://github.com/ganeshgore" }, { - "avatar": "https://avatars.githubusercontent.com/u/42877662?s=40&v=4", - "name": "MalikBurton", - "url": "https://github.com/MalikBurton" + "avatar": "https://avatars.githubusercontent.com/u/43420516?s=40&v=4", + "name": "BaudouinChauviere", + "url": "https://github.com/BaudouinChauviere" } ] }, { - "title": "alexforencich/verilog-ethernet", - "url": "https://github.com/alexforencich/verilog-ethernet", - "description": "Verilog Ethernet components for FPGA implementation", + "title": "analogdevicesinc/hdl", + "url": "https://github.com/analogdevicesinc/hdl", + "description": "HDL libraries and projects", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "2,322", - "forks": "707", + "stars": "1,534", + "forks": "1,520", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/508807?s=40&v=4", - "name": "alexforencich", - "url": "https://github.com/alexforencich" + "avatar": "https://avatars.githubusercontent.com/u/2684236?s=40&v=4", + "name": "rkutty", + "url": "https://github.com/rkutty" }, { - "avatar": "https://avatars.githubusercontent.com/u/48435475?s=40&v=4", - "name": "lomotos10", - "url": "https://github.com/lomotos10" - } - ] - }, - { - "title": "riscv-mcu/e203_hbirdv2", - "url": "https://github.com/riscv-mcu/e203_hbirdv2", - "description": "The Ultra-Low Power RISC-V Core", - "language": "Verilog", - "languageColor": "#b2b7f8", - "stars": "1,291", - "forks": "344", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13828612?s=40&v=4", - "name": "hucan7", - "url": "https://github.com/hucan7" + "avatar": "https://avatars.githubusercontent.com/u/5145146?s=40&v=4", + "name": "acostina", + "url": "https://github.com/acostina" }, { - "avatar": "https://avatars.githubusercontent.com/u/1538922?s=40&v=4", - "name": "fanghuaqi", - "url": "https://github.com/fanghuaqi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/144345?s=40&v=4", - "name": "Carton", - "url": "https://github.com/Carton" + "avatar": "https://avatars.githubusercontent.com/u/6555884?s=40&v=4", + "name": "ronagyl", + "url": "https://github.com/ronagyl" }, { - "avatar": "https://avatars.githubusercontent.com/u/766788?s=40&v=4", - "name": "howard0su", - "url": "https://github.com/howard0su" + "avatar": "https://avatars.githubusercontent.com/u/32593?s=40&v=4", + "name": "larsclausen", + "url": "https://github.com/larsclausen" }, { - "avatar": "https://avatars.githubusercontent.com/u/1916518?s=40&v=4", - "name": "Icenowy", - "url": "https://github.com/Icenowy" + "avatar": "https://avatars.githubusercontent.com/u/13637582?s=40&v=4", + "name": "AndreiGrozav", + "url": "https://github.com/AndreiGrozav" } ] }, { - "title": "wuxx/Colorlight-FPGA-Projects", - "url": "https://github.com/wuxx/Colorlight-FPGA-Projects", - "description": "current focus on Colorlight i5 and i9 & i9plus module", + "title": "The-OpenROAD-Project/OpenROAD", + "url": "https://github.com/The-OpenROAD-Project/OpenROAD", + "description": "OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "269", - "forks": "59", - "addStars": "0", + "stars": "1,628", + "forks": "563", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1648489?s=40&v=4", - "name": "wuxx", - "url": "https://github.com/wuxx" + "avatar": "https://avatars.githubusercontent.com/u/761514?s=40&v=4", + "name": "maliberty", + "url": "https://github.com/maliberty" }, { - "avatar": "https://avatars.githubusercontent.com/u/12990640?s=40&v=4", - "name": "wel97459", - "url": "https://github.com/wel97459" + "avatar": "https://avatars.githubusercontent.com/u/43450810?s=40&v=4", + "name": "eder-matheus", + "url": "https://github.com/eder-matheus" }, { - "avatar": "https://avatars.githubusercontent.com/u/66695?s=40&v=4", - "name": "diegoherranz", - "url": "https://github.com/diegoherranz" + "avatar": "https://avatars.githubusercontent.com/u/34749589?s=40&v=4", + "name": "jjcherry56", + "url": "https://github.com/jjcherry56" }, { - "avatar": "https://avatars.githubusercontent.com/u/112843?s=40&v=4", - "name": "twam", - "url": "https://github.com/twam" + "avatar": "https://avatars.githubusercontent.com/u/46405338?s=40&v=4", + "name": "gadfort", + "url": "https://github.com/gadfort" }, { - "avatar": "https://avatars.githubusercontent.com/u/5870528?s=40&v=4", - "name": "sporniket", - "url": "https://github.com/sporniket" + "avatar": "https://avatars.githubusercontent.com/u/56893454?s=40&v=4", + "name": "osamahammad21", + "url": "https://github.com/osamahammad21" } ] } diff --git a/data/daily/verilog.xml b/data/daily/verilog.xml index 9100e75bbf97..7c67af4c777b 100644 --- a/data/daily/verilog.xml +++ b/data/daily/verilog.xml @@ -3,80 +3,80 @@ GitHub Verilog Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Verilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - The-OpenROAD-Project/OpenROAD - https://github.com/The-OpenROAD-Project/OpenROAD - OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/ - https://github.com/The-OpenROAD-Project/OpenROAD + vortexgpgpu/vortex + https://github.com/vortexgpgpu/vortex + + https://github.com/vortexgpgpu/vortex Verilog #b2b7f8 - 1,623 - 562 - 3 + 1,248 + 272 + 0 - https://avatars.githubusercontent.com/u/761514?s=40&v=4 - maliberty - https://github.com/maliberty + https://avatars.githubusercontent.com/u/2282163?s=40&v=4 + tinebp + https://github.com/tinebp - https://avatars.githubusercontent.com/u/43450810?s=40&v=4 - eder-matheus - https://github.com/eder-matheus + https://avatars.githubusercontent.com/u/60236720?s=40&v=4 + jaewon-lee-github + https://github.com/jaewon-lee-github - https://avatars.githubusercontent.com/u/34749589?s=40&v=4 - jjcherry56 - https://github.com/jjcherry56 + https://avatars.githubusercontent.com/u/44707773?s=40&v=4 + SantoshSrivatsan24 + https://github.com/SantoshSrivatsan24 - https://avatars.githubusercontent.com/u/46405338?s=40&v=4 - gadfort - https://github.com/gadfort + https://avatars.githubusercontent.com/u/22665322?s=40&v=4 + Udit8348 + https://github.com/Udit8348 - https://avatars.githubusercontent.com/u/56893454?s=40&v=4 - osamahammad21 - https://github.com/osamahammad21 + https://avatars.githubusercontent.com/u/42877662?s=40&v=4 + MalikBurton + https://github.com/MalikBurton - The-OpenROAD-Project/OpenROAD-flow-scripts - https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts - OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/ - https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts + riscv-mcu/e203_hbirdv2 + https://github.com/riscv-mcu/e203_hbirdv2 + The Ultra-Low Power RISC-V Core + https://github.com/riscv-mcu/e203_hbirdv2 Verilog #b2b7f8 - 346 - 292 - 0 + 1,292 + 344 + 3 - https://avatars.githubusercontent.com/u/761514?s=40&v=4 - maliberty - https://github.com/maliberty + https://avatars.githubusercontent.com/u/13828612?s=40&v=4 + hucan7 + https://github.com/hucan7 - https://avatars.githubusercontent.com/u/9001905?s=40&v=4 - vvbandeira - https://github.com/vvbandeira + https://avatars.githubusercontent.com/u/1538922?s=40&v=4 + fanghuaqi + https://github.com/fanghuaqi - https://avatars.githubusercontent.com/u/2798822?s=40&v=4 - oharboe - https://github.com/oharboe + https://avatars.githubusercontent.com/u/144345?s=40&v=4 + Carton + https://github.com/Carton - https://avatars.githubusercontent.com/u/43450810?s=40&v=4 - eder-matheus - https://github.com/eder-matheus + https://avatars.githubusercontent.com/u/766788?s=40&v=4 + howard0su + https://github.com/howard0su - https://avatars.githubusercontent.com/u/71403620?s=40&v=4 - ravi-varadarajan - https://github.com/ravi-varadarajan + https://avatars.githubusercontent.com/u/1916518?s=40&v=4 + Icenowy + https://github.com/Icenowy @@ -157,139 +157,106 @@ - vortexgpgpu/vortex - https://github.com/vortexgpgpu/vortex - - https://github.com/vortexgpgpu/vortex + lnis-uofu/OpenFPGA + https://github.com/lnis-uofu/OpenFPGA + An Open-source FPGA IP Generator + https://github.com/lnis-uofu/OpenFPGA Verilog #b2b7f8 - 1,248 - 272 - 0 + 842 + 162 + 1 - https://avatars.githubusercontent.com/u/2282163?s=40&v=4 - tinebp - https://github.com/tinebp + https://avatars.githubusercontent.com/u/11499826?s=40&v=4 + tangxifan + https://github.com/tangxifan - https://avatars.githubusercontent.com/u/60236720?s=40&v=4 - jaewon-lee-github - https://github.com/jaewon-lee-github + https://avatars.githubusercontent.com/u/6169914?s=40&v=4 + ganeshgore + https://github.com/ganeshgore - https://avatars.githubusercontent.com/u/44707773?s=40&v=4 - SantoshSrivatsan24 - https://github.com/SantoshSrivatsan24 - - - https://avatars.githubusercontent.com/u/22665322?s=40&v=4 - Udit8348 - https://github.com/Udit8348 - - - https://avatars.githubusercontent.com/u/42877662?s=40&v=4 - MalikBurton - https://github.com/MalikBurton + https://avatars.githubusercontent.com/u/43420516?s=40&v=4 + BaudouinChauviere + https://github.com/BaudouinChauviere - alexforencich/verilog-ethernet - https://github.com/alexforencich/verilog-ethernet - Verilog Ethernet components for FPGA implementation - https://github.com/alexforencich/verilog-ethernet + analogdevicesinc/hdl + https://github.com/analogdevicesinc/hdl + HDL libraries and projects + https://github.com/analogdevicesinc/hdl Verilog #b2b7f8 - 2,322 - 707 + 1,534 + 1,520 0 - https://avatars.githubusercontent.com/u/508807?s=40&v=4 - alexforencich - https://github.com/alexforencich - - - https://avatars.githubusercontent.com/u/48435475?s=40&v=4 - lomotos10 - https://github.com/lomotos10 - - - - - riscv-mcu/e203_hbirdv2 - https://github.com/riscv-mcu/e203_hbirdv2 - The Ultra-Low Power RISC-V Core - https://github.com/riscv-mcu/e203_hbirdv2 - Verilog - #b2b7f8 - 1,291 - 344 - 3 - - - https://avatars.githubusercontent.com/u/13828612?s=40&v=4 - hucan7 - https://github.com/hucan7 + https://avatars.githubusercontent.com/u/2684236?s=40&v=4 + rkutty + https://github.com/rkutty - https://avatars.githubusercontent.com/u/1538922?s=40&v=4 - fanghuaqi - https://github.com/fanghuaqi + https://avatars.githubusercontent.com/u/5145146?s=40&v=4 + acostina + https://github.com/acostina - https://avatars.githubusercontent.com/u/144345?s=40&v=4 - Carton - https://github.com/Carton + https://avatars.githubusercontent.com/u/6555884?s=40&v=4 + ronagyl + https://github.com/ronagyl - https://avatars.githubusercontent.com/u/766788?s=40&v=4 - howard0su - https://github.com/howard0su + https://avatars.githubusercontent.com/u/32593?s=40&v=4 + larsclausen + https://github.com/larsclausen - https://avatars.githubusercontent.com/u/1916518?s=40&v=4 - Icenowy - https://github.com/Icenowy + https://avatars.githubusercontent.com/u/13637582?s=40&v=4 + AndreiGrozav + https://github.com/AndreiGrozav - wuxx/Colorlight-FPGA-Projects - https://github.com/wuxx/Colorlight-FPGA-Projects - current focus on Colorlight i5 and i9 & i9plus module - https://github.com/wuxx/Colorlight-FPGA-Projects + The-OpenROAD-Project/OpenROAD + https://github.com/The-OpenROAD-Project/OpenROAD + OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/ + https://github.com/The-OpenROAD-Project/OpenROAD Verilog #b2b7f8 - 269 - 59 - 0 + 1,628 + 563 + 3 - https://avatars.githubusercontent.com/u/1648489?s=40&v=4 - wuxx - https://github.com/wuxx + https://avatars.githubusercontent.com/u/761514?s=40&v=4 + maliberty + https://github.com/maliberty - https://avatars.githubusercontent.com/u/12990640?s=40&v=4 - wel97459 - https://github.com/wel97459 + https://avatars.githubusercontent.com/u/43450810?s=40&v=4 + eder-matheus + https://github.com/eder-matheus - https://avatars.githubusercontent.com/u/66695?s=40&v=4 - diegoherranz - https://github.com/diegoherranz + https://avatars.githubusercontent.com/u/34749589?s=40&v=4 + jjcherry56 + https://github.com/jjcherry56 - https://avatars.githubusercontent.com/u/112843?s=40&v=4 - twam - https://github.com/twam + https://avatars.githubusercontent.com/u/46405338?s=40&v=4 + gadfort + https://github.com/gadfort - https://avatars.githubusercontent.com/u/5870528?s=40&v=4 - sporniket - https://github.com/sporniket + https://avatars.githubusercontent.com/u/56893454?s=40&v=4 + osamahammad21 + https://github.com/osamahammad21 diff --git a/data/daily/vhdl.json b/data/daily/vhdl.json index dc4349279084..600d413a5e8c 100644 --- a/data/daily/vhdl.json +++ b/data/daily/vhdl.json @@ -2,7 +2,7 @@ "title": "GitHub Vhdl Languages Daily Trending", "description": "Daily Trending of Vhdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ghdl/ghdl", @@ -10,7 +10,7 @@ "description": "VHDL 2008/93/87 simulator", "language": "VHDL", "languageColor": "#adb2cb", - "stars": "2,401", + "stars": "2,402", "forks": "366", "addStars": "2", "contributors": [ @@ -115,43 +115,6 @@ } ] }, - { - "title": "aws/aws-fpga", - "url": "https://github.com/aws/aws-fpga", - "description": "Official repository of the AWS EC2 FPGA Hardware and Software Development Kit", - "language": "VHDL", - "languageColor": "#adb2cb", - "stars": "1,511", - "forks": "516", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/23247657?s=40&v=4", - "name": "kristopk", - "url": "https://github.com/kristopk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23247716?s=40&v=4", - "name": "deeppat", - "url": "https://github.com/deeppat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23534756?s=40&v=4", - "name": "AWSGH", - "url": "https://github.com/AWSGH" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82236989?s=40&v=4", - "name": "kyyalama2", - "url": "https://github.com/kyyalama2" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23246329?s=40&v=4", - "name": "AWSwinefred", - "url": "https://github.com/AWSwinefred" - } - ] - }, { "title": "VUnit/vunit", "url": "https://github.com/VUnit/vunit", diff --git a/data/daily/vhdl.xml b/data/daily/vhdl.xml index fc557a5f8b21..9c0cb6c652b7 100644 --- a/data/daily/vhdl.xml +++ b/data/daily/vhdl.xml @@ -3,7 +3,7 @@ GitHub Vhdl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vhdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ghdl/ghdl https://github.com/ghdl/ghdl @@ -11,7 +11,7 @@ https://github.com/ghdl/ghdl VHDL #adb2cb - 2,401 + 2,402 366 2 @@ -118,44 +118,6 @@ - - aws/aws-fpga - https://github.com/aws/aws-fpga - Official repository of the AWS EC2 FPGA Hardware and Software Development Kit - https://github.com/aws/aws-fpga - VHDL - #adb2cb - 1,511 - 516 - 0 - - - https://avatars.githubusercontent.com/u/23247657?s=40&v=4 - kristopk - https://github.com/kristopk - - - https://avatars.githubusercontent.com/u/23247716?s=40&v=4 - deeppat - https://github.com/deeppat - - - https://avatars.githubusercontent.com/u/23534756?s=40&v=4 - AWSGH - https://github.com/AWSGH - - - https://avatars.githubusercontent.com/u/82236989?s=40&v=4 - kyyalama2 - https://github.com/kyyalama2 - - - https://avatars.githubusercontent.com/u/23246329?s=40&v=4 - AWSwinefred - https://github.com/AWSwinefred - - - VUnit/vunit https://github.com/VUnit/vunit diff --git a/data/daily/vim-help-file.json b/data/daily/vim-help-file.json index 672cf81a3edd..1116eedd3822 100644 --- a/data/daily/vim-help-file.json +++ b/data/daily/vim-help-file.json @@ -2,6 +2,6 @@ "title": "GitHub Vim-help-file Languages Daily Trending", "description": "Daily Trending of Vim-help-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/vim-help-file.xml b/data/daily/vim-help-file.xml index 2ff923c5fd79..1b742783939c 100644 --- a/data/daily/vim-help-file.xml +++ b/data/daily/vim-help-file.xml @@ -3,6 +3,6 @@ GitHub Vim-help-file Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vim-help-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/vim-script.json b/data/daily/vim-script.json index d69717a12fb1..90e5a5c3bc3a 100644 --- a/data/daily/vim-script.json +++ b/data/daily/vim-script.json @@ -2,339 +2,349 @@ "title": "GitHub Vim-script Languages Daily Trending", "description": "Daily Trending of Vim-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "neovim/neovim", - "url": "https://github.com/neovim/neovim", - "description": "Vim-fork focused on extensibility and usability", + "title": "christoomey/vim-tmux-navigator", + "url": "https://github.com/christoomey/vim-tmux-navigator", + "description": "Seamless navigation between tmux panes and vim splits", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "83,570", - "forks": "5,712", - "addStars": "36", + "stars": "5,387", + "forks": "334", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/35768171?s=40&v=4", - "name": "zeertzjq", - "url": "https://github.com/zeertzjq" + "avatar": "https://avatars.githubusercontent.com/u/420113?s=40&v=4", + "name": "christoomey", + "url": "https://github.com/christoomey" }, { - "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", - "name": "justinmk", - "url": "https://github.com/justinmk" + "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", + "name": "blueyed", + "url": "https://github.com/blueyed" }, { - "avatar": "https://avatars.githubusercontent.com/u/8740057?s=40&v=4", - "name": "janlazo", - "url": "https://github.com/janlazo" + "avatar": "https://avatars.githubusercontent.com/u/2506364?s=40&v=4", + "name": "sdondley", + "url": "https://github.com/sdondley" }, { - "avatar": "https://avatars.githubusercontent.com/u/1363104?s=40&v=4", - "name": "bfredl", - "url": "https://github.com/bfredl" + "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", + "name": "keith", + "url": "https://github.com/keith" }, { - "avatar": "https://avatars.githubusercontent.com/u/322097?s=40&v=4", - "name": "ZyX-I", - "url": "https://github.com/ZyX-I" + "avatar": "https://avatars.githubusercontent.com/u/2268851?s=40&v=4", + "name": "x3rAx", + "url": "https://github.com/x3rAx" } ] }, { - "title": "vim/vim", - "url": "https://github.com/vim/vim", - "description": "The official Vim repository", + "title": "mg979/vim-visual-multi", + "url": "https://github.com/mg979/vim-visual-multi", + "description": "Multiple cursors plugin for vim/neovim", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "36,744", - "forks": "5,473", - "addStars": "9", + "stars": "4,276", + "forks": "82", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8530623?s=40&v=4", - "name": "brammool", - "url": "https://github.com/brammool" + "avatar": "https://avatars.githubusercontent.com/u/26169924?s=40&v=4", + "name": "mg979", + "url": "https://github.com/mg979" }, { - "avatar": "https://avatars.githubusercontent.com/u/244927?s=40&v=4", - "name": "chrisbra", - "url": "https://github.com/chrisbra" + "avatar": "https://avatars.githubusercontent.com/u/10830594?s=40&v=4", + "name": "antoinemadec", + "url": "https://github.com/antoinemadec" }, { - "avatar": "https://avatars.githubusercontent.com/u/35768171?s=40&v=4", - "name": "zeertzjq", - "url": "https://github.com/zeertzjq" + "avatar": "https://avatars.githubusercontent.com/u/16623787?s=40&v=4", + "name": "jedrzejboczar", + "url": "https://github.com/jedrzejboczar" }, { - "avatar": "https://avatars.githubusercontent.com/u/4298407?s=40&v=4", - "name": "yegappan", - "url": "https://github.com/yegappan" + "avatar": "https://avatars.githubusercontent.com/u/138597?s=40&v=4", + "name": "mmrwoods", + "url": "https://github.com/mmrwoods" }, { - "avatar": "https://avatars.githubusercontent.com/u/840186?s=40&v=4", - "name": "k-takata", - "url": "https://github.com/k-takata" + "avatar": "https://avatars.githubusercontent.com/u/1554033?s=40&v=4", + "name": "aeriksson", + "url": "https://github.com/aeriksson" } ] }, { - "title": "amix/vimrc", - "url": "https://github.com/amix/vimrc", - "description": "The ultimate Vim configuration (vimrc)", + "title": "dense-analysis/ale", + "url": "https://github.com/dense-analysis/ale", + "description": "Check syntax in Vim/Neovim asynchronously and fix files, with Language Server Protocol (LSP) support", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "30,774", - "forks": "7,300", + "stars": "13,576", + "forks": "1,441", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/184462?s=40&v=4", - "name": "amix", - "url": "https://github.com/amix" + "avatar": "https://avatars.githubusercontent.com/u/3518142?s=40&v=4", + "name": "w0rp", + "url": "https://github.com/w0rp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/900716?s=40&v=4", + "name": "hsanson", + "url": "https://github.com/hsanson" }, { - "avatar": "https://avatars.githubusercontent.com/u/2653546?s=40&v=4", - "name": "andradei", - "url": "https://github.com/andradei" + "avatar": "https://avatars.githubusercontent.com/u/3816565?s=40&v=4", + "name": "thindil", + "url": "https://github.com/thindil" }, { - "avatar": "https://avatars.githubusercontent.com/u/231284?s=40&v=4", - "name": "daniilguit", - "url": "https://github.com/daniilguit" + "avatar": "https://avatars.githubusercontent.com/u/1872836?s=40&v=4", + "name": "jhlink", + "url": "https://github.com/jhlink" }, { - "avatar": "https://avatars.githubusercontent.com/u/2968860?s=40&v=4", - "name": "sota1235", - "url": "https://github.com/sota1235" + "avatar": "https://avatars.githubusercontent.com/u/1049222?s=40&v=4", + "name": "neersighted", + "url": "https://github.com/neersighted" } ] }, { - "title": "morhetz/gruvbox", - "url": "https://github.com/morhetz/gruvbox", - "description": "Retro groove color scheme for Vim", + "title": "junegunn/vim-plug", + "url": "https://github.com/junegunn/vim-plug", + "description": "🌺 Minimalist Vim Plugin Manager", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "13,847", - "forks": "1,106", - "addStars": "1", + "stars": "34,214", + "forks": "1,934", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/554231?s=40&v=4", - "name": "morhetz", - "url": "https://github.com/morhetz" + "avatar": "https://avatars.githubusercontent.com/u/700826?s=40&v=4", + "name": "junegunn", + "url": "https://github.com/junegunn" }, { - "avatar": "https://avatars.githubusercontent.com/u/423311?s=40&v=4", - "name": "ok100", - "url": "https://github.com/ok100" + "avatar": "https://avatars.githubusercontent.com/u/470400?s=40&v=4", + "name": "starcraftman", + "url": "https://github.com/starcraftman" }, { - "avatar": "https://avatars.githubusercontent.com/u/545480?s=40&v=4", - "name": "cryptomilk", - "url": "https://github.com/cryptomilk" + "avatar": "https://avatars.githubusercontent.com/u/8740057?s=40&v=4", + "name": "janlazo", + "url": "https://github.com/janlazo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1590756?s=40&v=4", - "name": "magicmark", - "url": "https://github.com/magicmark" + "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", + "name": "blueyed", + "url": "https://github.com/blueyed" }, { - "avatar": "https://avatars.githubusercontent.com/u/7128551?s=40&v=4", - "name": "gmoe", - "url": "https://github.com/gmoe" + "avatar": "https://avatars.githubusercontent.com/u/10111?s=40&v=4", + "name": "mattn", + "url": "https://github.com/mattn" } ] }, { - "title": "mg979/vim-visual-multi", - "url": "https://github.com/mg979/vim-visual-multi", - "description": "Multiple cursors plugin for vim/neovim", + "title": "hashivim/vim-terraform", + "url": "https://github.com/hashivim/vim-terraform", + "description": "basic vim/terraform integration", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "4,276", - "forks": "82", - "addStars": "3", + "stars": "1,079", + "forks": "111", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26169924?s=40&v=4", - "name": "mg979", - "url": "https://github.com/mg979" + "avatar": "https://avatars.githubusercontent.com/u/875184?s=40&v=4", + "name": "dimbleby", + "url": "https://github.com/dimbleby" }, { - "avatar": "https://avatars.githubusercontent.com/u/10830594?s=40&v=4", - "name": "antoinemadec", - "url": "https://github.com/antoinemadec" + "avatar": "https://avatars.githubusercontent.com/u/2382565?s=40&v=4", + "name": "pgporada", + "url": "https://github.com/pgporada" }, { - "avatar": "https://avatars.githubusercontent.com/u/16623787?s=40&v=4", - "name": "jedrzejboczar", - "url": "https://github.com/jedrzejboczar" + "avatar": "https://avatars.githubusercontent.com/u/302?s=40&v=4", + "name": "mcornick", + "url": "https://github.com/mcornick" }, { - "avatar": "https://avatars.githubusercontent.com/u/138597?s=40&v=4", - "name": "mmrwoods", - "url": "https://github.com/mmrwoods" + "avatar": "https://avatars.githubusercontent.com/u/1660673?s=40&v=4", + "name": "mcrmonkey", + "url": "https://github.com/mcrmonkey" }, { - "avatar": "https://avatars.githubusercontent.com/u/1554033?s=40&v=4", - "name": "aeriksson", - "url": "https://github.com/aeriksson" + "avatar": "https://avatars.githubusercontent.com/u/34150?s=40&v=4", + "name": "ashb", + "url": "https://github.com/ashb" } ] }, { - "title": "kaarmu/typst.vim", - "url": "https://github.com/kaarmu/typst.vim", - "description": "Vim plugin for Typst", + "title": "tomasr/molokai", + "url": "https://github.com/tomasr/molokai", + "description": "Molokai color scheme for Vim", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "298", - "forks": "28", + "stars": "3,609", + "forks": "1,684", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19633647?s=40&v=4", - "name": "kaarmu", - "url": "https://github.com/kaarmu" + "avatar": "https://avatars.githubusercontent.com/u/16179?s=40&v=4", + "name": "tomasr", + "url": "https://github.com/tomasr" }, { - "avatar": "https://avatars.githubusercontent.com/u/6561834?s=40&v=4", - "name": "yangwenbo99", - "url": "https://github.com/yangwenbo99" + "avatar": "https://avatars.githubusercontent.com/u/107915?s=40&v=4", + "name": "martijn", + "url": "https://github.com/martijn" }, { - "avatar": "https://avatars.githubusercontent.com/u/11978847?s=40&v=4", - "name": "ModProg", - "url": "https://github.com/ModProg" + "avatar": "https://avatars.githubusercontent.com/u/324632?s=40&v=4", + "name": "e0da", + "url": "https://github.com/e0da" }, { - "avatar": "https://avatars.githubusercontent.com/u/44550791?s=40&v=4", - "name": "iseri27", - "url": "https://github.com/iseri27" + "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", + "name": "justinmk", + "url": "https://github.com/justinmk" }, { - "avatar": "https://avatars.githubusercontent.com/u/94862075?s=40&v=4", - "name": "sh1l0h", - "url": "https://github.com/sh1l0h" + "avatar": "https://avatars.githubusercontent.com/u/2479?s=40&v=4", + "name": "jgelens", + "url": "https://github.com/jgelens" } ] }, { - "title": "rust-lang/rust.vim", - "url": "https://github.com/rust-lang/rust.vim", - "description": "Vim configuration for Rust.", + "title": "thinca/vim-quickrun", + "url": "https://github.com/thinca/vim-quickrun", + "description": "Run commands quickly.", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "3,911", - "forks": "297", - "addStars": "1", + "stars": "768", + "forks": "102", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/321273?s=40&v=4", - "name": "da-x", - "url": "https://github.com/da-x" + "avatar": "https://avatars.githubusercontent.com/u/20474?s=40&v=4", + "name": "thinca", + "url": "https://github.com/thinca" }, { - "avatar": "https://avatars.githubusercontent.com/u/392868?s=40&v=4", - "name": "chris-morgan", - "url": "https://github.com/chris-morgan" + "avatar": "https://avatars.githubusercontent.com/u/11504?s=40&v=4", + "name": "ujihisa", + "url": "https://github.com/ujihisa" }, { - "avatar": "https://avatars.githubusercontent.com/u/714?s=40&v=4", - "name": "lilyball", - "url": "https://github.com/lilyball" + "avatar": "https://avatars.githubusercontent.com/u/10111?s=40&v=4", + "name": "mattn", + "url": "https://github.com/mattn" }, { - "avatar": "https://avatars.githubusercontent.com/u/27786?s=40&v=4", - "name": "steveklabnik", - "url": "https://github.com/steveklabnik" + "avatar": "https://avatars.githubusercontent.com/u/22977?s=40&v=4", + "name": "Milly", + "url": "https://github.com/Milly" }, { - "avatar": "https://avatars.githubusercontent.com/u/823277?s=40&v=4", - "name": "rhysd", - "url": "https://github.com/rhysd" + "avatar": "https://avatars.githubusercontent.com/u/48169?s=40&v=4", + "name": "tyru", + "url": "https://github.com/tyru" } ] }, { - "title": "vim-jp/vimdoc-ja", - "url": "https://github.com/vim-jp/vimdoc-ja", - "description": "A project which translate Vim documents into Japanese.", + "title": "aklt/plantuml-syntax", + "url": "https://github.com/aklt/plantuml-syntax", + "description": "vim syntax file for plantuml", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "337", - "forks": "32", - "addStars": "0", + "stars": "476", + "forks": "72", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/840186?s=40&v=4", - "name": "k-takata", - "url": "https://github.com/k-takata" + "avatar": "https://avatars.githubusercontent.com/u/142361?s=40&v=4", + "name": "aklt", + "url": "https://github.com/aklt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/99910?s=40&v=4", + "name": "hokorobi", + "url": "https://github.com/hokorobi" }, { - "avatar": "https://avatars.githubusercontent.com/u/1528093?s=40&v=4", - "name": "crazymaster", - "url": "https://github.com/crazymaster" + "avatar": "https://avatars.githubusercontent.com/u/1671?s=40&v=4", + "name": "scrooloose", + "url": "https://github.com/scrooloose" }, { - "avatar": "https://avatars.githubusercontent.com/u/142094?s=40&v=4", - "name": "ynkdir", - "url": "https://github.com/ynkdir" + "avatar": "https://avatars.githubusercontent.com/u/31566103?s=40&v=4", + "name": "chrisaga", + "url": "https://github.com/chrisaga" }, { - "avatar": "https://avatars.githubusercontent.com/u/468368?s=40&v=4", - "name": "koron", - "url": "https://github.com/koron" + "avatar": "https://avatars.githubusercontent.com/u/6941?s=40&v=4", + "name": "liquidz", + "url": "https://github.com/liquidz" } ] }, { - "title": "andymass/vim-matchup", - "url": "https://github.com/andymass/vim-matchup", - "description": "vim match-up: even better % 👊 navigate and highlight matching words 👊 modern matchit and matchparen. Supports both vim and neovim + tree-sitter.", + "title": "mzlogin/vim-markdown-toc", + "url": "https://github.com/mzlogin/vim-markdown-toc", + "description": "A vim 7.4+ plugin to generate table of contents for Markdown files.", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "1,714", - "forks": "73", + "stars": "613", + "forks": "59", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6655373?s=40&v=4", - "name": "andymass", - "url": "https://github.com/andymass" + "avatar": "https://avatars.githubusercontent.com/u/1646590?s=40&v=4", + "name": "mzlogin", + "url": "https://github.com/mzlogin" }, { - "avatar": "https://avatars.githubusercontent.com/u/29718261?s=40&v=4", - "name": "amaanq", - "url": "https://github.com/amaanq" + "avatar": "https://avatars.githubusercontent.com/u/13142418?s=40&v=4", + "name": "wsdjeg", + "url": "https://github.com/wsdjeg" }, { - "avatar": "https://avatars.githubusercontent.com/u/32784713?s=40&v=4", - "name": "tlvu2697", - "url": "https://github.com/tlvu2697" + "avatar": "https://avatars.githubusercontent.com/u/59620?s=40&v=4", + "name": "rsrchboy", + "url": "https://github.com/rsrchboy" }, { - "avatar": "https://avatars.githubusercontent.com/u/719605?s=40&v=4", - "name": "ahdinosaur", - "url": "https://github.com/ahdinosaur" + "avatar": "https://avatars.githubusercontent.com/u/440661?s=40&v=4", + "name": "lilydjwg", + "url": "https://github.com/lilydjwg" }, { - "avatar": "https://avatars.githubusercontent.com/u/12857160?s=40&v=4", - "name": "mrcjkb", - "url": "https://github.com/mrcjkb" + "avatar": "https://avatars.githubusercontent.com/u/2997662?s=40&v=4", + "name": "wget", + "url": "https://github.com/wget" } ] }, { - "title": "tpope/vim-dadbod", - "url": "https://github.com/tpope/vim-dadbod", - "description": "dadbod.vim: Modern database interface for Vim", + "title": "tpope/vim-endwise", + "url": "https://github.com/tpope/vim-endwise", + "description": "endwise.vim: Wisely add", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "3,737", - "forks": "131", + "stars": "1,119", + "forks": "101", "addStars": "1", "contributors": [ { @@ -343,394 +353,431 @@ "url": "https://github.com/tpope" }, { - "avatar": "https://avatars.githubusercontent.com/u/1782860?s=40&v=4", - "name": "kristijanhusak", - "url": "https://github.com/kristijanhusak" + "avatar": "https://avatars.githubusercontent.com/u/31429825?s=40&v=4", + "name": "Synray", + "url": "https://github.com/Synray" }, { - "avatar": "https://avatars.githubusercontent.com/u/7629614?s=40&v=4", - "name": "deathlyfrantic", - "url": "https://github.com/deathlyfrantic" + "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", + "name": "blueyed", + "url": "https://github.com/blueyed" }, { - "avatar": "https://avatars.githubusercontent.com/u/37849?s=40&v=4", - "name": "meunierd", - "url": "https://github.com/meunierd" + "avatar": "https://avatars.githubusercontent.com/u/766444?s=40&v=4", + "name": "danieljaouen", + "url": "https://github.com/danieljaouen" }, { - "avatar": "https://avatars.githubusercontent.com/u/808052?s=40&v=4", - "name": "joaomsa", - "url": "https://github.com/joaomsa" + "avatar": "https://avatars.githubusercontent.com/u/310785?s=40&v=4", + "name": "richsoni", + "url": "https://github.com/richsoni" } ] }, { - "title": "vim-airline/vim-airline-themes", - "url": "https://github.com/vim-airline/vim-airline-themes", - "description": "A collection of themes for vim-airline", + "title": "preservim/vim-indent-guides", + "url": "https://github.com/preservim/vim-indent-guides", + "description": "A Vim plugin for visually displaying indent levels in code", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "2,053", - "forks": "350", + "stars": "2,633", + "forks": "166", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/306502?s=40&v=4", - "name": "bling", - "url": "https://github.com/bling" + "avatar": "https://avatars.githubusercontent.com/u/84757?s=40&v=4", + "name": "nathanaelkane", + "url": "https://github.com/nathanaelkane" }, { - "avatar": "https://avatars.githubusercontent.com/u/244927?s=40&v=4", - "name": "chrisbra", - "url": "https://github.com/chrisbra" + "avatar": "https://avatars.githubusercontent.com/u/173595?s=40&v=4", + "name": "alerque", + "url": "https://github.com/alerque" }, { - "avatar": "https://avatars.githubusercontent.com/u/36619465?s=40&v=4", - "name": "get-me-power", - "url": "https://github.com/get-me-power" + "avatar": "https://avatars.githubusercontent.com/u/6110?s=40&v=4", + "name": "graywh", + "url": "https://github.com/graywh" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/717109?s=40&v=4", + "name": "noscript", + "url": "https://github.com/noscript" }, { - "avatar": "https://avatars.githubusercontent.com/u/1803997?s=40&v=4", - "name": "notpratheek", - "url": "https://github.com/notpratheek" + "avatar": "https://avatars.githubusercontent.com/u/253048?s=40&v=4", + "name": "jhogendorn", + "url": "https://github.com/jhogendorn" } ] }, { - "title": "AndrewRadev/splitjoin.vim", - "url": "https://github.com/AndrewRadev/splitjoin.vim", - "description": "Switch between single-line and multiline forms of code", + "title": "kaarmu/typst.vim", + "url": "https://github.com/kaarmu/typst.vim", + "description": "Vim plugin for Typst", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "1,932", - "forks": "90", + "stars": "298", + "forks": "28", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/124255?s=40&v=4", - "name": "AndrewRadev", - "url": "https://github.com/AndrewRadev" + "avatar": "https://avatars.githubusercontent.com/u/19633647?s=40&v=4", + "name": "kaarmu", + "url": "https://github.com/kaarmu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6561834?s=40&v=4", + "name": "yangwenbo99", + "url": "https://github.com/yangwenbo99" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11978847?s=40&v=4", + "name": "ModProg", + "url": "https://github.com/ModProg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/44550791?s=40&v=4", + "name": "iseri27", + "url": "https://github.com/iseri27" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94862075?s=40&v=4", + "name": "sh1l0h", + "url": "https://github.com/sh1l0h" + } + ] + }, + { + "title": "morhetz/gruvbox", + "url": "https://github.com/morhetz/gruvbox", + "description": "Retro groove color scheme for Vim", + "language": "Vim Script", + "languageColor": "#199f4b", + "stars": "13,847", + "forks": "1,106", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/554231?s=40&v=4", + "name": "morhetz", + "url": "https://github.com/morhetz" }, { - "avatar": "https://avatars.githubusercontent.com/u/2204549?s=40&v=4", - "name": "LFDM", - "url": "https://github.com/LFDM" + "avatar": "https://avatars.githubusercontent.com/u/423311?s=40&v=4", + "name": "ok100", + "url": "https://github.com/ok100" }, { - "avatar": "https://avatars.githubusercontent.com/u/423234?s=40&v=4", - "name": "josa42", - "url": "https://github.com/josa42" + "avatar": "https://avatars.githubusercontent.com/u/545480?s=40&v=4", + "name": "cryptomilk", + "url": "https://github.com/cryptomilk" }, { - "avatar": "https://avatars.githubusercontent.com/u/10667?s=40&v=4", - "name": "Bastes", - "url": "https://github.com/Bastes" + "avatar": "https://avatars.githubusercontent.com/u/1590756?s=40&v=4", + "name": "magicmark", + "url": "https://github.com/magicmark" }, { - "avatar": "https://avatars.githubusercontent.com/u/11472671?s=40&v=4", - "name": "krzystof", - "url": "https://github.com/krzystof" + "avatar": "https://avatars.githubusercontent.com/u/7128551?s=40&v=4", + "name": "gmoe", + "url": "https://github.com/gmoe" } ] }, { - "title": "tpope/vim-fugitive", - "url": "https://github.com/tpope/vim-fugitive", - "description": "fugitive.vim: A Git wrapper so awesome, it should be illegal", + "title": "dhruvasagar/vim-table-mode", + "url": "https://github.com/dhruvasagar/vim-table-mode", + "description": "VIM Table Mode for instant table creation.", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "20,090", - "forks": "1,013", + "stars": "2,115", + "forks": "96", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/378?s=40&v=4", - "name": "tpope", - "url": "https://github.com/tpope" + "avatar": "https://avatars.githubusercontent.com/u/88258?s=40&v=4", + "name": "dhruvasagar", + "url": "https://github.com/dhruvasagar" }, { - "avatar": "https://avatars.githubusercontent.com/u/810650?s=40&v=4", - "name": "teoljungberg", - "url": "https://github.com/teoljungberg" + "avatar": "https://avatars.githubusercontent.com/u/6985117?s=40&v=4", + "name": "harriott", + "url": "https://github.com/harriott" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/61984399?s=40&v=4", + "name": "ibbem", + "url": "https://github.com/ibbem" }, { - "avatar": "https://avatars.githubusercontent.com/u/1752162?s=40&v=4", - "name": "odnoletkov", - "url": "https://github.com/odnoletkov" + "avatar": "https://avatars.githubusercontent.com/u/20277513?s=40&v=4", + "name": "jdorel", + "url": "https://github.com/jdorel" }, { - "avatar": "https://avatars.githubusercontent.com/u/2235277?s=40&v=4", - "name": "qstrahl", - "url": "https://github.com/qstrahl" + "avatar": "https://avatars.githubusercontent.com/u/515747?s=40&v=4", + "name": "insanum", + "url": "https://github.com/insanum" } ] }, { - "title": "mbbill/undotree", - "url": "https://github.com/mbbill/undotree", - "description": "The undo history visualizer for VIM", + "title": "prabirshrestha/asyncomplete-lsp.vim", + "url": "https://github.com/prabirshrestha/asyncomplete-lsp.vim", + "description": "", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "3,966", - "forks": "101", + "stars": "133", + "forks": "15", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/841602?s=40&v=4", - "name": "mbbill", - "url": "https://github.com/mbbill" + "avatar": "https://avatars.githubusercontent.com/u/287744?s=40&v=4", + "name": "prabirshrestha", + "url": "https://github.com/prabirshrestha" }, { - "avatar": "https://avatars.githubusercontent.com/u/181261?s=40&v=4", - "name": "inkarkat", - "url": "https://github.com/inkarkat" + "avatar": "https://avatars.githubusercontent.com/u/10111?s=40&v=4", + "name": "mattn", + "url": "https://github.com/mattn" }, { - "avatar": "https://avatars.githubusercontent.com/u/5598066?s=40&v=4", - "name": "PhilRunninger", - "url": "https://github.com/PhilRunninger" + "avatar": "https://avatars.githubusercontent.com/u/5321759?s=40&v=4", + "name": "keremc", + "url": "https://github.com/keremc" }, { - "avatar": "https://avatars.githubusercontent.com/u/45028928?s=40&v=4", - "name": "tmillr", - "url": "https://github.com/tmillr" + "avatar": "https://avatars.githubusercontent.com/u/629908?s=40&v=4", + "name": "hrsh7th", + "url": "https://github.com/hrsh7th" }, { - "avatar": "https://avatars.githubusercontent.com/u/22802209?s=40&v=4", - "name": "benknoble", - "url": "https://github.com/benknoble" + "avatar": "https://avatars.githubusercontent.com/u/1556311?s=40&v=4", + "name": "kyouryuukunn", + "url": "https://github.com/kyouryuukunn" } ] }, { - "title": "vim-airline/vim-airline", - "url": "https://github.com/vim-airline/vim-airline", - "description": "lean & mean status/tabline for vim that's light as air", + "title": "vim-jp/vital.vim", + "url": "https://github.com/vim-jp/vital.vim", + "description": "A comprehensive Vim utility functions for Vim plugins", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "17,794", - "forks": "1,105", - "addStars": "1", + "stars": "574", + "forks": "64", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/244927?s=40&v=4", - "name": "chrisbra", - "url": "https://github.com/chrisbra" + "avatar": "https://avatars.githubusercontent.com/u/20474?s=40&v=4", + "name": "thinca", + "url": "https://github.com/thinca" }, { - "avatar": "https://avatars.githubusercontent.com/u/306502?s=40&v=4", - "name": "bling", - "url": "https://github.com/bling" + "avatar": "https://avatars.githubusercontent.com/u/48169?s=40&v=4", + "name": "tyru", + "url": "https://github.com/tyru" }, { - "avatar": "https://avatars.githubusercontent.com/u/36619465?s=40&v=4", - "name": "get-me-power", - "url": "https://github.com/get-me-power" + "avatar": "https://avatars.githubusercontent.com/u/11504?s=40&v=4", + "name": "ujihisa", + "url": "https://github.com/ujihisa" }, { - "avatar": "https://avatars.githubusercontent.com/u/1847343?s=40&v=4", - "name": "mrmr1993", - "url": "https://github.com/mrmr1993" + "avatar": "https://avatars.githubusercontent.com/u/546312?s=40&v=4", + "name": "lambdalisue", + "url": "https://github.com/lambdalisue" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/96727?s=40&v=4", + "name": "tsuyoshicho", + "url": "https://github.com/tsuyoshicho" } ] }, { - "title": "tpope/vim-unimpaired", - "url": "https://github.com/tpope/vim-unimpaired", - "description": "unimpaired.vim: Pairs of handy bracket mappings", + "title": "thinca/vim-qfreplace", + "url": "https://github.com/thinca/vim-qfreplace", + "description": "Perform the replacement in quickfix.", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "3,338", - "forks": "205", - "addStars": "1", + "stars": "160", + "forks": "5", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/378?s=40&v=4", - "name": "tpope", - "url": "https://github.com/tpope" + "avatar": "https://avatars.githubusercontent.com/u/20474?s=40&v=4", + "name": "thinca", + "url": "https://github.com/thinca" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/1742?s=40&v=4", + "name": "kana", + "url": "https://github.com/kana" }, { - "avatar": "https://avatars.githubusercontent.com/u/810650?s=40&v=4", - "name": "teoljungberg", - "url": "https://github.com/teoljungberg" + "avatar": "https://avatars.githubusercontent.com/u/5582459?s=40&v=4", + "name": "kyoh86", + "url": "https://github.com/kyoh86" }, { - "avatar": "https://avatars.githubusercontent.com/u/10785?s=40&v=4", - "name": "maximkulkin", - "url": "https://github.com/maximkulkin" + "avatar": "https://avatars.githubusercontent.com/u/16723609?s=40&v=4", + "name": "micheam", + "url": "https://github.com/micheam" }, { - "avatar": "https://avatars.githubusercontent.com/u/17975?s=40&v=4", - "name": "awood", - "url": "https://github.com/awood" + "avatar": "https://avatars.githubusercontent.com/u/54318333?s=40&v=4", + "name": "nsfisis", + "url": "https://github.com/nsfisis" } ] }, { - "title": "mhinz/vim-galore", - "url": "https://github.com/mhinz/vim-galore", - "description": "🎓 All things Vim!", + "title": "tpope/vim-speeddating", + "url": "https://github.com/tpope/vim-speeddating", + "description": "speeddating.vim: use CTRL-A/CTRL-X to increment dates, times, and more", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "16,903", - "forks": "607", - "addStars": "3", + "stars": "967", + "forks": "40", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/972014?s=40&v=4", - "name": "mhinz", - "url": "https://github.com/mhinz" + "avatar": "https://avatars.githubusercontent.com/u/378?s=40&v=4", + "name": "tpope", + "url": "https://github.com/tpope" }, { - "avatar": "https://avatars.githubusercontent.com/u/306238?s=40&v=4", - "name": "codeurge", - "url": "https://github.com/codeurge" + "avatar": "https://avatars.githubusercontent.com/u/39620?s=40&v=4", + "name": "aschrab", + "url": "https://github.com/aschrab" }, { - "avatar": "https://avatars.githubusercontent.com/u/1193314?s=40&v=4", - "name": "lifepillar", - "url": "https://github.com/lifepillar" + "avatar": "https://avatars.githubusercontent.com/u/43559?s=40&v=4", + "name": "idbrii", + "url": "https://github.com/idbrii" }, { - "avatar": "https://avatars.githubusercontent.com/u/63876?s=40&v=4", - "name": "ahmedelgabri", - "url": "https://github.com/ahmedelgabri" + "avatar": "https://avatars.githubusercontent.com/u/181261?s=40&v=4", + "name": "inkarkat", + "url": "https://github.com/inkarkat" }, { - "avatar": "https://avatars.githubusercontent.com/u/227907?s=40&v=4", - "name": "xero", - "url": "https://github.com/xero" + "avatar": "https://avatars.githubusercontent.com/u/2073?s=40&v=4", + "name": "imajes", + "url": "https://github.com/imajes" } ] }, { - "title": "mileszs/ack.vim", - "url": "https://github.com/mileszs/ack.vim", - "description": "Vim plugin for the Perl module / CLI script 'ack'", + "title": "mbbill/undotree", + "url": "https://github.com/mbbill/undotree", + "description": "The undo history visualizer for VIM", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "3,082", - "forks": "397", + "stars": "3,966", + "forks": "101", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/120483?s=40&v=4", - "name": "kassio", - "url": "https://github.com/kassio" + "avatar": "https://avatars.githubusercontent.com/u/841602?s=40&v=4", + "name": "mbbill", + "url": "https://github.com/mbbill" }, { - "avatar": "https://avatars.githubusercontent.com/u/2425?s=40&v=4", - "name": "mileszs", - "url": "https://github.com/mileszs" + "avatar": "https://avatars.githubusercontent.com/u/181261?s=40&v=4", + "name": "inkarkat", + "url": "https://github.com/inkarkat" }, { - "avatar": "https://avatars.githubusercontent.com/u/13277?s=40&v=4", - "name": "ches", - "url": "https://github.com/ches" + "avatar": "https://avatars.githubusercontent.com/u/5598066?s=40&v=4", + "name": "PhilRunninger", + "url": "https://github.com/PhilRunninger" }, { - "avatar": "https://avatars.githubusercontent.com/u/378?s=40&v=4", - "name": "tpope", - "url": "https://github.com/tpope" + "avatar": "https://avatars.githubusercontent.com/u/45028928?s=40&v=4", + "name": "tmillr", + "url": "https://github.com/tmillr" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/22802209?s=40&v=4", + "name": "benknoble", + "url": "https://github.com/benknoble" } ] }, { - "title": "junegunn/fzf.vim", - "url": "https://github.com/junegunn/fzf.vim", - "description": "fzf ❤️ vim", + "title": "tpope/vim-surround", + "url": "https://github.com/tpope/vim-surround", + "description": "surround.vim: Delete/change/add parentheses/quotes/XML-tags/much more with ease", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "9,668", - "forks": "585", - "addStars": "1", + "stars": "13,473", + "forks": "444", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/700826?s=40&v=4", - "name": "junegunn", - "url": "https://github.com/junegunn" + "avatar": "https://avatars.githubusercontent.com/u/378?s=40&v=4", + "name": "tpope", + "url": "https://github.com/tpope" }, { - "avatar": "https://avatars.githubusercontent.com/u/8740057?s=40&v=4", - "name": "janlazo", - "url": "https://github.com/janlazo" + "avatar": "https://avatars.githubusercontent.com/u/717924?s=40&v=4", + "name": "apcomplete", + "url": "https://github.com/apcomplete" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/44155?s=40&v=4", + "name": "jwhitley", + "url": "https://github.com/jwhitley" }, { - "avatar": "https://avatars.githubusercontent.com/u/300342?s=40&v=4", - "name": "liskin", - "url": "https://github.com/liskin" + "avatar": "https://avatars.githubusercontent.com/u/107071?s=40&v=4", + "name": "ChrisJohnsen", + "url": "https://github.com/ChrisJohnsen" }, { - "avatar": "https://avatars.githubusercontent.com/u/4507647?s=40&v=4", - "name": "Frederick888", - "url": "https://github.com/Frederick888" + "avatar": "https://avatars.githubusercontent.com/u/9863?s=40&v=4", + "name": "sunaku", + "url": "https://github.com/sunaku" } ] }, { - "title": "kana/vim-textobj-user", - "url": "https://github.com/kana/vim-textobj-user", - "description": "Vim plugin: Create your own text objects", + "title": "prabirshrestha/vim-lsp", + "url": "https://github.com/prabirshrestha/vim-lsp", + "description": "async language server protocol plugin for vim and neovim", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "1,443", - "forks": "48", - "addStars": "1", + "stars": "3,148", + "forks": "305", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1742?s=40&v=4", - "name": "kana", - "url": "https://github.com/kana" + "avatar": "https://avatars.githubusercontent.com/u/287744?s=40&v=4", + "name": "prabirshrestha", + "url": "https://github.com/prabirshrestha" }, { - "avatar": "https://avatars.githubusercontent.com/u/2755760?s=40&v=4", - "name": "tandrewnichols", - "url": "https://github.com/tandrewnichols" + "avatar": "https://avatars.githubusercontent.com/u/10111?s=40&v=4", + "name": "mattn", + "url": "https://github.com/mattn" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/629908?s=40&v=4", + "name": "hrsh7th", + "url": "https://github.com/hrsh7th" }, { - "avatar": "https://avatars.githubusercontent.com/u/24400?s=40&v=4", - "name": "drewdeponte", - "url": "https://github.com/drewdeponte" + "avatar": "https://avatars.githubusercontent.com/u/823277?s=40&v=4", + "name": "rhysd", + "url": "https://github.com/rhysd" }, { - "avatar": "https://avatars.githubusercontent.com/u/43559?s=40&v=4", - "name": "idbrii", - "url": "https://github.com/idbrii" + "avatar": "https://avatars.githubusercontent.com/u/10748726?s=40&v=4", + "name": "thomasfaingnaert", + "url": "https://github.com/thomasfaingnaert" } ] } diff --git a/data/daily/vim-script.xml b/data/daily/vim-script.xml index 8cb2c5b45205..925026014103 100644 --- a/data/daily/vim-script.xml +++ b/data/daily/vim-script.xml @@ -3,348 +3,358 @@ GitHub Vim-script Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vim-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - neovim/neovim - https://github.com/neovim/neovim - Vim-fork focused on extensibility and usability - https://github.com/neovim/neovim + christoomey/vim-tmux-navigator + https://github.com/christoomey/vim-tmux-navigator + Seamless navigation between tmux panes and vim splits + https://github.com/christoomey/vim-tmux-navigator Vim Script #199f4b - 83,570 - 5,712 - 36 + 5,387 + 334 + 5 - https://avatars.githubusercontent.com/u/35768171?s=40&v=4 - zeertzjq - https://github.com/zeertzjq + https://avatars.githubusercontent.com/u/420113?s=40&v=4 + christoomey + https://github.com/christoomey - https://avatars.githubusercontent.com/u/1359421?s=40&v=4 - justinmk - https://github.com/justinmk + https://avatars.githubusercontent.com/u/9766?s=40&v=4 + blueyed + https://github.com/blueyed - https://avatars.githubusercontent.com/u/8740057?s=40&v=4 - janlazo - https://github.com/janlazo + https://avatars.githubusercontent.com/u/2506364?s=40&v=4 + sdondley + https://github.com/sdondley - https://avatars.githubusercontent.com/u/1363104?s=40&v=4 - bfredl - https://github.com/bfredl + https://avatars.githubusercontent.com/u/283886?s=40&v=4 + keith + https://github.com/keith - https://avatars.githubusercontent.com/u/322097?s=40&v=4 - ZyX-I - https://github.com/ZyX-I + https://avatars.githubusercontent.com/u/2268851?s=40&v=4 + x3rAx + https://github.com/x3rAx - vim/vim - https://github.com/vim/vim - The official Vim repository - https://github.com/vim/vim + mg979/vim-visual-multi + https://github.com/mg979/vim-visual-multi + Multiple cursors plugin for vim/neovim + https://github.com/mg979/vim-visual-multi Vim Script #199f4b - 36,744 - 5,473 - 9 + 4,276 + 82 + 3 - https://avatars.githubusercontent.com/u/8530623?s=40&v=4 - brammool - https://github.com/brammool + https://avatars.githubusercontent.com/u/26169924?s=40&v=4 + mg979 + https://github.com/mg979 - https://avatars.githubusercontent.com/u/244927?s=40&v=4 - chrisbra - https://github.com/chrisbra + https://avatars.githubusercontent.com/u/10830594?s=40&v=4 + antoinemadec + https://github.com/antoinemadec - https://avatars.githubusercontent.com/u/35768171?s=40&v=4 - zeertzjq - https://github.com/zeertzjq + https://avatars.githubusercontent.com/u/16623787?s=40&v=4 + jedrzejboczar + https://github.com/jedrzejboczar - https://avatars.githubusercontent.com/u/4298407?s=40&v=4 - yegappan - https://github.com/yegappan + https://avatars.githubusercontent.com/u/138597?s=40&v=4 + mmrwoods + https://github.com/mmrwoods - https://avatars.githubusercontent.com/u/840186?s=40&v=4 - k-takata - https://github.com/k-takata + https://avatars.githubusercontent.com/u/1554033?s=40&v=4 + aeriksson + https://github.com/aeriksson - amix/vimrc - https://github.com/amix/vimrc - The ultimate Vim configuration (vimrc) - https://github.com/amix/vimrc + dense-analysis/ale + https://github.com/dense-analysis/ale + Check syntax in Vim/Neovim asynchronously and fix files, with Language Server Protocol (LSP) support + https://github.com/dense-analysis/ale Vim Script #199f4b - 30,774 - 7,300 + 13,576 + 1,441 1 - https://avatars.githubusercontent.com/u/184462?s=40&v=4 - amix - https://github.com/amix + https://avatars.githubusercontent.com/u/3518142?s=40&v=4 + w0rp + https://github.com/w0rp + + + https://avatars.githubusercontent.com/u/900716?s=40&v=4 + hsanson + https://github.com/hsanson - https://avatars.githubusercontent.com/u/2653546?s=40&v=4 - andradei - https://github.com/andradei + https://avatars.githubusercontent.com/u/3816565?s=40&v=4 + thindil + https://github.com/thindil - https://avatars.githubusercontent.com/u/231284?s=40&v=4 - daniilguit - https://github.com/daniilguit + https://avatars.githubusercontent.com/u/1872836?s=40&v=4 + jhlink + https://github.com/jhlink - https://avatars.githubusercontent.com/u/2968860?s=40&v=4 - sota1235 - https://github.com/sota1235 + https://avatars.githubusercontent.com/u/1049222?s=40&v=4 + neersighted + https://github.com/neersighted - morhetz/gruvbox - https://github.com/morhetz/gruvbox - Retro groove color scheme for Vim - https://github.com/morhetz/gruvbox + junegunn/vim-plug + https://github.com/junegunn/vim-plug + 🌺 Minimalist Vim Plugin Manager + https://github.com/junegunn/vim-plug Vim Script #199f4b - 13,847 - 1,106 - 1 + 34,214 + 1,934 + 7 - https://avatars.githubusercontent.com/u/554231?s=40&v=4 - morhetz - https://github.com/morhetz + https://avatars.githubusercontent.com/u/700826?s=40&v=4 + junegunn + https://github.com/junegunn - https://avatars.githubusercontent.com/u/423311?s=40&v=4 - ok100 - https://github.com/ok100 + https://avatars.githubusercontent.com/u/470400?s=40&v=4 + starcraftman + https://github.com/starcraftman - https://avatars.githubusercontent.com/u/545480?s=40&v=4 - cryptomilk - https://github.com/cryptomilk + https://avatars.githubusercontent.com/u/8740057?s=40&v=4 + janlazo + https://github.com/janlazo - https://avatars.githubusercontent.com/u/1590756?s=40&v=4 - magicmark - https://github.com/magicmark + https://avatars.githubusercontent.com/u/9766?s=40&v=4 + blueyed + https://github.com/blueyed - https://avatars.githubusercontent.com/u/7128551?s=40&v=4 - gmoe - https://github.com/gmoe + https://avatars.githubusercontent.com/u/10111?s=40&v=4 + mattn + https://github.com/mattn - mg979/vim-visual-multi - https://github.com/mg979/vim-visual-multi - Multiple cursors plugin for vim/neovim - https://github.com/mg979/vim-visual-multi + hashivim/vim-terraform + https://github.com/hashivim/vim-terraform + basic vim/terraform integration + https://github.com/hashivim/vim-terraform Vim Script #199f4b - 4,276 - 82 - 3 + 1,079 + 111 + 0 - https://avatars.githubusercontent.com/u/26169924?s=40&v=4 - mg979 - https://github.com/mg979 + https://avatars.githubusercontent.com/u/875184?s=40&v=4 + dimbleby + https://github.com/dimbleby - https://avatars.githubusercontent.com/u/10830594?s=40&v=4 - antoinemadec - https://github.com/antoinemadec + https://avatars.githubusercontent.com/u/2382565?s=40&v=4 + pgporada + https://github.com/pgporada - https://avatars.githubusercontent.com/u/16623787?s=40&v=4 - jedrzejboczar - https://github.com/jedrzejboczar + https://avatars.githubusercontent.com/u/302?s=40&v=4 + mcornick + https://github.com/mcornick - https://avatars.githubusercontent.com/u/138597?s=40&v=4 - mmrwoods - https://github.com/mmrwoods + https://avatars.githubusercontent.com/u/1660673?s=40&v=4 + mcrmonkey + https://github.com/mcrmonkey - https://avatars.githubusercontent.com/u/1554033?s=40&v=4 - aeriksson - https://github.com/aeriksson + https://avatars.githubusercontent.com/u/34150?s=40&v=4 + ashb + https://github.com/ashb - kaarmu/typst.vim - https://github.com/kaarmu/typst.vim - Vim plugin for Typst - https://github.com/kaarmu/typst.vim + tomasr/molokai + https://github.com/tomasr/molokai + Molokai color scheme for Vim + https://github.com/tomasr/molokai Vim Script #199f4b - 298 - 28 + 3,609 + 1,684 0 - https://avatars.githubusercontent.com/u/19633647?s=40&v=4 - kaarmu - https://github.com/kaarmu + https://avatars.githubusercontent.com/u/16179?s=40&v=4 + tomasr + https://github.com/tomasr - https://avatars.githubusercontent.com/u/6561834?s=40&v=4 - yangwenbo99 - https://github.com/yangwenbo99 + https://avatars.githubusercontent.com/u/107915?s=40&v=4 + martijn + https://github.com/martijn - https://avatars.githubusercontent.com/u/11978847?s=40&v=4 - ModProg - https://github.com/ModProg + https://avatars.githubusercontent.com/u/324632?s=40&v=4 + e0da + https://github.com/e0da - https://avatars.githubusercontent.com/u/44550791?s=40&v=4 - iseri27 - https://github.com/iseri27 + https://avatars.githubusercontent.com/u/1359421?s=40&v=4 + justinmk + https://github.com/justinmk - https://avatars.githubusercontent.com/u/94862075?s=40&v=4 - sh1l0h - https://github.com/sh1l0h + https://avatars.githubusercontent.com/u/2479?s=40&v=4 + jgelens + https://github.com/jgelens - rust-lang/rust.vim - https://github.com/rust-lang/rust.vim - Vim configuration for Rust. - https://github.com/rust-lang/rust.vim + thinca/vim-quickrun + https://github.com/thinca/vim-quickrun + Run commands quickly. + https://github.com/thinca/vim-quickrun Vim Script #199f4b - 3,911 - 297 - 1 + 768 + 102 + 0 - https://avatars.githubusercontent.com/u/321273?s=40&v=4 - da-x - https://github.com/da-x + https://avatars.githubusercontent.com/u/20474?s=40&v=4 + thinca + https://github.com/thinca - https://avatars.githubusercontent.com/u/392868?s=40&v=4 - chris-morgan - https://github.com/chris-morgan + https://avatars.githubusercontent.com/u/11504?s=40&v=4 + ujihisa + https://github.com/ujihisa - https://avatars.githubusercontent.com/u/714?s=40&v=4 - lilyball - https://github.com/lilyball + https://avatars.githubusercontent.com/u/10111?s=40&v=4 + mattn + https://github.com/mattn - https://avatars.githubusercontent.com/u/27786?s=40&v=4 - steveklabnik - https://github.com/steveklabnik + https://avatars.githubusercontent.com/u/22977?s=40&v=4 + Milly + https://github.com/Milly - https://avatars.githubusercontent.com/u/823277?s=40&v=4 - rhysd - https://github.com/rhysd + https://avatars.githubusercontent.com/u/48169?s=40&v=4 + tyru + https://github.com/tyru - vim-jp/vimdoc-ja - https://github.com/vim-jp/vimdoc-ja - A project which translate Vim documents into Japanese. - https://github.com/vim-jp/vimdoc-ja + aklt/plantuml-syntax + https://github.com/aklt/plantuml-syntax + vim syntax file for plantuml + https://github.com/aklt/plantuml-syntax Vim Script #199f4b - 337 - 32 - 0 + 476 + 72 + 1 - https://avatars.githubusercontent.com/u/840186?s=40&v=4 - k-takata - https://github.com/k-takata + https://avatars.githubusercontent.com/u/142361?s=40&v=4 + aklt + https://github.com/aklt + + + https://avatars.githubusercontent.com/u/99910?s=40&v=4 + hokorobi + https://github.com/hokorobi - https://avatars.githubusercontent.com/u/1528093?s=40&v=4 - crazymaster - https://github.com/crazymaster + https://avatars.githubusercontent.com/u/1671?s=40&v=4 + scrooloose + https://github.com/scrooloose - https://avatars.githubusercontent.com/u/142094?s=40&v=4 - ynkdir - https://github.com/ynkdir + https://avatars.githubusercontent.com/u/31566103?s=40&v=4 + chrisaga + https://github.com/chrisaga - https://avatars.githubusercontent.com/u/468368?s=40&v=4 - koron - https://github.com/koron + https://avatars.githubusercontent.com/u/6941?s=40&v=4 + liquidz + https://github.com/liquidz - andymass/vim-matchup - https://github.com/andymass/vim-matchup - vim match-up: even better % 👊 navigate and highlight matching words 👊 modern matchit and matchparen. Supports both vim and neovim + tree-sitter. - https://github.com/andymass/vim-matchup + mzlogin/vim-markdown-toc + https://github.com/mzlogin/vim-markdown-toc + A vim 7.4+ plugin to generate table of contents for Markdown files. + https://github.com/mzlogin/vim-markdown-toc Vim Script #199f4b - 1,714 - 73 + 613 + 59 0 - https://avatars.githubusercontent.com/u/6655373?s=40&v=4 - andymass - https://github.com/andymass + https://avatars.githubusercontent.com/u/1646590?s=40&v=4 + mzlogin + https://github.com/mzlogin - https://avatars.githubusercontent.com/u/29718261?s=40&v=4 - amaanq - https://github.com/amaanq + https://avatars.githubusercontent.com/u/13142418?s=40&v=4 + wsdjeg + https://github.com/wsdjeg - https://avatars.githubusercontent.com/u/32784713?s=40&v=4 - tlvu2697 - https://github.com/tlvu2697 + https://avatars.githubusercontent.com/u/59620?s=40&v=4 + rsrchboy + https://github.com/rsrchboy - https://avatars.githubusercontent.com/u/719605?s=40&v=4 - ahdinosaur - https://github.com/ahdinosaur + https://avatars.githubusercontent.com/u/440661?s=40&v=4 + lilydjwg + https://github.com/lilydjwg - https://avatars.githubusercontent.com/u/12857160?s=40&v=4 - mrcjkb - https://github.com/mrcjkb + https://avatars.githubusercontent.com/u/2997662?s=40&v=4 + wget + https://github.com/wget - tpope/vim-dadbod - https://github.com/tpope/vim-dadbod - dadbod.vim: Modern database interface for Vim - https://github.com/tpope/vim-dadbod + tpope/vim-endwise + https://github.com/tpope/vim-endwise + endwise.vim: Wisely add + https://github.com/tpope/vim-endwise Vim Script #199f4b - 3,737 - 131 + 1,119 + 101 1 @@ -353,404 +363,442 @@ https://github.com/tpope - https://avatars.githubusercontent.com/u/1782860?s=40&v=4 - kristijanhusak - https://github.com/kristijanhusak + https://avatars.githubusercontent.com/u/31429825?s=40&v=4 + Synray + https://github.com/Synray - https://avatars.githubusercontent.com/u/7629614?s=40&v=4 - deathlyfrantic - https://github.com/deathlyfrantic + https://avatars.githubusercontent.com/u/9766?s=40&v=4 + blueyed + https://github.com/blueyed - https://avatars.githubusercontent.com/u/37849?s=40&v=4 - meunierd - https://github.com/meunierd + https://avatars.githubusercontent.com/u/766444?s=40&v=4 + danieljaouen + https://github.com/danieljaouen - https://avatars.githubusercontent.com/u/808052?s=40&v=4 - joaomsa - https://github.com/joaomsa + https://avatars.githubusercontent.com/u/310785?s=40&v=4 + richsoni + https://github.com/richsoni - vim-airline/vim-airline-themes - https://github.com/vim-airline/vim-airline-themes - A collection of themes for vim-airline - https://github.com/vim-airline/vim-airline-themes + preservim/vim-indent-guides + https://github.com/preservim/vim-indent-guides + A Vim plugin for visually displaying indent levels in code + https://github.com/preservim/vim-indent-guides Vim Script #199f4b - 2,053 - 350 + 2,633 + 166 0 - https://avatars.githubusercontent.com/u/306502?s=40&v=4 - bling - https://github.com/bling + https://avatars.githubusercontent.com/u/84757?s=40&v=4 + nathanaelkane + https://github.com/nathanaelkane - https://avatars.githubusercontent.com/u/244927?s=40&v=4 - chrisbra - https://github.com/chrisbra + https://avatars.githubusercontent.com/u/173595?s=40&v=4 + alerque + https://github.com/alerque - https://avatars.githubusercontent.com/u/36619465?s=40&v=4 - get-me-power - https://github.com/get-me-power + https://avatars.githubusercontent.com/u/6110?s=40&v=4 + graywh + https://github.com/graywh - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/717109?s=40&v=4 + noscript + https://github.com/noscript - https://avatars.githubusercontent.com/u/1803997?s=40&v=4 - notpratheek - https://github.com/notpratheek + https://avatars.githubusercontent.com/u/253048?s=40&v=4 + jhogendorn + https://github.com/jhogendorn - AndrewRadev/splitjoin.vim - https://github.com/AndrewRadev/splitjoin.vim - Switch between single-line and multiline forms of code - https://github.com/AndrewRadev/splitjoin.vim + kaarmu/typst.vim + https://github.com/kaarmu/typst.vim + Vim plugin for Typst + https://github.com/kaarmu/typst.vim Vim Script #199f4b - 1,932 - 90 + 298 + 28 0 - https://avatars.githubusercontent.com/u/124255?s=40&v=4 - AndrewRadev - https://github.com/AndrewRadev + https://avatars.githubusercontent.com/u/19633647?s=40&v=4 + kaarmu + https://github.com/kaarmu + + + https://avatars.githubusercontent.com/u/6561834?s=40&v=4 + yangwenbo99 + https://github.com/yangwenbo99 + + + https://avatars.githubusercontent.com/u/11978847?s=40&v=4 + ModProg + https://github.com/ModProg + + + https://avatars.githubusercontent.com/u/44550791?s=40&v=4 + iseri27 + https://github.com/iseri27 + + + https://avatars.githubusercontent.com/u/94862075?s=40&v=4 + sh1l0h + https://github.com/sh1l0h + + + + + morhetz/gruvbox + https://github.com/morhetz/gruvbox + Retro groove color scheme for Vim + https://github.com/morhetz/gruvbox + Vim Script + #199f4b + 13,847 + 1,106 + 1 + + + https://avatars.githubusercontent.com/u/554231?s=40&v=4 + morhetz + https://github.com/morhetz - https://avatars.githubusercontent.com/u/2204549?s=40&v=4 - LFDM - https://github.com/LFDM + https://avatars.githubusercontent.com/u/423311?s=40&v=4 + ok100 + https://github.com/ok100 - https://avatars.githubusercontent.com/u/423234?s=40&v=4 - josa42 - https://github.com/josa42 + https://avatars.githubusercontent.com/u/545480?s=40&v=4 + cryptomilk + https://github.com/cryptomilk - https://avatars.githubusercontent.com/u/10667?s=40&v=4 - Bastes - https://github.com/Bastes + https://avatars.githubusercontent.com/u/1590756?s=40&v=4 + magicmark + https://github.com/magicmark - https://avatars.githubusercontent.com/u/11472671?s=40&v=4 - krzystof - https://github.com/krzystof + https://avatars.githubusercontent.com/u/7128551?s=40&v=4 + gmoe + https://github.com/gmoe - tpope/vim-fugitive - https://github.com/tpope/vim-fugitive - fugitive.vim: A Git wrapper so awesome, it should be illegal - https://github.com/tpope/vim-fugitive + dhruvasagar/vim-table-mode + https://github.com/dhruvasagar/vim-table-mode + VIM Table Mode for instant table creation. + https://github.com/dhruvasagar/vim-table-mode Vim Script #199f4b - 20,090 - 1,013 + 2,115 + 96 0 - https://avatars.githubusercontent.com/u/378?s=40&v=4 - tpope - https://github.com/tpope + https://avatars.githubusercontent.com/u/88258?s=40&v=4 + dhruvasagar + https://github.com/dhruvasagar - https://avatars.githubusercontent.com/u/810650?s=40&v=4 - teoljungberg - https://github.com/teoljungberg + https://avatars.githubusercontent.com/u/6985117?s=40&v=4 + harriott + https://github.com/harriott - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/61984399?s=40&v=4 + ibbem + https://github.com/ibbem - https://avatars.githubusercontent.com/u/1752162?s=40&v=4 - odnoletkov - https://github.com/odnoletkov + https://avatars.githubusercontent.com/u/20277513?s=40&v=4 + jdorel + https://github.com/jdorel - https://avatars.githubusercontent.com/u/2235277?s=40&v=4 - qstrahl - https://github.com/qstrahl + https://avatars.githubusercontent.com/u/515747?s=40&v=4 + insanum + https://github.com/insanum - mbbill/undotree - https://github.com/mbbill/undotree - The undo history visualizer for VIM - https://github.com/mbbill/undotree + prabirshrestha/asyncomplete-lsp.vim + https://github.com/prabirshrestha/asyncomplete-lsp.vim + + https://github.com/prabirshrestha/asyncomplete-lsp.vim Vim Script #199f4b - 3,966 - 101 + 133 + 15 0 - https://avatars.githubusercontent.com/u/841602?s=40&v=4 - mbbill - https://github.com/mbbill + https://avatars.githubusercontent.com/u/287744?s=40&v=4 + prabirshrestha + https://github.com/prabirshrestha - https://avatars.githubusercontent.com/u/181261?s=40&v=4 - inkarkat - https://github.com/inkarkat + https://avatars.githubusercontent.com/u/10111?s=40&v=4 + mattn + https://github.com/mattn - https://avatars.githubusercontent.com/u/5598066?s=40&v=4 - PhilRunninger - https://github.com/PhilRunninger + https://avatars.githubusercontent.com/u/5321759?s=40&v=4 + keremc + https://github.com/keremc - https://avatars.githubusercontent.com/u/45028928?s=40&v=4 - tmillr - https://github.com/tmillr + https://avatars.githubusercontent.com/u/629908?s=40&v=4 + hrsh7th + https://github.com/hrsh7th - https://avatars.githubusercontent.com/u/22802209?s=40&v=4 - benknoble - https://github.com/benknoble + https://avatars.githubusercontent.com/u/1556311?s=40&v=4 + kyouryuukunn + https://github.com/kyouryuukunn - vim-airline/vim-airline - https://github.com/vim-airline/vim-airline - lean & mean status/tabline for vim that's light as air - https://github.com/vim-airline/vim-airline + vim-jp/vital.vim + https://github.com/vim-jp/vital.vim + A comprehensive Vim utility functions for Vim plugins + https://github.com/vim-jp/vital.vim Vim Script #199f4b - 17,794 - 1,105 - 1 + 574 + 64 + 0 - https://avatars.githubusercontent.com/u/244927?s=40&v=4 - chrisbra - https://github.com/chrisbra + https://avatars.githubusercontent.com/u/20474?s=40&v=4 + thinca + https://github.com/thinca - https://avatars.githubusercontent.com/u/306502?s=40&v=4 - bling - https://github.com/bling + https://avatars.githubusercontent.com/u/48169?s=40&v=4 + tyru + https://github.com/tyru - https://avatars.githubusercontent.com/u/36619465?s=40&v=4 - get-me-power - https://github.com/get-me-power + https://avatars.githubusercontent.com/u/11504?s=40&v=4 + ujihisa + https://github.com/ujihisa - https://avatars.githubusercontent.com/u/1847343?s=40&v=4 - mrmr1993 - https://github.com/mrmr1993 + https://avatars.githubusercontent.com/u/546312?s=40&v=4 + lambdalisue + https://github.com/lambdalisue - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/96727?s=40&v=4 + tsuyoshicho + https://github.com/tsuyoshicho - tpope/vim-unimpaired - https://github.com/tpope/vim-unimpaired - unimpaired.vim: Pairs of handy bracket mappings - https://github.com/tpope/vim-unimpaired + thinca/vim-qfreplace + https://github.com/thinca/vim-qfreplace + Perform the replacement in quickfix. + https://github.com/thinca/vim-qfreplace Vim Script #199f4b - 3,338 - 205 - 1 + 160 + 5 + 0 - https://avatars.githubusercontent.com/u/378?s=40&v=4 - tpope - https://github.com/tpope + https://avatars.githubusercontent.com/u/20474?s=40&v=4 + thinca + https://github.com/thinca - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/1742?s=40&v=4 + kana + https://github.com/kana - https://avatars.githubusercontent.com/u/810650?s=40&v=4 - teoljungberg - https://github.com/teoljungberg + https://avatars.githubusercontent.com/u/5582459?s=40&v=4 + kyoh86 + https://github.com/kyoh86 - https://avatars.githubusercontent.com/u/10785?s=40&v=4 - maximkulkin - https://github.com/maximkulkin + https://avatars.githubusercontent.com/u/16723609?s=40&v=4 + micheam + https://github.com/micheam - https://avatars.githubusercontent.com/u/17975?s=40&v=4 - awood - https://github.com/awood + https://avatars.githubusercontent.com/u/54318333?s=40&v=4 + nsfisis + https://github.com/nsfisis - mhinz/vim-galore - https://github.com/mhinz/vim-galore - 🎓 All things Vim! - https://github.com/mhinz/vim-galore + tpope/vim-speeddating + https://github.com/tpope/vim-speeddating + speeddating.vim: use CTRL-A/CTRL-X to increment dates, times, and more + https://github.com/tpope/vim-speeddating Vim Script #199f4b - 16,903 - 607 - 3 + 967 + 40 + 0 - https://avatars.githubusercontent.com/u/972014?s=40&v=4 - mhinz - https://github.com/mhinz + https://avatars.githubusercontent.com/u/378?s=40&v=4 + tpope + https://github.com/tpope - https://avatars.githubusercontent.com/u/306238?s=40&v=4 - codeurge - https://github.com/codeurge + https://avatars.githubusercontent.com/u/39620?s=40&v=4 + aschrab + https://github.com/aschrab - https://avatars.githubusercontent.com/u/1193314?s=40&v=4 - lifepillar - https://github.com/lifepillar + https://avatars.githubusercontent.com/u/43559?s=40&v=4 + idbrii + https://github.com/idbrii - https://avatars.githubusercontent.com/u/63876?s=40&v=4 - ahmedelgabri - https://github.com/ahmedelgabri + https://avatars.githubusercontent.com/u/181261?s=40&v=4 + inkarkat + https://github.com/inkarkat - https://avatars.githubusercontent.com/u/227907?s=40&v=4 - xero - https://github.com/xero + https://avatars.githubusercontent.com/u/2073?s=40&v=4 + imajes + https://github.com/imajes - mileszs/ack.vim - https://github.com/mileszs/ack.vim - Vim plugin for the Perl module / CLI script 'ack' - https://github.com/mileszs/ack.vim + mbbill/undotree + https://github.com/mbbill/undotree + The undo history visualizer for VIM + https://github.com/mbbill/undotree Vim Script #199f4b - 3,082 - 397 + 3,966 + 101 0 - https://avatars.githubusercontent.com/u/120483?s=40&v=4 - kassio - https://github.com/kassio + https://avatars.githubusercontent.com/u/841602?s=40&v=4 + mbbill + https://github.com/mbbill - https://avatars.githubusercontent.com/u/2425?s=40&v=4 - mileszs - https://github.com/mileszs + https://avatars.githubusercontent.com/u/181261?s=40&v=4 + inkarkat + https://github.com/inkarkat - https://avatars.githubusercontent.com/u/13277?s=40&v=4 - ches - https://github.com/ches + https://avatars.githubusercontent.com/u/5598066?s=40&v=4 + PhilRunninger + https://github.com/PhilRunninger - https://avatars.githubusercontent.com/u/378?s=40&v=4 - tpope - https://github.com/tpope + https://avatars.githubusercontent.com/u/45028928?s=40&v=4 + tmillr + https://github.com/tmillr - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/22802209?s=40&v=4 + benknoble + https://github.com/benknoble - junegunn/fzf.vim - https://github.com/junegunn/fzf.vim - fzf ❤️ vim - https://github.com/junegunn/fzf.vim + tpope/vim-surround + https://github.com/tpope/vim-surround + surround.vim: Delete/change/add parentheses/quotes/XML-tags/much more with ease + https://github.com/tpope/vim-surround Vim Script #199f4b - 9,668 - 585 - 1 + 13,473 + 444 + 3 - https://avatars.githubusercontent.com/u/700826?s=40&v=4 - junegunn - https://github.com/junegunn + https://avatars.githubusercontent.com/u/378?s=40&v=4 + tpope + https://github.com/tpope - https://avatars.githubusercontent.com/u/8740057?s=40&v=4 - janlazo - https://github.com/janlazo + https://avatars.githubusercontent.com/u/717924?s=40&v=4 + apcomplete + https://github.com/apcomplete - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/44155?s=40&v=4 + jwhitley + https://github.com/jwhitley - https://avatars.githubusercontent.com/u/300342?s=40&v=4 - liskin - https://github.com/liskin + https://avatars.githubusercontent.com/u/107071?s=40&v=4 + ChrisJohnsen + https://github.com/ChrisJohnsen - https://avatars.githubusercontent.com/u/4507647?s=40&v=4 - Frederick888 - https://github.com/Frederick888 + https://avatars.githubusercontent.com/u/9863?s=40&v=4 + sunaku + https://github.com/sunaku - kana/vim-textobj-user - https://github.com/kana/vim-textobj-user - Vim plugin: Create your own text objects - https://github.com/kana/vim-textobj-user + prabirshrestha/vim-lsp + https://github.com/prabirshrestha/vim-lsp + async language server protocol plugin for vim and neovim + https://github.com/prabirshrestha/vim-lsp Vim Script #199f4b - 1,443 - 48 - 1 + 3,148 + 305 + 2 - https://avatars.githubusercontent.com/u/1742?s=40&v=4 - kana - https://github.com/kana + https://avatars.githubusercontent.com/u/287744?s=40&v=4 + prabirshrestha + https://github.com/prabirshrestha - https://avatars.githubusercontent.com/u/2755760?s=40&v=4 - tandrewnichols - https://github.com/tandrewnichols + https://avatars.githubusercontent.com/u/10111?s=40&v=4 + mattn + https://github.com/mattn - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/629908?s=40&v=4 + hrsh7th + https://github.com/hrsh7th - https://avatars.githubusercontent.com/u/24400?s=40&v=4 - drewdeponte - https://github.com/drewdeponte + https://avatars.githubusercontent.com/u/823277?s=40&v=4 + rhysd + https://github.com/rhysd - https://avatars.githubusercontent.com/u/43559?s=40&v=4 - idbrii - https://github.com/idbrii + https://avatars.githubusercontent.com/u/10748726?s=40&v=4 + thomasfaingnaert + https://github.com/thomasfaingnaert diff --git a/data/daily/vim-snippet.json b/data/daily/vim-snippet.json index 713c6dc71d69..0a0ddc2c65cf 100644 --- a/data/daily/vim-snippet.json +++ b/data/daily/vim-snippet.json @@ -2,6 +2,6 @@ "title": "GitHub Vim-snippet Languages Daily Trending", "description": "Daily Trending of Vim-snippet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/vim-snippet.xml b/data/daily/vim-snippet.xml index 4d889f9e939e..978dda254112 100644 --- a/data/daily/vim-snippet.xml +++ b/data/daily/vim-snippet.xml @@ -3,6 +3,6 @@ GitHub Vim-snippet Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vim-snippet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/visual-basic-.net.json b/data/daily/visual-basic-.net.json index 130a63c74e24..97c99c305e8f 100644 --- a/data/daily/visual-basic-.net.json +++ b/data/daily/visual-basic-.net.json @@ -2,6 +2,6 @@ "title": "GitHub Visual-basic-.net Languages Daily Trending", "description": "Daily Trending of Visual-basic-.net Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/visual-basic-.net.xml b/data/daily/visual-basic-.net.xml index 1230275b5364..b750b2cda37d 100644 --- a/data/daily/visual-basic-.net.xml +++ b/data/daily/visual-basic-.net.xml @@ -3,6 +3,6 @@ GitHub Visual-basic-.net Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Visual-basic-.net Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/visual-basic-6.0.json b/data/daily/visual-basic-6.0.json index 7011253f71b4..f6930c976824 100644 --- a/data/daily/visual-basic-6.0.json +++ b/data/daily/visual-basic-6.0.json @@ -2,6 +2,6 @@ "title": "GitHub Visual-basic-6.0 Languages Daily Trending", "description": "Daily Trending of Visual-basic-6.0 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/visual-basic-6.0.xml b/data/daily/visual-basic-6.0.xml index 184dabb03172..099c20477ded 100644 --- a/data/daily/visual-basic-6.0.xml +++ b/data/daily/visual-basic-6.0.xml @@ -3,6 +3,6 @@ GitHub Visual-basic-6.0 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Visual-basic-6.0 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/volt.json b/data/daily/volt.json index ba90dea74839..6769a463268a 100644 --- a/data/daily/volt.json +++ b/data/daily/volt.json @@ -2,6 +2,6 @@ "title": "GitHub Volt Languages Daily Trending", "description": "Daily Trending of Volt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/volt.xml b/data/daily/volt.xml index 6c83cabec8da..09ef8e009183 100644 --- a/data/daily/volt.xml +++ b/data/daily/volt.xml @@ -3,6 +3,6 @@ GitHub Volt Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Volt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/vue.json b/data/daily/vue.json index 9ae5e0b09d6b..605212b99c11 100644 --- a/data/daily/vue.json +++ b/data/daily/vue.json @@ -2,473 +2,606 @@ "title": "GitHub Vue Languages Daily Trending", "description": "Daily Trending of Vue Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "imsyy/SPlayer", - "url": "https://github.com/imsyy/SPlayer", - "description": "🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player", + "title": "AutomaApp/automa", + "url": "https://github.com/AutomaApp/automa", + "description": "A browser extension for automating your browser by connecting blocks", "language": "Vue", "languageColor": "#41b883", - "stars": "2,497", - "forks": "498", - "addStars": "14", + "stars": "12,215", + "forks": "1,324", + "addStars": "95", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42232682?s=40&v=4", - "name": "imsyy", - "url": "https://github.com/imsyy" + "avatar": "https://avatars.githubusercontent.com/u/22908993?s=40&v=4", + "name": "Kholid060", + "url": "https://github.com/Kholid060" }, { - "avatar": "https://avatars.githubusercontent.com/u/58456995?s=40&v=4", - "name": "jcfun", - "url": "https://github.com/jcfun" + "avatar": "https://avatars.githubusercontent.com/u/9131496?s=40&v=4", + "name": "xkonglong", + "url": "https://github.com/xkonglong" }, { - "avatar": "https://avatars.githubusercontent.com/u/60092461?s=40&v=4", - "name": "king-zhangbn", - "url": "https://github.com/king-zhangbn" + "avatar": "https://avatars.githubusercontent.com/u/44022526?s=40&v=4", + "name": "Siykt", + "url": "https://github.com/Siykt" }, { - "avatar": "https://avatars.githubusercontent.com/u/15852717?s=40&v=4", - "name": "FrzMtrsprt", - "url": "https://github.com/FrzMtrsprt" + "avatar": "https://avatars.githubusercontent.com/u/7939342?s=40&v=4", + "name": "mzaini30", + "url": "https://github.com/mzaini30" } ] }, { - "title": "qier222/YesPlayMusic", - "url": "https://github.com/qier222/YesPlayMusic", - "description": "高颜值的第三方网易云播放器,支持 Windows / macOS / Linux", + "title": "unilei/aipan-netdisk-search", + "url": "https://github.com/unilei/aipan-netdisk-search", + "description": "本项目乃是基于 Vue 与 Nuxt.js 技术打造的网盘搜索项目,持续开源并保持维护更新。其旨在让人人皆可拥有属于自己的网盘搜索网站。强烈建议自行部署,向所有参与者致敬!Salute to all!", "language": "Vue", "languageColor": "#41b883", - "stars": "29,637", - "forks": "4,365", - "addStars": "10", + "stars": "1,446", + "forks": "567", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/68148142?s=40&v=4", - "name": "qier222", - "url": "https://github.com/qier222" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11072796?s=40&v=4", - "name": "hawtim", - "url": "https://github.com/hawtim" + "avatar": "https://avatars.githubusercontent.com/u/135422197?s=40&v=4", + "name": "unilei-github", + "url": "https://github.com/unilei-github" }, { - "avatar": "https://avatars.githubusercontent.com/u/28441561?s=40&v=4", - "name": "pan93412", - "url": "https://github.com/pan93412" + "avatar": "https://avatars.githubusercontent.com/u/29141012?s=40&v=4", + "name": "unilei", + "url": "https://github.com/unilei" }, { - "avatar": "https://avatars.githubusercontent.com/u/34763046?s=40&v=4", - "name": "memorydream", - "url": "https://github.com/memorydream" + "avatar": "https://avatars.githubusercontent.com/u/16953053?s=40&v=4", + "name": "alterem", + "url": "https://github.com/alterem" }, { - "avatar": "https://avatars.githubusercontent.com/u/16725418?s=40&v=4", - "name": "VidocqH", - "url": "https://github.com/VidocqH" + "avatar": "https://avatars.githubusercontent.com/u/31427850?s=40&v=4", + "name": "ImgBotApp", + "url": "https://github.com/ImgBotApp" } ] }, { - "title": "primefaces/primevue", - "url": "https://github.com/primefaces/primevue", - "description": "Next Generation Vue UI Component Library", + "title": "elk-zone/elk", + "url": "https://github.com/elk-zone/elk", + "description": "A nimble Mastodon web client", "language": "Vue", "languageColor": "#41b883", - "stars": "10,827", - "forks": "1,242", - "addStars": "125", + "stars": "5,520", + "forks": "566", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/32294991?s=40&v=4", - "name": "tugcekucukoglu", - "url": "https://github.com/tugcekucukoglu" + "avatar": "https://avatars.githubusercontent.com/u/6481596?s=40&v=4", + "name": "sxzz", + "url": "https://github.com/sxzz" }, { - "avatar": "https://avatars.githubusercontent.com/u/686247?s=40&v=4", - "name": "cagataycivici", - "url": "https://github.com/cagataycivici" + "avatar": "https://avatars.githubusercontent.com/u/11247099?s=40&v=4", + "name": "antfu", + "url": "https://github.com/antfu" }, { - "avatar": "https://avatars.githubusercontent.com/u/11868120?s=40&v=4", - "name": "mertsincan", - "url": "https://github.com/mertsincan" + "avatar": "https://avatars.githubusercontent.com/u/583075?s=40&v=4", + "name": "patak-dev", + "url": "https://github.com/patak-dev" }, { - "avatar": "https://avatars.githubusercontent.com/u/45143524?s=40&v=4", - "name": "bahadirsofuoglu", - "url": "https://github.com/bahadirsofuoglu" + "avatar": "https://avatars.githubusercontent.com/u/28706372?s=40&v=4", + "name": "danielroe", + "url": "https://github.com/danielroe" }, { - "avatar": "https://avatars.githubusercontent.com/u/19997575?s=40&v=4", - "name": "yigitfindikli", - "url": "https://github.com/yigitfindikli" + "avatar": "https://avatars.githubusercontent.com/u/6311119?s=40&v=4", + "name": "userquin", + "url": "https://github.com/userquin" } ] }, { - "title": "donaldzou/WGDashboard", - "url": "https://github.com/donaldzou/WGDashboard", - "description": "Simple dashboard for WireGuard VPN written in Python & Vue.js", + "title": "CorentinTh/it-tools", + "url": "https://github.com/CorentinTh/it-tools", + "description": "Collection of handy online tools for developers, with great UX.", "language": "Vue", "languageColor": "#41b883", - "stars": "1,632", - "forks": "252", - "addStars": "4", + "stars": "23,151", + "forks": "2,803", + "addStars": "21", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25065347?s=40&v=4", + "name": "CorentinTh", + "url": "https://github.com/CorentinTh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8972203?s=40&v=4", + "name": "cgoIT", + "url": "https://github.com/cgoIT" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29117330?s=40&v=4", + "name": "sharevb", + "url": "https://github.com/sharevb" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33938500?s=40&v=4", + "name": "marvin-j97", + "url": "https://github.com/marvin-j97" + } + ] + }, + { + "title": "alireza0/s-ui", + "url": "https://github.com/alireza0/s-ui", + "description": "An advanced Web Panel • Built for SagerNet/Sing-Box", + "language": "Vue", + "languageColor": "#41b883", + "stars": "2,836", + "forks": "447", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25237201?s=40&v=4", - "name": "donaldzou", - "url": "https://github.com/donaldzou" + "avatar": "https://avatars.githubusercontent.com/u/12573084?s=40&v=4", + "name": "alireza0", + "url": "https://github.com/alireza0" }, { - "avatar": "https://avatars.githubusercontent.com/u/80752476?s=40&v=4", - "name": "DaanSelen", - "url": "https://github.com/DaanSelen" + "avatar": "https://avatars.githubusercontent.com/u/115543613?s=40&v=4", + "name": "shahin-io", + "url": "https://github.com/shahin-io" }, { - "avatar": "https://avatars.githubusercontent.com/u/9444877?s=40&v=4", - "name": "pgalonza", - "url": "https://github.com/pgalonza" + "avatar": "https://avatars.githubusercontent.com/u/124447749?s=40&v=4", + "name": "vuong2023", + "url": "https://github.com/vuong2023" }, { - "avatar": "https://avatars.githubusercontent.com/u/26854391?s=40&v=4", - "name": "NOXCIS", - "url": "https://github.com/NOXCIS" + "avatar": "https://avatars.githubusercontent.com/u/126459548?s=40&v=4", + "name": "jiulingyun", + "url": "https://github.com/jiulingyun" } ] }, { - "title": "pulsejet/memories", - "url": "https://github.com/pulsejet/memories", - "description": "Fast, modern and advanced photo management suite. Runs as a Nextcloud app.", + "title": "imsyy/SPlayer", + "url": "https://github.com/imsyy/SPlayer", + "description": "🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player", "language": "Vue", "languageColor": "#41b883", - "stars": "3,210", - "forks": "87", - "addStars": "2", + "stars": "2,498", + "forks": "498", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10709794?s=40&v=4", - "name": "pulsejet", - "url": "https://github.com/pulsejet" + "avatar": "https://avatars.githubusercontent.com/u/42232682?s=40&v=4", + "name": "imsyy", + "url": "https://github.com/imsyy" }, { - "avatar": "https://avatars.githubusercontent.com/u/20296731?s=40&v=4", - "name": "nextcloud-bot", - "url": "https://github.com/nextcloud-bot" + "avatar": "https://avatars.githubusercontent.com/u/58456995?s=40&v=4", + "name": "jcfun", + "url": "https://github.com/jcfun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60092461?s=40&v=4", + "name": "king-zhangbn", + "url": "https://github.com/king-zhangbn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15852717?s=40&v=4", + "name": "FrzMtrsprt", + "url": "https://github.com/FrzMtrsprt" + } + ] + }, + { + "title": "unovue/radix-vue", + "url": "https://github.com/unovue/radix-vue", + "description": "Vue port of Radix UI Primitives. An open-source UI component library for building high-quality, accessible design systems and web apps.", + "language": "Vue", + "languageColor": "#41b883", + "stars": "3,708", + "forks": "230", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/59365435?s=40&v=4", + "name": "zernonia", + "url": "https://github.com/zernonia" }, { - "avatar": "https://avatars.githubusercontent.com/u/5821894?s=40&v=4", - "name": "johnSamilin", - "url": "https://github.com/johnSamilin" + "avatar": "https://avatars.githubusercontent.com/u/101852870?s=40&v=4", + "name": "k11q", + "url": "https://github.com/k11q" }, { - "avatar": "https://avatars.githubusercontent.com/u/12983673?s=40&v=4", - "name": "RaymondHuang210129", - "url": "https://github.com/RaymondHuang210129" + "avatar": "https://avatars.githubusercontent.com/u/17836403?s=40&v=4", + "name": "epr3", + "url": "https://github.com/epr3" }, { - "avatar": "https://avatars.githubusercontent.com/u/7348083?s=40&v=4", - "name": "fz72", - "url": "https://github.com/fz72" + "avatar": "https://avatars.githubusercontent.com/u/51422045?s=40&v=4", + "name": "MellKam", + "url": "https://github.com/MellKam" } ] }, { - "title": "TeamPiped/Piped", - "url": "https://github.com/TeamPiped/Piped", - "description": "An alternative privacy-friendly YouTube frontend which is efficient by design.", + "title": "OpenCSGs/csghub", + "url": "https://github.com/OpenCSGs/csghub", + "description": "CSGHub is an open-source large model platform just like on-premise version of Hugging Face. You can easily manage models and datasets, deploy model applications and setup model finetune or inference jobs with user interface. CSGHub also provides Python SDK with full compatibility of hf sdk. Join us together to build a safer and more open platform⭐️", "language": "Vue", "languageColor": "#41b883", - "stars": "8,482", - "forks": "699", + "stars": "3,042", + "forks": "468", "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20838718?s=40&v=4", - "name": "FireMasterK", - "url": "https://github.com/FireMasterK" + "avatar": "https://avatars.githubusercontent.com/u/396166?s=40&v=4", + "name": "zhendi", + "url": "https://github.com/zhendi" }, { - "avatar": "https://avatars.githubusercontent.com/u/82752168?s=40&v=4", - "name": "Bnyro", - "url": "https://github.com/Bnyro" + "avatar": "https://avatars.githubusercontent.com/u/3827780?s=40&v=4", + "name": "hiveer", + "url": "https://github.com/hiveer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20787699?s=40&v=4", + "name": "youngbeom-shin", + "url": "https://github.com/youngbeom-shin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38434877?s=40&v=4", + "name": "pulltheflower", + "url": "https://github.com/pulltheflower" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43563618?s=40&v=4", + "name": "wayneliu0019", + "url": "https://github.com/wayneliu0019" } ] }, { - "title": "ccbikai/Sink", - "url": "https://github.com/ccbikai/Sink", - "description": "⚡ A Simple / Speedy / Secure Link Shortener with Analytics, 100% run on Cloudflare.", + "title": "nuxt/ui", + "url": "https://github.com/nuxt/ui", + "description": "A UI Library for Modern Web Apps, powered by Vue & Tailwind CSS.", "language": "Vue", "languageColor": "#41b883", - "stars": "3,000", - "forks": "1,603", - "addStars": "12", + "stars": "4,125", + "forks": "544", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2959393?s=40&v=4", - "name": "ccbikai", - "url": "https://github.com/ccbikai" + "avatar": "https://avatars.githubusercontent.com/u/739984?s=40&v=4", + "name": "benjamincanac", + "url": "https://github.com/benjamincanac" }, { - "avatar": "https://avatars.githubusercontent.com/u/21162238?s=40&v=4", - "name": "QuentinHsu", - "url": "https://github.com/QuentinHsu" + "avatar": "https://avatars.githubusercontent.com/u/7547335?s=40&v=4", + "name": "smarroufin", + "url": "https://github.com/smarroufin" }, { - "avatar": "https://avatars.githubusercontent.com/u/9060623?s=40&v=4", - "name": "dr-data", - "url": "https://github.com/dr-data" + "avatar": "https://avatars.githubusercontent.com/u/904724?s=40&v=4", + "name": "atinux", + "url": "https://github.com/atinux" }, { - "avatar": "https://avatars.githubusercontent.com/u/11242146?s=40&v=4", - "name": "zhuzhuyule", - "url": "https://github.com/zhuzhuyule" + "avatar": "https://avatars.githubusercontent.com/u/25613751?s=40&v=4", + "name": "romhml", + "url": "https://github.com/romhml" + } + ] + }, + { + "title": "hslr-s/sun-panel", + "url": "https://github.com/hslr-s/sun-panel", + "description": "A server, NAS navigation panel, Homepage, browser homepage. | 一个服务器、NAS导航面板、Homepage、浏览器首页。", + "language": "Vue", + "languageColor": "#41b883", + "stars": "2,952", + "forks": "314", + "addStars": "11", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/38825747?s=40&v=4", + "name": "hslr-s", + "url": "https://github.com/hslr-s" }, { - "avatar": "https://avatars.githubusercontent.com/u/40444637?s=40&v=4", - "name": "ZL-Asica", - "url": "https://github.com/ZL-Asica" + "avatar": "https://avatars.githubusercontent.com/u/104249142?s=40&v=4", + "name": "keyboardreamer", + "url": "https://github.com/keyboardreamer" } ] }, { - "title": "un-pany/v3-admin-vite", - "url": "https://github.com/un-pany/v3-admin-vite", - "description": "☀️ A vue3 admin template | vue3 admin/element plus admin/vite admin/vue3 template/vue3 后台/vue3 模板/vue3 后台管理系统", + "title": "imsyy/home", + "url": "https://github.com/imsyy/home", + "description": "个人主页,我的个人主页,个人主页源码,主页模板,homepage", "language": "Vue", "languageColor": "#41b883", - "stars": "5,332", - "forks": "901", - "addStars": "2", + "stars": "3,489", + "forks": "2,320", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/49087880?s=40&v=4", - "name": "pany-ang", - "url": "https://github.com/pany-ang" + "avatar": "https://avatars.githubusercontent.com/u/42232682?s=40&v=4", + "name": "imsyy", + "url": "https://github.com/imsyy" }, { - "avatar": "https://avatars.githubusercontent.com/u/50657815?s=40&v=4", - "name": "heavenly-zy", - "url": "https://github.com/heavenly-zy" + "avatar": "https://avatars.githubusercontent.com/u/35484849?s=40&v=4", + "name": "first19326", + "url": "https://github.com/first19326" }, { - "avatar": "https://avatars.githubusercontent.com/u/82024018?s=40&v=4", - "name": "QC2168", - "url": "https://github.com/QC2168" + "avatar": "https://avatars.githubusercontent.com/u/45293856?s=40&v=4", + "name": "sumingyd", + "url": "https://github.com/sumingyd" }, { - "avatar": "https://avatars.githubusercontent.com/u/27353058?s=40&v=4", - "name": "HavocZhang", - "url": "https://github.com/HavocZhang" + "avatar": "https://avatars.githubusercontent.com/u/42781101?s=40&v=4", + "name": "OrzMiku", + "url": "https://github.com/OrzMiku" }, { - "avatar": "https://avatars.githubusercontent.com/u/39450947?s=40&v=4", - "name": "imaginarykhy", - "url": "https://github.com/imaginarykhy" + "avatar": "https://avatars.githubusercontent.com/u/54445994?s=40&v=4", + "name": "Waynenet", + "url": "https://github.com/Waynenet" } ] }, { - "title": "crmeb/CRMEB", - "url": "https://github.com/crmeb/CRMEB", - "description": "🔥CRMEB开源商城免费开源多语言商城系统,Tp6框架商城,系统可商用;包含小程序商城、H5商城、公众号商城、PC商城、App,支持分销、拼团、砍价、秒杀、优惠券、积分、会员等级、小程序直播、页面DIY,前后端分离,方便二开,更有详细使用文档、接口文档、数据字典、二开文档/视频教程,欢迎大家提出宝贵意见和建议", + "title": "primefaces/primevue", + "url": "https://github.com/primefaces/primevue", + "description": "Next Generation Vue UI Component Library", "language": "Vue", "languageColor": "#41b883", - "stars": "6,107", - "forks": "1,517", - "addStars": "11", + "stars": "10,830", + "forks": "1,241", + "addStars": "125", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28684103?s=40&v=4", - "name": "FeiLiao-9", - "url": "https://github.com/FeiLiao-9" + "avatar": "https://avatars.githubusercontent.com/u/32294991?s=40&v=4", + "name": "tugcekucukoglu", + "url": "https://github.com/tugcekucukoglu" }, { - "avatar": "https://avatars.githubusercontent.com/u/30063241?s=40&v=4", - "name": "evoxwht", - "url": "https://github.com/evoxwht" + "avatar": "https://avatars.githubusercontent.com/u/686247?s=40&v=4", + "name": "cagataycivici", + "url": "https://github.com/cagataycivici" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11868120?s=40&v=4", + "name": "mertsincan", + "url": "https://github.com/mertsincan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45143524?s=40&v=4", + "name": "bahadirsofuoglu", + "url": "https://github.com/bahadirsofuoglu" }, { - "avatar": "https://avatars.githubusercontent.com/u/14051877?s=40&v=4", - "name": "sugar1569", - "url": "https://github.com/sugar1569" + "avatar": "https://avatars.githubusercontent.com/u/19997575?s=40&v=4", + "name": "yigitfindikli", + "url": "https://github.com/yigitfindikli" } ] }, { - "title": "freqtrade/frequi", - "url": "https://github.com/freqtrade/frequi", - "description": "Freqtrade UI - Frontend for Freqtrade", + "title": "mainsail-crew/mainsail", + "url": "https://github.com/mainsail-crew/mainsail", + "description": "Mainsail is the popular web interface for managing and controlling 3D printers with Klipper.", "language": "Vue", "languageColor": "#41b883", - "stars": "613", - "forks": "274", - "addStars": "3", + "stars": "1,735", + "forks": "376", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5024695?s=40&v=4", - "name": "xmatthias", - "url": "https://github.com/xmatthias" + "avatar": "https://avatars.githubusercontent.com/u/8167632?s=40&v=4", + "name": "meteyou", + "url": "https://github.com/meteyou" }, { - "avatar": "https://avatars.githubusercontent.com/u/2643583?s=40&v=4", - "name": "gaugau3000", - "url": "https://github.com/gaugau3000" + "avatar": "https://avatars.githubusercontent.com/u/31533186?s=40&v=4", + "name": "dw-0", + "url": "https://github.com/dw-0" }, { - "avatar": "https://avatars.githubusercontent.com/u/29057302?s=40&v=4", - "name": "pAulseperformance", - "url": "https://github.com/pAulseperformance" + "avatar": "https://avatars.githubusercontent.com/u/5220632?s=40&v=4", + "name": "freasy", + "url": "https://github.com/freasy" }, { - "avatar": "https://avatars.githubusercontent.com/u/11251134?s=40&v=4", - "name": "xxRockOnxx", - "url": "https://github.com/xxRockOnxx" + "avatar": "https://avatars.githubusercontent.com/u/3403851?s=40&v=4", + "name": "pataar", + "url": "https://github.com/pataar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5130466?s=40&v=4", + "name": "lixxbox", + "url": "https://github.com/lixxbox" } ] }, { - "title": "ElemeFE/element", - "url": "https://github.com/ElemeFE/element", - "description": "A Vue.js 2.0 UI Toolkit for Web", + "title": "ccbikai/Sink", + "url": "https://github.com/ccbikai/Sink", + "description": "⚡ A Simple / Speedy / Secure Link Shortener with Analytics, 100% run on Cloudflare.", "language": "Vue", "languageColor": "#41b883", - "stars": "54,126", - "forks": "14,639", - "addStars": "0", + "stars": "3,002", + "forks": "1,609", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10095631?s=40&v=4", - "name": "Leopoldthecoder", - "url": "https://github.com/Leopoldthecoder" + "avatar": "https://avatars.githubusercontent.com/u/2959393?s=40&v=4", + "name": "ccbikai", + "url": "https://github.com/ccbikai" }, { - "avatar": "https://avatars.githubusercontent.com/u/3655403?s=40&v=4", - "name": "baiyaaaaa", - "url": "https://github.com/baiyaaaaa" + "avatar": "https://avatars.githubusercontent.com/u/21162238?s=40&v=4", + "name": "QuentinHsu", + "url": "https://github.com/QuentinHsu" }, { - "avatar": "https://avatars.githubusercontent.com/u/7565692?s=40&v=4", - "name": "QingWei-Li", - "url": "https://github.com/QingWei-Li" + "avatar": "https://avatars.githubusercontent.com/u/9060623?s=40&v=4", + "name": "dr-data", + "url": "https://github.com/dr-data" }, { - "avatar": "https://avatars.githubusercontent.com/u/12947422?s=40&v=4", - "name": "ziyoung", - "url": "https://github.com/ziyoung" + "avatar": "https://avatars.githubusercontent.com/u/11242146?s=40&v=4", + "name": "zhuzhuyule", + "url": "https://github.com/zhuzhuyule" }, { - "avatar": "https://avatars.githubusercontent.com/u/1268572?s=40&v=4", - "name": "furybean", - "url": "https://github.com/furybean" + "avatar": "https://avatars.githubusercontent.com/u/40444637?s=40&v=4", + "name": "ZL-Asica", + "url": "https://github.com/ZL-Asica" } ] }, { - "title": "serversideup/financial-freedom", - "url": "https://github.com/serversideup/financial-freedom", - "description": "🔥🔥🔥 An open source alternative to Mint, YNAB, and more. Stay on budget and build wealth without sacrificing your privacy.", + "title": "JustArchiNET/ASF-ui", + "url": "https://github.com/JustArchiNET/ASF-ui", + "description": "The official web interface for ASF", "language": "Vue", "languageColor": "#41b883", - "stars": "1,957", - "forks": "151", + "stars": "271", + "forks": "38", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3174134?s=40&v=4", - "name": "jaydrogers", - "url": "https://github.com/jaydrogers" + "avatar": "https://avatars.githubusercontent.com/u/31552675?s=40&v=4", + "name": "MrBurrBurr", + "url": "https://github.com/MrBurrBurr" }, { - "avatar": "https://avatars.githubusercontent.com/u/2365103?s=40&v=4", - "name": "danpastori", - "url": "https://github.com/danpastori" + "avatar": "https://avatars.githubusercontent.com/u/25180681?s=40&v=4", + "name": "renovate-bot", + "url": "https://github.com/renovate-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/4140247?s=40&v=4", - "name": "luzpaz", - "url": "https://github.com/luzpaz" + "avatar": "https://avatars.githubusercontent.com/u/11166786?s=40&v=4", + "name": "Aareksio", + "url": "https://github.com/Aareksio" } ] }, { - "title": "RikkaApps/websites", - "url": "https://github.com/RikkaApps/websites", - "description": "Websites for Rikka apps.", + "title": "bastienwirtz/homer", + "url": "https://github.com/bastienwirtz/homer", + "description": "A very simple static homepage for your server.", "language": "Vue", "languageColor": "#41b883", - "stars": "156", - "forks": "2,839", - "addStars": "0", + "stars": "9,354", + "forks": "788", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12999176?s=40&v=4", - "name": "RikkaW", - "url": "https://github.com/RikkaW" + "avatar": "https://avatars.githubusercontent.com/u/345559?s=40&v=4", + "name": "bastienwirtz", + "url": "https://github.com/bastienwirtz" }, { - "avatar": "https://avatars.githubusercontent.com/u/13175615?s=40&v=4", - "name": "wqk317", - "url": "https://github.com/wqk317" + "avatar": "https://avatars.githubusercontent.com/u/45321827?s=40&v=4", + "name": "robinschneider", + "url": "https://github.com/robinschneider" }, { - "avatar": "https://avatars.githubusercontent.com/u/7046617?s=40&v=4", - "name": "david082321", - "url": "https://github.com/david082321" + "avatar": "https://avatars.githubusercontent.com/u/4167222?s=40&v=4", + "name": "Roundaround", + "url": "https://github.com/Roundaround" }, { - "avatar": "https://avatars.githubusercontent.com/u/13131972?s=40&v=4", - "name": "haruue", - "url": "https://github.com/haruue" + "avatar": "https://avatars.githubusercontent.com/u/615268?s=40&v=4", + "name": "luixal", + "url": "https://github.com/luixal" + } + ] + }, + { + "title": "qier222/YesPlayMusic", + "url": "https://github.com/qier222/YesPlayMusic", + "description": "高颜值的第三方网易云播放器,支持 Windows / macOS / Linux", + "language": "Vue", + "languageColor": "#41b883", + "stars": "29,642", + "forks": "4,365", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/68148142?s=40&v=4", + "name": "qier222", + "url": "https://github.com/qier222" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11072796?s=40&v=4", + "name": "hawtim", + "url": "https://github.com/hawtim" }, { - "avatar": "https://avatars.githubusercontent.com/u/6576096?s=40&v=4", - "name": "ghostbear", - "url": "https://github.com/ghostbear" + "avatar": "https://avatars.githubusercontent.com/u/28441561?s=40&v=4", + "name": "pan93412", + "url": "https://github.com/pan93412" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34763046?s=40&v=4", + "name": "memorydream", + "url": "https://github.com/memorydream" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16725418?s=40&v=4", + "name": "VidocqH", + "url": "https://github.com/VidocqH" } ] }, { - "title": "mainsail-crew/mainsail", - "url": "https://github.com/mainsail-crew/mainsail", - "description": "Mainsail is the popular web interface for managing and controlling 3D printers with Klipper.", + "title": "ccfddl/ccf-deadlines", + "url": "https://github.com/ccfddl/ccf-deadlines", + "description": "⏰ Collaboratively track deadlines of conferences recommended by CCF (Website, Python Cli, Wechat Applet) / If you find it useful, please star this project, thanks~", "language": "Vue", "languageColor": "#41b883", - "stars": "1,734", - "forks": "376", - "addStars": "4", + "stars": "6,413", + "forks": "443", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8167632?s=40&v=4", - "name": "meteyou", - "url": "https://github.com/meteyou" + "avatar": "https://avatars.githubusercontent.com/u/20631913?s=40&v=4", + "name": "jacklightChen", + "url": "https://github.com/jacklightChen" }, { - "avatar": "https://avatars.githubusercontent.com/u/31533186?s=40&v=4", - "name": "dw-0", - "url": "https://github.com/dw-0" + "avatar": "https://avatars.githubusercontent.com/u/38984189?s=40&v=4", + "name": "Lukangkang123", + "url": "https://github.com/Lukangkang123" }, { - "avatar": "https://avatars.githubusercontent.com/u/5220632?s=40&v=4", - "name": "freasy", - "url": "https://github.com/freasy" + "avatar": "https://avatars.githubusercontent.com/u/17794938?s=40&v=4", + "name": "0x4f5da2", + "url": "https://github.com/0x4f5da2" }, { - "avatar": "https://avatars.githubusercontent.com/u/3403851?s=40&v=4", - "name": "pataar", - "url": "https://github.com/pataar" + "avatar": "https://avatars.githubusercontent.com/u/30968818?s=40&v=4", + "name": "dodoxxb", + "url": "https://github.com/dodoxxb" }, { - "avatar": "https://avatars.githubusercontent.com/u/5130466?s=40&v=4", - "name": "lixxbox", - "url": "https://github.com/lixxbox" + "avatar": "https://avatars.githubusercontent.com/u/26403072?s=40&v=4", + "name": "Allenpandas", + "url": "https://github.com/Allenpandas" } ] } diff --git a/data/daily/vue.xml b/data/daily/vue.xml index d2ef3b59fe32..4b9d7c662b10 100644 --- a/data/daily/vue.xml +++ b/data/daily/vue.xml @@ -3,486 +3,623 @@ GitHub Vue Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vue Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - imsyy/SPlayer - https://github.com/imsyy/SPlayer - 🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player - https://github.com/imsyy/SPlayer + AutomaApp/automa + https://github.com/AutomaApp/automa + A browser extension for automating your browser by connecting blocks + https://github.com/AutomaApp/automa Vue #41b883 - 2,497 - 498 - 14 + 12,215 + 1,324 + 95 - https://avatars.githubusercontent.com/u/42232682?s=40&v=4 - imsyy - https://github.com/imsyy + https://avatars.githubusercontent.com/u/22908993?s=40&v=4 + Kholid060 + https://github.com/Kholid060 - https://avatars.githubusercontent.com/u/58456995?s=40&v=4 - jcfun - https://github.com/jcfun + https://avatars.githubusercontent.com/u/9131496?s=40&v=4 + xkonglong + https://github.com/xkonglong - https://avatars.githubusercontent.com/u/60092461?s=40&v=4 - king-zhangbn - https://github.com/king-zhangbn + https://avatars.githubusercontent.com/u/44022526?s=40&v=4 + Siykt + https://github.com/Siykt - https://avatars.githubusercontent.com/u/15852717?s=40&v=4 - FrzMtrsprt - https://github.com/FrzMtrsprt + https://avatars.githubusercontent.com/u/7939342?s=40&v=4 + mzaini30 + https://github.com/mzaini30 - qier222/YesPlayMusic - https://github.com/qier222/YesPlayMusic - 高颜值的第三方网易云播放器,支持 Windows / macOS / Linux - https://github.com/qier222/YesPlayMusic + unilei/aipan-netdisk-search + https://github.com/unilei/aipan-netdisk-search + 本项目乃是基于 Vue 与 Nuxt.js 技术打造的网盘搜索项目,持续开源并保持维护更新。其旨在让人人皆可拥有属于自己的网盘搜索网站。强烈建议自行部署,向所有参与者致敬!Salute to all! + https://github.com/unilei/aipan-netdisk-search Vue #41b883 - 29,637 - 4,365 - 10 + 1,446 + 567 + 22 - https://avatars.githubusercontent.com/u/68148142?s=40&v=4 - qier222 - https://github.com/qier222 + https://avatars.githubusercontent.com/u/135422197?s=40&v=4 + unilei-github + https://github.com/unilei-github - https://avatars.githubusercontent.com/u/11072796?s=40&v=4 - hawtim - https://github.com/hawtim + https://avatars.githubusercontent.com/u/29141012?s=40&v=4 + unilei + https://github.com/unilei - https://avatars.githubusercontent.com/u/28441561?s=40&v=4 - pan93412 - https://github.com/pan93412 + https://avatars.githubusercontent.com/u/16953053?s=40&v=4 + alterem + https://github.com/alterem - https://avatars.githubusercontent.com/u/34763046?s=40&v=4 - memorydream - https://github.com/memorydream - - - https://avatars.githubusercontent.com/u/16725418?s=40&v=4 - VidocqH - https://github.com/VidocqH + https://avatars.githubusercontent.com/u/31427850?s=40&v=4 + ImgBotApp + https://github.com/ImgBotApp - primefaces/primevue - https://github.com/primefaces/primevue - Next Generation Vue UI Component Library - https://github.com/primefaces/primevue + elk-zone/elk + https://github.com/elk-zone/elk + A nimble Mastodon web client + https://github.com/elk-zone/elk Vue #41b883 - 10,827 - 1,242 - 125 + 5,520 + 566 + 3 - https://avatars.githubusercontent.com/u/32294991?s=40&v=4 - tugcekucukoglu - https://github.com/tugcekucukoglu + https://avatars.githubusercontent.com/u/6481596?s=40&v=4 + sxzz + https://github.com/sxzz - https://avatars.githubusercontent.com/u/686247?s=40&v=4 - cagataycivici - https://github.com/cagataycivici + https://avatars.githubusercontent.com/u/11247099?s=40&v=4 + antfu + https://github.com/antfu - https://avatars.githubusercontent.com/u/11868120?s=40&v=4 - mertsincan - https://github.com/mertsincan + https://avatars.githubusercontent.com/u/583075?s=40&v=4 + patak-dev + https://github.com/patak-dev - https://avatars.githubusercontent.com/u/45143524?s=40&v=4 - bahadirsofuoglu - https://github.com/bahadirsofuoglu + https://avatars.githubusercontent.com/u/28706372?s=40&v=4 + danielroe + https://github.com/danielroe - https://avatars.githubusercontent.com/u/19997575?s=40&v=4 - yigitfindikli - https://github.com/yigitfindikli + https://avatars.githubusercontent.com/u/6311119?s=40&v=4 + userquin + https://github.com/userquin - donaldzou/WGDashboard - https://github.com/donaldzou/WGDashboard - Simple dashboard for WireGuard VPN written in Python & Vue.js - https://github.com/donaldzou/WGDashboard + CorentinTh/it-tools + https://github.com/CorentinTh/it-tools + Collection of handy online tools for developers, with great UX. + https://github.com/CorentinTh/it-tools Vue #41b883 - 1,632 - 252 - 4 + 23,151 + 2,803 + 21 - https://avatars.githubusercontent.com/u/25237201?s=40&v=4 - donaldzou - https://github.com/donaldzou + https://avatars.githubusercontent.com/u/25065347?s=40&v=4 + CorentinTh + https://github.com/CorentinTh - https://avatars.githubusercontent.com/u/80752476?s=40&v=4 - DaanSelen - https://github.com/DaanSelen + https://avatars.githubusercontent.com/u/8972203?s=40&v=4 + cgoIT + https://github.com/cgoIT - https://avatars.githubusercontent.com/u/9444877?s=40&v=4 - pgalonza - https://github.com/pgalonza + https://avatars.githubusercontent.com/u/29117330?s=40&v=4 + sharevb + https://github.com/sharevb - https://avatars.githubusercontent.com/u/26854391?s=40&v=4 - NOXCIS - https://github.com/NOXCIS + https://avatars.githubusercontent.com/u/33938500?s=40&v=4 + marvin-j97 + https://github.com/marvin-j97 - pulsejet/memories - https://github.com/pulsejet/memories - Fast, modern and advanced photo management suite. Runs as a Nextcloud app. - https://github.com/pulsejet/memories + alireza0/s-ui + https://github.com/alireza0/s-ui + An advanced Web Panel • Built for SagerNet/Sing-Box + https://github.com/alireza0/s-ui Vue #41b883 - 3,210 - 87 - 2 + 2,836 + 447 + 5 - https://avatars.githubusercontent.com/u/10709794?s=40&v=4 - pulsejet - https://github.com/pulsejet + https://avatars.githubusercontent.com/u/12573084?s=40&v=4 + alireza0 + https://github.com/alireza0 - https://avatars.githubusercontent.com/u/20296731?s=40&v=4 - nextcloud-bot - https://github.com/nextcloud-bot + https://avatars.githubusercontent.com/u/115543613?s=40&v=4 + shahin-io + https://github.com/shahin-io - https://avatars.githubusercontent.com/u/5821894?s=40&v=4 - johnSamilin - https://github.com/johnSamilin + https://avatars.githubusercontent.com/u/124447749?s=40&v=4 + vuong2023 + https://github.com/vuong2023 - https://avatars.githubusercontent.com/u/12983673?s=40&v=4 - RaymondHuang210129 - https://github.com/RaymondHuang210129 + https://avatars.githubusercontent.com/u/126459548?s=40&v=4 + jiulingyun + https://github.com/jiulingyun + + + + + imsyy/SPlayer + https://github.com/imsyy/SPlayer + 🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player + https://github.com/imsyy/SPlayer + Vue + #41b883 + 2,498 + 498 + 14 + + + https://avatars.githubusercontent.com/u/42232682?s=40&v=4 + imsyy + https://github.com/imsyy + + + https://avatars.githubusercontent.com/u/58456995?s=40&v=4 + jcfun + https://github.com/jcfun + + + https://avatars.githubusercontent.com/u/60092461?s=40&v=4 + king-zhangbn + https://github.com/king-zhangbn + + + https://avatars.githubusercontent.com/u/15852717?s=40&v=4 + FrzMtrsprt + https://github.com/FrzMtrsprt + + + + + unovue/radix-vue + https://github.com/unovue/radix-vue + Vue port of Radix UI Primitives. An open-source UI component library for building high-quality, accessible design systems and web apps. + https://github.com/unovue/radix-vue + Vue + #41b883 + 3,708 + 230 + 9 + + + https://avatars.githubusercontent.com/u/59365435?s=40&v=4 + zernonia + https://github.com/zernonia - https://avatars.githubusercontent.com/u/7348083?s=40&v=4 - fz72 - https://github.com/fz72 + https://avatars.githubusercontent.com/u/101852870?s=40&v=4 + k11q + https://github.com/k11q + + + https://avatars.githubusercontent.com/u/17836403?s=40&v=4 + epr3 + https://github.com/epr3 + + + https://avatars.githubusercontent.com/u/51422045?s=40&v=4 + MellKam + https://github.com/MellKam - TeamPiped/Piped - https://github.com/TeamPiped/Piped - An alternative privacy-friendly YouTube frontend which is efficient by design. - https://github.com/TeamPiped/Piped + OpenCSGs/csghub + https://github.com/OpenCSGs/csghub + CSGHub is an open-source large model platform just like on-premise version of Hugging Face. You can easily manage models and datasets, deploy model applications and setup model finetune or inference jobs with user interface. CSGHub also provides Python SDK with full compatibility of hf sdk. Join us together to build a safer and more open platform⭐️ + https://github.com/OpenCSGs/csghub Vue #41b883 - 8,482 - 699 + 3,042 + 468 3 - https://avatars.githubusercontent.com/u/20838718?s=40&v=4 - FireMasterK - https://github.com/FireMasterK + https://avatars.githubusercontent.com/u/396166?s=40&v=4 + zhendi + https://github.com/zhendi - https://avatars.githubusercontent.com/u/82752168?s=40&v=4 - Bnyro - https://github.com/Bnyro + https://avatars.githubusercontent.com/u/3827780?s=40&v=4 + hiveer + https://github.com/hiveer + + + https://avatars.githubusercontent.com/u/20787699?s=40&v=4 + youngbeom-shin + https://github.com/youngbeom-shin + + + https://avatars.githubusercontent.com/u/38434877?s=40&v=4 + pulltheflower + https://github.com/pulltheflower + + + https://avatars.githubusercontent.com/u/43563618?s=40&v=4 + wayneliu0019 + https://github.com/wayneliu0019 - ccbikai/Sink - https://github.com/ccbikai/Sink - ⚡ A Simple / Speedy / Secure Link Shortener with Analytics, 100% run on Cloudflare. - https://github.com/ccbikai/Sink + nuxt/ui + https://github.com/nuxt/ui + A UI Library for Modern Web Apps, powered by Vue & Tailwind CSS. + https://github.com/nuxt/ui Vue #41b883 - 3,000 - 1,603 - 12 + 4,125 + 544 + 8 - https://avatars.githubusercontent.com/u/2959393?s=40&v=4 - ccbikai - https://github.com/ccbikai + https://avatars.githubusercontent.com/u/739984?s=40&v=4 + benjamincanac + https://github.com/benjamincanac - https://avatars.githubusercontent.com/u/21162238?s=40&v=4 - QuentinHsu - https://github.com/QuentinHsu + https://avatars.githubusercontent.com/u/7547335?s=40&v=4 + smarroufin + https://github.com/smarroufin - https://avatars.githubusercontent.com/u/9060623?s=40&v=4 - dr-data - https://github.com/dr-data + https://avatars.githubusercontent.com/u/904724?s=40&v=4 + atinux + https://github.com/atinux - https://avatars.githubusercontent.com/u/11242146?s=40&v=4 - zhuzhuyule - https://github.com/zhuzhuyule + https://avatars.githubusercontent.com/u/25613751?s=40&v=4 + romhml + https://github.com/romhml + + + + hslr-s/sun-panel + https://github.com/hslr-s/sun-panel + A server, NAS navigation panel, Homepage, browser homepage. | 一个服务器、NAS导航面板、Homepage、浏览器首页。 + https://github.com/hslr-s/sun-panel + Vue + #41b883 + 2,952 + 314 + 11 + - https://avatars.githubusercontent.com/u/40444637?s=40&v=4 - ZL-Asica - https://github.com/ZL-Asica + https://avatars.githubusercontent.com/u/38825747?s=40&v=4 + hslr-s + https://github.com/hslr-s + + + https://avatars.githubusercontent.com/u/104249142?s=40&v=4 + keyboardreamer + https://github.com/keyboardreamer - un-pany/v3-admin-vite - https://github.com/un-pany/v3-admin-vite - ☀️ A vue3 admin template | vue3 admin/element plus admin/vite admin/vue3 template/vue3 后台/vue3 模板/vue3 后台管理系统 - https://github.com/un-pany/v3-admin-vite + imsyy/home + https://github.com/imsyy/home + 个人主页,我的个人主页,个人主页源码,主页模板,homepage + https://github.com/imsyy/home Vue #41b883 - 5,332 - 901 - 2 + 3,489 + 2,320 + 6 - https://avatars.githubusercontent.com/u/49087880?s=40&v=4 - pany-ang - https://github.com/pany-ang + https://avatars.githubusercontent.com/u/42232682?s=40&v=4 + imsyy + https://github.com/imsyy - https://avatars.githubusercontent.com/u/50657815?s=40&v=4 - heavenly-zy - https://github.com/heavenly-zy + https://avatars.githubusercontent.com/u/35484849?s=40&v=4 + first19326 + https://github.com/first19326 - https://avatars.githubusercontent.com/u/82024018?s=40&v=4 - QC2168 - https://github.com/QC2168 + https://avatars.githubusercontent.com/u/45293856?s=40&v=4 + sumingyd + https://github.com/sumingyd - https://avatars.githubusercontent.com/u/27353058?s=40&v=4 - HavocZhang - https://github.com/HavocZhang + https://avatars.githubusercontent.com/u/42781101?s=40&v=4 + OrzMiku + https://github.com/OrzMiku - https://avatars.githubusercontent.com/u/39450947?s=40&v=4 - imaginarykhy - https://github.com/imaginarykhy + https://avatars.githubusercontent.com/u/54445994?s=40&v=4 + Waynenet + https://github.com/Waynenet - crmeb/CRMEB - https://github.com/crmeb/CRMEB - 🔥CRMEB开源商城免费开源多语言商城系统,Tp6框架商城,系统可商用;包含小程序商城、H5商城、公众号商城、PC商城、App,支持分销、拼团、砍价、秒杀、优惠券、积分、会员等级、小程序直播、页面DIY,前后端分离,方便二开,更有详细使用文档、接口文档、数据字典、二开文档/视频教程,欢迎大家提出宝贵意见和建议 - https://github.com/crmeb/CRMEB + primefaces/primevue + https://github.com/primefaces/primevue + Next Generation Vue UI Component Library + https://github.com/primefaces/primevue Vue #41b883 - 6,107 - 1,517 - 11 + 10,830 + 1,241 + 125 - https://avatars.githubusercontent.com/u/28684103?s=40&v=4 - FeiLiao-9 - https://github.com/FeiLiao-9 + https://avatars.githubusercontent.com/u/32294991?s=40&v=4 + tugcekucukoglu + https://github.com/tugcekucukoglu + + + https://avatars.githubusercontent.com/u/686247?s=40&v=4 + cagataycivici + https://github.com/cagataycivici - https://avatars.githubusercontent.com/u/30063241?s=40&v=4 - evoxwht - https://github.com/evoxwht + https://avatars.githubusercontent.com/u/11868120?s=40&v=4 + mertsincan + https://github.com/mertsincan - https://avatars.githubusercontent.com/u/14051877?s=40&v=4 - sugar1569 - https://github.com/sugar1569 + https://avatars.githubusercontent.com/u/45143524?s=40&v=4 + bahadirsofuoglu + https://github.com/bahadirsofuoglu + + + https://avatars.githubusercontent.com/u/19997575?s=40&v=4 + yigitfindikli + https://github.com/yigitfindikli - freqtrade/frequi - https://github.com/freqtrade/frequi - Freqtrade UI - Frontend for Freqtrade - https://github.com/freqtrade/frequi + mainsail-crew/mainsail + https://github.com/mainsail-crew/mainsail + Mainsail is the popular web interface for managing and controlling 3D printers with Klipper. + https://github.com/mainsail-crew/mainsail Vue #41b883 - 613 - 274 - 3 + 1,735 + 376 + 4 - https://avatars.githubusercontent.com/u/5024695?s=40&v=4 - xmatthias - https://github.com/xmatthias + https://avatars.githubusercontent.com/u/8167632?s=40&v=4 + meteyou + https://github.com/meteyou - https://avatars.githubusercontent.com/u/2643583?s=40&v=4 - gaugau3000 - https://github.com/gaugau3000 + https://avatars.githubusercontent.com/u/31533186?s=40&v=4 + dw-0 + https://github.com/dw-0 + + + https://avatars.githubusercontent.com/u/5220632?s=40&v=4 + freasy + https://github.com/freasy - https://avatars.githubusercontent.com/u/29057302?s=40&v=4 - pAulseperformance - https://github.com/pAulseperformance + https://avatars.githubusercontent.com/u/3403851?s=40&v=4 + pataar + https://github.com/pataar - https://avatars.githubusercontent.com/u/11251134?s=40&v=4 - xxRockOnxx - https://github.com/xxRockOnxx + https://avatars.githubusercontent.com/u/5130466?s=40&v=4 + lixxbox + https://github.com/lixxbox - ElemeFE/element - https://github.com/ElemeFE/element - A Vue.js 2.0 UI Toolkit for Web - https://github.com/ElemeFE/element + ccbikai/Sink + https://github.com/ccbikai/Sink + ⚡ A Simple / Speedy / Secure Link Shortener with Analytics, 100% run on Cloudflare. + https://github.com/ccbikai/Sink Vue #41b883 - 54,126 - 14,639 - 0 + 3,002 + 1,609 + 12 - https://avatars.githubusercontent.com/u/10095631?s=40&v=4 - Leopoldthecoder - https://github.com/Leopoldthecoder + https://avatars.githubusercontent.com/u/2959393?s=40&v=4 + ccbikai + https://github.com/ccbikai - https://avatars.githubusercontent.com/u/3655403?s=40&v=4 - baiyaaaaa - https://github.com/baiyaaaaa + https://avatars.githubusercontent.com/u/21162238?s=40&v=4 + QuentinHsu + https://github.com/QuentinHsu - https://avatars.githubusercontent.com/u/7565692?s=40&v=4 - QingWei-Li - https://github.com/QingWei-Li + https://avatars.githubusercontent.com/u/9060623?s=40&v=4 + dr-data + https://github.com/dr-data - https://avatars.githubusercontent.com/u/12947422?s=40&v=4 - ziyoung - https://github.com/ziyoung + https://avatars.githubusercontent.com/u/11242146?s=40&v=4 + zhuzhuyule + https://github.com/zhuzhuyule - https://avatars.githubusercontent.com/u/1268572?s=40&v=4 - furybean - https://github.com/furybean + https://avatars.githubusercontent.com/u/40444637?s=40&v=4 + ZL-Asica + https://github.com/ZL-Asica - serversideup/financial-freedom - https://github.com/serversideup/financial-freedom - 🔥🔥🔥 An open source alternative to Mint, YNAB, and more. Stay on budget and build wealth without sacrificing your privacy. - https://github.com/serversideup/financial-freedom + JustArchiNET/ASF-ui + https://github.com/JustArchiNET/ASF-ui + The official web interface for ASF + https://github.com/JustArchiNET/ASF-ui Vue #41b883 - 1,957 - 151 + 271 + 38 0 - https://avatars.githubusercontent.com/u/3174134?s=40&v=4 - jaydrogers - https://github.com/jaydrogers + https://avatars.githubusercontent.com/u/31552675?s=40&v=4 + MrBurrBurr + https://github.com/MrBurrBurr - https://avatars.githubusercontent.com/u/2365103?s=40&v=4 - danpastori - https://github.com/danpastori + https://avatars.githubusercontent.com/u/25180681?s=40&v=4 + renovate-bot + https://github.com/renovate-bot - https://avatars.githubusercontent.com/u/4140247?s=40&v=4 - luzpaz - https://github.com/luzpaz + https://avatars.githubusercontent.com/u/11166786?s=40&v=4 + Aareksio + https://github.com/Aareksio - RikkaApps/websites - https://github.com/RikkaApps/websites - Websites for Rikka apps. - https://github.com/RikkaApps/websites + bastienwirtz/homer + https://github.com/bastienwirtz/homer + A very simple static homepage for your server. + https://github.com/bastienwirtz/homer Vue #41b883 - 156 - 2,839 - 0 + 9,354 + 788 + 3 + + + https://avatars.githubusercontent.com/u/345559?s=40&v=4 + bastienwirtz + https://github.com/bastienwirtz + + + https://avatars.githubusercontent.com/u/45321827?s=40&v=4 + robinschneider + https://github.com/robinschneider + + + https://avatars.githubusercontent.com/u/4167222?s=40&v=4 + Roundaround + https://github.com/Roundaround + + + https://avatars.githubusercontent.com/u/615268?s=40&v=4 + luixal + https://github.com/luixal + + + + + qier222/YesPlayMusic + https://github.com/qier222/YesPlayMusic + 高颜值的第三方网易云播放器,支持 Windows / macOS / Linux + https://github.com/qier222/YesPlayMusic + Vue + #41b883 + 29,642 + 4,365 + 10 - https://avatars.githubusercontent.com/u/12999176?s=40&v=4 - RikkaW - https://github.com/RikkaW + https://avatars.githubusercontent.com/u/68148142?s=40&v=4 + qier222 + https://github.com/qier222 - https://avatars.githubusercontent.com/u/13175615?s=40&v=4 - wqk317 - https://github.com/wqk317 + https://avatars.githubusercontent.com/u/11072796?s=40&v=4 + hawtim + https://github.com/hawtim - https://avatars.githubusercontent.com/u/7046617?s=40&v=4 - david082321 - https://github.com/david082321 + https://avatars.githubusercontent.com/u/28441561?s=40&v=4 + pan93412 + https://github.com/pan93412 - https://avatars.githubusercontent.com/u/13131972?s=40&v=4 - haruue - https://github.com/haruue + https://avatars.githubusercontent.com/u/34763046?s=40&v=4 + memorydream + https://github.com/memorydream - https://avatars.githubusercontent.com/u/6576096?s=40&v=4 - ghostbear - https://github.com/ghostbear + https://avatars.githubusercontent.com/u/16725418?s=40&v=4 + VidocqH + https://github.com/VidocqH - mainsail-crew/mainsail - https://github.com/mainsail-crew/mainsail - Mainsail is the popular web interface for managing and controlling 3D printers with Klipper. - https://github.com/mainsail-crew/mainsail + ccfddl/ccf-deadlines + https://github.com/ccfddl/ccf-deadlines + ⏰ Collaboratively track deadlines of conferences recommended by CCF (Website, Python Cli, Wechat Applet) / If you find it useful, please star this project, thanks~ + https://github.com/ccfddl/ccf-deadlines Vue #41b883 - 1,734 - 376 - 4 + 6,413 + 443 + 7 - https://avatars.githubusercontent.com/u/8167632?s=40&v=4 - meteyou - https://github.com/meteyou + https://avatars.githubusercontent.com/u/20631913?s=40&v=4 + jacklightChen + https://github.com/jacklightChen - https://avatars.githubusercontent.com/u/31533186?s=40&v=4 - dw-0 - https://github.com/dw-0 + https://avatars.githubusercontent.com/u/38984189?s=40&v=4 + Lukangkang123 + https://github.com/Lukangkang123 - https://avatars.githubusercontent.com/u/5220632?s=40&v=4 - freasy - https://github.com/freasy + https://avatars.githubusercontent.com/u/17794938?s=40&v=4 + 0x4f5da2 + https://github.com/0x4f5da2 - https://avatars.githubusercontent.com/u/3403851?s=40&v=4 - pataar - https://github.com/pataar + https://avatars.githubusercontent.com/u/30968818?s=40&v=4 + dodoxxb + https://github.com/dodoxxb - https://avatars.githubusercontent.com/u/5130466?s=40&v=4 - lixxbox - https://github.com/lixxbox + https://avatars.githubusercontent.com/u/26403072?s=40&v=4 + Allenpandas + https://github.com/Allenpandas diff --git a/data/daily/vyper.json b/data/daily/vyper.json index 7100b1a3f0c1..d86f5dd417b0 100644 --- a/data/daily/vyper.json +++ b/data/daily/vyper.json @@ -2,6 +2,6 @@ "title": "GitHub Vyper Languages Daily Trending", "description": "Daily Trending of Vyper Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/vyper.xml b/data/daily/vyper.xml index 4c7c2ab278c9..5d2d166c0bfd 100644 --- a/data/daily/vyper.xml +++ b/data/daily/vyper.xml @@ -3,6 +3,6 @@ GitHub Vyper Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Vyper Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wavefront-material.json b/data/daily/wavefront-material.json index 7f8f0dd62e70..f2b7e707138f 100644 --- a/data/daily/wavefront-material.json +++ b/data/daily/wavefront-material.json @@ -2,6 +2,6 @@ "title": "GitHub Wavefront-material Languages Daily Trending", "description": "Daily Trending of Wavefront-material Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/wavefront-material.xml b/data/daily/wavefront-material.xml index a36c042bce86..6a2429c58a4b 100644 --- a/data/daily/wavefront-material.xml +++ b/data/daily/wavefront-material.xml @@ -3,6 +3,6 @@ GitHub Wavefront-material Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wavefront-material Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wavefront-object.json b/data/daily/wavefront-object.json index 068a6b229f90..82e19d35317a 100644 --- a/data/daily/wavefront-object.json +++ b/data/daily/wavefront-object.json @@ -2,6 +2,6 @@ "title": "GitHub Wavefront-object Languages Daily Trending", "description": "Daily Trending of Wavefront-object Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/wavefront-object.xml b/data/daily/wavefront-object.xml index 8a96f40b7eda..14dd7d3952ba 100644 --- a/data/daily/wavefront-object.xml +++ b/data/daily/wavefront-object.xml @@ -3,6 +3,6 @@ GitHub Wavefront-object Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wavefront-object Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wdl.json b/data/daily/wdl.json index 4958f0c1a700..508377afcc38 100644 --- a/data/daily/wdl.json +++ b/data/daily/wdl.json @@ -2,7 +2,7 @@ "title": "GitHub Wdl Languages Daily Trending", "description": "Daily Trending of Wdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "broadinstitute/warp", diff --git a/data/daily/wdl.xml b/data/daily/wdl.xml index e51c551a60ea..575edd1c777c 100644 --- a/data/daily/wdl.xml +++ b/data/daily/wdl.xml @@ -3,7 +3,7 @@ GitHub Wdl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT broadinstitute/warp https://github.com/broadinstitute/warp diff --git a/data/daily/web-ontology-language.json b/data/daily/web-ontology-language.json index 060648b344f0..2d71fba736f3 100644 --- a/data/daily/web-ontology-language.json +++ b/data/daily/web-ontology-language.json @@ -2,6 +2,6 @@ "title": "GitHub Web-ontology-language Languages Daily Trending", "description": "Daily Trending of Web-ontology-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/web-ontology-language.xml b/data/daily/web-ontology-language.xml index 48c896a2c60a..3aeafcd821a3 100644 --- a/data/daily/web-ontology-language.xml +++ b/data/daily/web-ontology-language.xml @@ -3,6 +3,6 @@ GitHub Web-ontology-language Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Web-ontology-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/webassembly-interface-type.json b/data/daily/webassembly-interface-type.json index b9bf23b80f7e..c15b4614f997 100644 --- a/data/daily/webassembly-interface-type.json +++ b/data/daily/webassembly-interface-type.json @@ -2,6 +2,6 @@ "title": "GitHub Webassembly-interface-type Languages Daily Trending", "description": "Daily Trending of Webassembly-interface-type Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/webassembly-interface-type.xml b/data/daily/webassembly-interface-type.xml index 71228901bb27..859de24b6c16 100644 --- a/data/daily/webassembly-interface-type.xml +++ b/data/daily/webassembly-interface-type.xml @@ -3,6 +3,6 @@ GitHub Webassembly-interface-type Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Webassembly-interface-type Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/webassembly.json b/data/daily/webassembly.json index 63172eabe1a3..891b4d2770b3 100644 --- a/data/daily/webassembly.json +++ b/data/daily/webassembly.json @@ -2,7 +2,7 @@ "title": "GitHub Webassembly Languages Daily Trending", "description": "Daily Trending of Webassembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "iden3/circom", @@ -47,7 +47,7 @@ "description": "Optimizer and compiler/toolchain library for WebAssembly", "language": "WebAssembly", "languageColor": "#04133b", - "stars": "7,535", + "stars": "7,536", "forks": "745", "addStars": "2", "contributors": [ @@ -114,43 +114,6 @@ "url": "https://github.com/eloparco" } ] - }, - { - "title": "AssemblyScript/assemblyscript", - "url": "https://github.com/AssemblyScript/assemblyscript", - "description": "A TypeScript-like language for WebAssembly.", - "language": "WebAssembly", - "languageColor": "#04133b", - "stars": "16,959", - "forks": "664", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1136893?s=40&v=4", - "name": "dcodeIO", - "url": "https://github.com/dcodeIO" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1301959?s=40&v=4", - "name": "MaxGraey", - "url": "https://github.com/MaxGraey" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/77575210?s=40&v=4", - "name": "HerrCai0907", - "url": "https://github.com/HerrCai0907" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3761339?s=40&v=4", - "name": "jtenner", - "url": "https://github.com/jtenner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79281724?s=40&v=4", - "name": "CountBleck", - "url": "https://github.com/CountBleck" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/webassembly.xml b/data/daily/webassembly.xml index 61fceb81248e..7799da5ed361 100644 --- a/data/daily/webassembly.xml +++ b/data/daily/webassembly.xml @@ -3,7 +3,7 @@ GitHub Webassembly Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Webassembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT iden3/circom https://github.com/iden3/circom @@ -49,7 +49,7 @@ https://github.com/WebAssembly/binaryen WebAssembly #04133b - 7,535 + 7,536 745 2 @@ -118,43 +118,5 @@ - - AssemblyScript/assemblyscript - https://github.com/AssemblyScript/assemblyscript - A TypeScript-like language for WebAssembly. - https://github.com/AssemblyScript/assemblyscript - WebAssembly - #04133b - 16,959 - 664 - 2 - - - https://avatars.githubusercontent.com/u/1136893?s=40&v=4 - dcodeIO - https://github.com/dcodeIO - - - https://avatars.githubusercontent.com/u/1301959?s=40&v=4 - MaxGraey - https://github.com/MaxGraey - - - https://avatars.githubusercontent.com/u/77575210?s=40&v=4 - HerrCai0907 - https://github.com/HerrCai0907 - - - https://avatars.githubusercontent.com/u/3761339?s=40&v=4 - jtenner - https://github.com/jtenner - - - https://avatars.githubusercontent.com/u/79281724?s=40&v=4 - CountBleck - https://github.com/CountBleck - - - \ No newline at end of file diff --git a/data/daily/webidl.json b/data/daily/webidl.json index d464c4b42b25..9cb9614884a1 100644 --- a/data/daily/webidl.json +++ b/data/daily/webidl.json @@ -2,6 +2,6 @@ "title": "GitHub Webidl Languages Daily Trending", "description": "Daily Trending of Webidl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/webidl.xml b/data/daily/webidl.xml index 45ac6286a4cd..546c6a5a4e28 100644 --- a/data/daily/webidl.xml +++ b/data/daily/webidl.xml @@ -3,6 +3,6 @@ GitHub Webidl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Webidl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/webvtt.json b/data/daily/webvtt.json index a8b961a663d9..3316a2658e4f 100644 --- a/data/daily/webvtt.json +++ b/data/daily/webvtt.json @@ -2,6 +2,6 @@ "title": "GitHub Webvtt Languages Daily Trending", "description": "Daily Trending of Webvtt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/webvtt.xml b/data/daily/webvtt.xml index 306ac1ee3460..816e6581d814 100644 --- a/data/daily/webvtt.xml +++ b/data/daily/webvtt.xml @@ -3,6 +3,6 @@ GitHub Webvtt Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Webvtt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wget-config.json b/data/daily/wget-config.json index 56f8aa3157c4..b67d65b0d422 100644 --- a/data/daily/wget-config.json +++ b/data/daily/wget-config.json @@ -2,6 +2,6 @@ "title": "GitHub Wget-config Languages Daily Trending", "description": "Daily Trending of Wget-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/wget-config.xml b/data/daily/wget-config.xml index 33c7f2273d93..e8ff19edcea3 100644 --- a/data/daily/wget-config.xml +++ b/data/daily/wget-config.xml @@ -3,6 +3,6 @@ GitHub Wget-config Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wget-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wgsl.json b/data/daily/wgsl.json index bd2a3a1d57f6..a36f8099b1e9 100644 --- a/data/daily/wgsl.json +++ b/data/daily/wgsl.json @@ -2,6 +2,6 @@ "title": "GitHub Wgsl Languages Daily Trending", "description": "Daily Trending of Wgsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/wgsl.xml b/data/daily/wgsl.xml index b7cd97e130d6..36165547eaa4 100644 --- a/data/daily/wgsl.xml +++ b/data/daily/wgsl.xml @@ -3,6 +3,6 @@ GitHub Wgsl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wgsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/whiley.json b/data/daily/whiley.json index 3f5e784a349e..60a820146739 100644 --- a/data/daily/whiley.json +++ b/data/daily/whiley.json @@ -2,6 +2,6 @@ "title": "GitHub Whiley Languages Daily Trending", "description": "Daily Trending of Whiley Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/whiley.xml b/data/daily/whiley.xml index 6f48f8cb6236..90f0ea861c68 100644 --- a/data/daily/whiley.xml +++ b/data/daily/whiley.xml @@ -3,6 +3,6 @@ GitHub Whiley Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Whiley Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wikitext.json b/data/daily/wikitext.json index 6a5375bfdef5..d13587b1aaab 100644 --- a/data/daily/wikitext.json +++ b/data/daily/wikitext.json @@ -2,6 +2,6 @@ "title": "GitHub Wikitext Languages Daily Trending", "description": "Daily Trending of Wikitext Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/wikitext.xml b/data/daily/wikitext.xml index e6b8b9999cc2..b7c6eb115ac0 100644 --- a/data/daily/wikitext.xml +++ b/data/daily/wikitext.xml @@ -3,6 +3,6 @@ GitHub Wikitext Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wikitext Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/win32-message-file.json b/data/daily/win32-message-file.json index 7c717ca9ce2f..3f5c1c19d7c3 100644 --- a/data/daily/win32-message-file.json +++ b/data/daily/win32-message-file.json @@ -2,6 +2,6 @@ "title": "GitHub Win32-message-file Languages Daily Trending", "description": "Daily Trending of Win32-message-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/win32-message-file.xml b/data/daily/win32-message-file.xml index 3d8af85d1994..7006891c3339 100644 --- a/data/daily/win32-message-file.xml +++ b/data/daily/win32-message-file.xml @@ -3,6 +3,6 @@ GitHub Win32-message-file Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Win32-message-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/windows-registry-entries.json b/data/daily/windows-registry-entries.json index e527e0bd9131..53ac5e035a1d 100644 --- a/data/daily/windows-registry-entries.json +++ b/data/daily/windows-registry-entries.json @@ -2,6 +2,6 @@ "title": "GitHub Windows-registry-entries Languages Daily Trending", "description": "Daily Trending of Windows-registry-entries Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/windows-registry-entries.xml b/data/daily/windows-registry-entries.xml index c41554c03b48..c978924c0b17 100644 --- a/data/daily/windows-registry-entries.xml +++ b/data/daily/windows-registry-entries.xml @@ -3,6 +3,6 @@ GitHub Windows-registry-entries Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Windows-registry-entries Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wisp.json b/data/daily/wisp.json index 6c553fcbb948..407240f9e4fd 100644 --- a/data/daily/wisp.json +++ b/data/daily/wisp.json @@ -2,6 +2,6 @@ "title": "GitHub Wisp Languages Daily Trending", "description": "Daily Trending of Wisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/wisp.xml b/data/daily/wisp.xml index fa8a39a50c67..5fc99f11bf42 100644 --- a/data/daily/wisp.xml +++ b/data/daily/wisp.xml @@ -3,6 +3,6 @@ GitHub Wisp Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/witcher-script.json b/data/daily/witcher-script.json index f42ecd40ae28..b52107b8278c 100644 --- a/data/daily/witcher-script.json +++ b/data/daily/witcher-script.json @@ -2,6 +2,6 @@ "title": "GitHub Witcher-script Languages Daily Trending", "description": "Daily Trending of Witcher-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/witcher-script.xml b/data/daily/witcher-script.xml index cb35c1652a23..b92b4b53970e 100644 --- a/data/daily/witcher-script.xml +++ b/data/daily/witcher-script.xml @@ -3,6 +3,6 @@ GitHub Witcher-script Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Witcher-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wollok.json b/data/daily/wollok.json index a7ea030a8c92..47630d4afb7c 100644 --- a/data/daily/wollok.json +++ b/data/daily/wollok.json @@ -2,6 +2,6 @@ "title": "GitHub Wollok Languages Daily Trending", "description": "Daily Trending of Wollok Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/wollok.xml b/data/daily/wollok.xml index f6022c07b5a9..175984a54f03 100644 --- a/data/daily/wollok.xml +++ b/data/daily/wollok.xml @@ -3,6 +3,6 @@ GitHub Wollok Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wollok Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/world-of-warcraft-addon-data.json b/data/daily/world-of-warcraft-addon-data.json index 88335c75228b..082f6a664562 100644 --- a/data/daily/world-of-warcraft-addon-data.json +++ b/data/daily/world-of-warcraft-addon-data.json @@ -2,6 +2,6 @@ "title": "GitHub World-of-warcraft-addon-data Languages Daily Trending", "description": "Daily Trending of World-of-warcraft-addon-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/world-of-warcraft-addon-data.xml b/data/daily/world-of-warcraft-addon-data.xml index 9b5d4b31e7f7..968e0206b29a 100644 --- a/data/daily/world-of-warcraft-addon-data.xml +++ b/data/daily/world-of-warcraft-addon-data.xml @@ -3,6 +3,6 @@ GitHub World-of-warcraft-addon-data Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of World-of-warcraft-addon-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/wren.json b/data/daily/wren.json index 7907811af263..d992177b0256 100644 --- a/data/daily/wren.json +++ b/data/daily/wren.json @@ -2,7 +2,7 @@ "title": "GitHub Wren Languages Daily Trending", "description": "Daily Trending of Wren Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "wren-lang/wren", diff --git a/data/daily/wren.xml b/data/daily/wren.xml index 5c995d01f9de..e3a65b394fce 100644 --- a/data/daily/wren.xml +++ b/data/daily/wren.xml @@ -3,7 +3,7 @@ GitHub Wren Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Wren Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT wren-lang/wren https://github.com/wren-lang/wren diff --git a/data/daily/x-bitmap.json b/data/daily/x-bitmap.json index 399bcb90d7c0..2dc28ea2e303 100644 --- a/data/daily/x-bitmap.json +++ b/data/daily/x-bitmap.json @@ -2,6 +2,6 @@ "title": "GitHub X-bitmap Languages Daily Trending", "description": "Daily Trending of X-bitmap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/x-bitmap.xml b/data/daily/x-bitmap.xml index 7dad4a5ed404..9f4de5b4b199 100644 --- a/data/daily/x-bitmap.xml +++ b/data/daily/x-bitmap.xml @@ -3,6 +3,6 @@ GitHub X-bitmap Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of X-bitmap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/x-font-directory-index.json b/data/daily/x-font-directory-index.json index 81cd0685ca9a..0a3227b076f6 100644 --- a/data/daily/x-font-directory-index.json +++ b/data/daily/x-font-directory-index.json @@ -2,6 +2,6 @@ "title": "GitHub X-font-directory-index Languages Daily Trending", "description": "Daily Trending of X-font-directory-index Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/x-font-directory-index.xml b/data/daily/x-font-directory-index.xml index 2c7d2becb4a9..1761c96b9394 100644 --- a/data/daily/x-font-directory-index.xml +++ b/data/daily/x-font-directory-index.xml @@ -3,6 +3,6 @@ GitHub X-font-directory-index Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of X-font-directory-index Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/x-pixmap.json b/data/daily/x-pixmap.json index 12ed057f9f2c..4ae6c9106e4b 100644 --- a/data/daily/x-pixmap.json +++ b/data/daily/x-pixmap.json @@ -2,6 +2,6 @@ "title": "GitHub X-pixmap Languages Daily Trending", "description": "Daily Trending of X-pixmap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/x-pixmap.xml b/data/daily/x-pixmap.xml index accaafa6247c..4a5d506bb157 100644 --- a/data/daily/x-pixmap.xml +++ b/data/daily/x-pixmap.xml @@ -3,6 +3,6 @@ GitHub X-pixmap Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of X-pixmap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/x10.json b/data/daily/x10.json index da1e867043b5..287dec85d279 100644 --- a/data/daily/x10.json +++ b/data/daily/x10.json @@ -2,6 +2,6 @@ "title": "GitHub X10 Languages Daily Trending", "description": "Daily Trending of X10 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/x10.xml b/data/daily/x10.xml index b20d21441ff6..49c5b954caa8 100644 --- a/data/daily/x10.xml +++ b/data/daily/x10.xml @@ -3,6 +3,6 @@ GitHub X10 Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of X10 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xbase.json b/data/daily/xbase.json index ba1a591512b4..c9f8013a8e79 100644 --- a/data/daily/xbase.json +++ b/data/daily/xbase.json @@ -2,6 +2,6 @@ "title": "GitHub Xbase Languages Daily Trending", "description": "Daily Trending of Xbase Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xbase.xml b/data/daily/xbase.xml index f2c940e46a08..1cea7038122d 100644 --- a/data/daily/xbase.xml +++ b/data/daily/xbase.xml @@ -3,6 +3,6 @@ GitHub Xbase Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xbase Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xc.json b/data/daily/xc.json index 68583f4654e9..f3336e60c281 100644 --- a/data/daily/xc.json +++ b/data/daily/xc.json @@ -2,44 +2,6 @@ "title": "GitHub Xc Languages Daily Trending", "description": "Daily Trending of Xc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "xmos/lib_i2s", - "url": "https://github.com/xmos/lib_i2s", - "description": "I2S/TDM digital audio interface library", - "language": "XC", - "languageColor": "#99DA07", - "stars": "20", - "forks": "26", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/14007028?s=40&v=4", - "name": "ACascarino", - "url": "https://github.com/ACascarino" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/625517?s=40&v=4", - "name": "ed-xmos", - "url": "https://github.com/ed-xmos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/659946?s=40&v=4", - "name": "samchesney", - "url": "https://github.com/samchesney" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/642910?s=40&v=4", - "name": "xross", - "url": "https://github.com/xross" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16596810?s=40&v=4", - "name": "xluciano", - "url": "https://github.com/xluciano" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/daily/xc.xml b/data/daily/xc.xml index 47e3370f572e..9c9280601d93 100644 --- a/data/daily/xc.xml +++ b/data/daily/xc.xml @@ -3,44 +3,6 @@ GitHub Xc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - xmos/lib_i2s - https://github.com/xmos/lib_i2s - I2S/TDM digital audio interface library - https://github.com/xmos/lib_i2s - XC - #99DA07 - 20 - 26 - 0 - - - https://avatars.githubusercontent.com/u/14007028?s=40&v=4 - ACascarino - https://github.com/ACascarino - - - https://avatars.githubusercontent.com/u/625517?s=40&v=4 - ed-xmos - https://github.com/ed-xmos - - - https://avatars.githubusercontent.com/u/659946?s=40&v=4 - samchesney - https://github.com/samchesney - - - https://avatars.githubusercontent.com/u/642910?s=40&v=4 - xross - https://github.com/xross - - - https://avatars.githubusercontent.com/u/16596810?s=40&v=4 - xluciano - https://github.com/xluciano - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xcompose.json b/data/daily/xcompose.json index fa867c1fc024..e7874a3832e1 100644 --- a/data/daily/xcompose.json +++ b/data/daily/xcompose.json @@ -2,6 +2,6 @@ "title": "GitHub Xcompose Languages Daily Trending", "description": "Daily Trending of Xcompose Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xcompose.xml b/data/daily/xcompose.xml index 3fd3385188db..4ab99d1489bc 100644 --- a/data/daily/xcompose.xml +++ b/data/daily/xcompose.xml @@ -3,6 +3,6 @@ GitHub Xcompose Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xcompose Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xml-property-list.json b/data/daily/xml-property-list.json index 5dff37fabab1..597832492499 100644 --- a/data/daily/xml-property-list.json +++ b/data/daily/xml-property-list.json @@ -2,6 +2,6 @@ "title": "GitHub Xml-property-list Languages Daily Trending", "description": "Daily Trending of Xml-property-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xml-property-list.xml b/data/daily/xml-property-list.xml index 2be32951da26..e7ea96ec2c90 100644 --- a/data/daily/xml-property-list.xml +++ b/data/daily/xml-property-list.xml @@ -3,6 +3,6 @@ GitHub Xml-property-list Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xml-property-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xml.json b/data/daily/xml.json index 762654d24bae..8e23abeae589 100644 --- a/data/daily/xml.json +++ b/data/daily/xml.json @@ -2,74 +2,42 @@ "title": "GitHub Xml Languages Daily Trending", "description": "Daily Trending of Xml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "zotero-chinese/styles", - "url": "https://github.com/zotero-chinese/styles", - "description": "中文 CSL 样式", + "title": "php/doc-ja", + "url": "https://github.com/php/doc-ja", + "description": "Japanese translation of the PHP documentation", "language": "XML", "languageColor": "#0060ac", - "stars": "5,151", - "forks": "837", + "stars": "79", + "forks": "67", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/22135631?s=40&v=4", - "name": "redleafnew", - "url": "https://github.com/redleafnew" + "avatar": "https://avatars.githubusercontent.com/u/26536?s=40&v=4", + "name": "mumumu", + "url": "https://github.com/mumumu" }, { - "avatar": "https://avatars.githubusercontent.com/u/12290822?s=40&v=4", - "name": "zepinglee", - "url": "https://github.com/zepinglee" + "avatar": "https://avatars.githubusercontent.com/u/19870?s=40&v=4", + "name": "fujimoto", + "url": "https://github.com/fujimoto" }, { - "avatar": "https://avatars.githubusercontent.com/u/118160321?s=40&v=4", - "name": "Helloxiaolaodi", - "url": "https://github.com/Helloxiaolaodi" + "avatar": "https://avatars.githubusercontent.com/u/7906688?s=40&v=4", + "name": "Girgias", + "url": "https://github.com/Girgias" }, { - "avatar": "https://avatars.githubusercontent.com/u/44738481?s=40&v=4", - "name": "northword", - "url": "https://github.com/northword" - } - ] - }, - { - "title": "php/doc-en", - "url": "https://github.com/php/doc-en", - "description": "English PHP documentation", - "language": "XML", - "languageColor": "#0060ac", - "stars": "508", - "forks": "739", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2306138?s=40&v=4", - "name": "cmb69", - "url": "https://github.com/cmb69" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/117453?s=40&v=4", - "name": "vrana", - "url": "https://github.com/vrana" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42943?s=40&v=4", - "name": "philip", - "url": "https://github.com/philip" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/72407?s=40&v=4", - "name": "bjori", - "url": "https://github.com/bjori" + "avatar": "https://avatars.githubusercontent.com/u/41795?s=40&v=4", + "name": "elf", + "url": "https://github.com/elf" }, { - "avatar": "https://avatars.githubusercontent.com/u/13598?s=40&v=4", - "name": "salathe", - "url": "https://github.com/salathe" + "avatar": "https://avatars.githubusercontent.com/u/4785040?s=40&v=4", + "name": "KentarouTakeda", + "url": "https://github.com/KentarouTakeda" } ] } diff --git a/data/daily/xml.xml b/data/daily/xml.xml index d2de78a9ad76..23fa7ddb857c 100644 --- a/data/daily/xml.xml +++ b/data/daily/xml.xml @@ -3,75 +3,42 @@ GitHub Xml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - zotero-chinese/styles - https://github.com/zotero-chinese/styles - 中文 CSL 样式 - https://github.com/zotero-chinese/styles + php/doc-ja + https://github.com/php/doc-ja + Japanese translation of the PHP documentation + https://github.com/php/doc-ja XML #0060ac - 5,151 - 837 + 79 + 67 1 - https://avatars.githubusercontent.com/u/22135631?s=40&v=4 - redleafnew - https://github.com/redleafnew + https://avatars.githubusercontent.com/u/26536?s=40&v=4 + mumumu + https://github.com/mumumu - https://avatars.githubusercontent.com/u/12290822?s=40&v=4 - zepinglee - https://github.com/zepinglee + https://avatars.githubusercontent.com/u/19870?s=40&v=4 + fujimoto + https://github.com/fujimoto - https://avatars.githubusercontent.com/u/118160321?s=40&v=4 - Helloxiaolaodi - https://github.com/Helloxiaolaodi + https://avatars.githubusercontent.com/u/7906688?s=40&v=4 + Girgias + https://github.com/Girgias - https://avatars.githubusercontent.com/u/44738481?s=40&v=4 - northword - https://github.com/northword - - - - - php/doc-en - https://github.com/php/doc-en - English PHP documentation - https://github.com/php/doc-en - XML - #0060ac - 508 - 739 - 0 - - - https://avatars.githubusercontent.com/u/2306138?s=40&v=4 - cmb69 - https://github.com/cmb69 - - - https://avatars.githubusercontent.com/u/117453?s=40&v=4 - vrana - https://github.com/vrana - - - https://avatars.githubusercontent.com/u/42943?s=40&v=4 - philip - https://github.com/philip - - - https://avatars.githubusercontent.com/u/72407?s=40&v=4 - bjori - https://github.com/bjori + https://avatars.githubusercontent.com/u/41795?s=40&v=4 + elf + https://github.com/elf - https://avatars.githubusercontent.com/u/13598?s=40&v=4 - salathe - https://github.com/salathe + https://avatars.githubusercontent.com/u/4785040?s=40&v=4 + KentarouTakeda + https://github.com/KentarouTakeda diff --git a/data/daily/xojo.json b/data/daily/xojo.json index d5a752dfb810..c4fafefb272d 100644 --- a/data/daily/xojo.json +++ b/data/daily/xojo.json @@ -2,6 +2,6 @@ "title": "GitHub Xojo Languages Daily Trending", "description": "Daily Trending of Xojo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xojo.xml b/data/daily/xojo.xml index 27e7b43fbff4..7b54d2877109 100644 --- a/data/daily/xojo.xml +++ b/data/daily/xojo.xml @@ -3,6 +3,6 @@ GitHub Xojo Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xojo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xonsh.json b/data/daily/xonsh.json index 2feee6080ab8..d5c7772f32f4 100644 --- a/data/daily/xonsh.json +++ b/data/daily/xonsh.json @@ -2,6 +2,6 @@ "title": "GitHub Xonsh Languages Daily Trending", "description": "Daily Trending of Xonsh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xonsh.xml b/data/daily/xonsh.xml index 9360995fd29e..6a5c44d91b5f 100644 --- a/data/daily/xonsh.xml +++ b/data/daily/xonsh.xml @@ -3,6 +3,6 @@ GitHub Xonsh Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xonsh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xpages.json b/data/daily/xpages.json index 8f3101224f43..2f56c3caf9cb 100644 --- a/data/daily/xpages.json +++ b/data/daily/xpages.json @@ -2,6 +2,6 @@ "title": "GitHub Xpages Languages Daily Trending", "description": "Daily Trending of Xpages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xpages.xml b/data/daily/xpages.xml index d72505671e45..b3a3fe6370fa 100644 --- a/data/daily/xpages.xml +++ b/data/daily/xpages.xml @@ -3,6 +3,6 @@ GitHub Xpages Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xpages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xproc.json b/data/daily/xproc.json index 7034322943c1..461d726b0151 100644 --- a/data/daily/xproc.json +++ b/data/daily/xproc.json @@ -2,6 +2,6 @@ "title": "GitHub Xproc Languages Daily Trending", "description": "Daily Trending of Xproc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xproc.xml b/data/daily/xproc.xml index fdd34f617fb5..77951caa0896 100644 --- a/data/daily/xproc.xml +++ b/data/daily/xproc.xml @@ -3,6 +3,6 @@ GitHub Xproc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xproc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xquery.json b/data/daily/xquery.json index ff9871b766f5..d771ad01454c 100644 --- a/data/daily/xquery.json +++ b/data/daily/xquery.json @@ -2,6 +2,6 @@ "title": "GitHub Xquery Languages Daily Trending", "description": "Daily Trending of Xquery Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xquery.xml b/data/daily/xquery.xml index 6ca9d001364f..13ed4c2c5892 100644 --- a/data/daily/xquery.xml +++ b/data/daily/xquery.xml @@ -3,6 +3,6 @@ GitHub Xquery Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xquery Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xs.json b/data/daily/xs.json index 3376992e50f0..af4234bf74a8 100644 --- a/data/daily/xs.json +++ b/data/daily/xs.json @@ -2,6 +2,6 @@ "title": "GitHub Xs Languages Daily Trending", "description": "Daily Trending of Xs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xs.xml b/data/daily/xs.xml index 5d92c14d66c2..ae274a1fde7c 100644 --- a/data/daily/xs.xml +++ b/data/daily/xs.xml @@ -3,6 +3,6 @@ GitHub Xs Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/xslt.json b/data/daily/xslt.json index adbef9d60ac1..ed58a259b6f4 100644 --- a/data/daily/xslt.json +++ b/data/daily/xslt.json @@ -2,7 +2,7 @@ "title": "GitHub Xslt Languages Daily Trending", "description": "Daily Trending of Xslt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "uri-templates/uritemplate-test", @@ -41,80 +41,6 @@ } ] }, - { - "title": "LOLBAS-Project/LOLBAS", - "url": "https://github.com/LOLBAS-Project/LOLBAS", - "description": "Living Off The Land Binaries And Scripts - (LOLBins and LOLScripts)", - "language": "XSLT", - "languageColor": "#EB8CEB", - "stars": "7,121", - "forks": "1,000", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12997471?s=40&v=4", - "name": "api0cradle", - "url": "https://github.com/api0cradle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2811785?s=40&v=4", - "name": "wietze", - "url": "https://github.com/wietze" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2199813?s=40&v=4", - "name": "xenoscr", - "url": "https://github.com/xenoscr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21028609?s=40&v=4", - "name": "bohops", - "url": "https://github.com/bohops" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41170494?s=40&v=4", - "name": "Ekitji", - "url": "https://github.com/Ekitji" - } - ] - }, - { - "title": "microsoftgraph/msgraph-metadata", - "url": "https://github.com/microsoftgraph/msgraph-metadata", - "description": "Microsoft Graph metadata captured and used for generating client library code files.", - "language": "XSLT", - "languageColor": "#EB8CEB", - "stars": "105", - "forks": "33", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6464005?s=40&v=4", - "name": "andrueastman", - "url": "https://github.com/andrueastman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7905502?s=40&v=4", - "name": "baywet", - "url": "https://github.com/baywet" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1511024?s=40&v=4", - "name": "marabooy", - "url": "https://github.com/marabooy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/803311?s=40&v=4", - "name": "zengin", - "url": "https://github.com/zengin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40403681?s=40&v=4", - "name": "irvinesunday", - "url": "https://github.com/irvinesunday" - } - ] - }, { "title": "11ty/eleventy-base-blog", "url": "https://github.com/11ty/eleventy-base-blog", @@ -188,6 +114,43 @@ "url": "https://github.com/Prachi0328" } ] + }, + { + "title": "briankavanaugh/APA-7th-Edition", + "url": "https://github.com/briankavanaugh/APA-7th-Edition", + "description": "Microsoft Word XSD for generating APA 7th edition references", + "language": "XSLT", + "languageColor": "#EB8CEB", + "stars": "133", + "forks": "28", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13356729?s=40&v=4", + "name": "briankavanaugh", + "url": "https://github.com/briankavanaugh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/186353?s=40&v=4", + "name": "naltang", + "url": "https://github.com/naltang" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/157208729?s=40&v=4", + "name": "iagra20", + "url": "https://github.com/iagra20" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19156050?s=40&v=4", + "name": "TJStar", + "url": "https://github.com/TJStar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12781300?s=40&v=4", + "name": "noor-latif", + "url": "https://github.com/noor-latif" + } + ] } ] } \ No newline at end of file diff --git a/data/daily/xslt.xml b/data/daily/xslt.xml index a2b3b54f2846..b1d99569dfb8 100644 --- a/data/daily/xslt.xml +++ b/data/daily/xslt.xml @@ -3,7 +3,7 @@ GitHub Xslt Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xslt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT uri-templates/uritemplate-test https://github.com/uri-templates/uritemplate-test @@ -42,82 +42,6 @@ - - LOLBAS-Project/LOLBAS - https://github.com/LOLBAS-Project/LOLBAS - Living Off The Land Binaries And Scripts - (LOLBins and LOLScripts) - https://github.com/LOLBAS-Project/LOLBAS - XSLT - #EB8CEB - 7,121 - 1,000 - 1 - - - https://avatars.githubusercontent.com/u/12997471?s=40&v=4 - api0cradle - https://github.com/api0cradle - - - https://avatars.githubusercontent.com/u/2811785?s=40&v=4 - wietze - https://github.com/wietze - - - https://avatars.githubusercontent.com/u/2199813?s=40&v=4 - xenoscr - https://github.com/xenoscr - - - https://avatars.githubusercontent.com/u/21028609?s=40&v=4 - bohops - https://github.com/bohops - - - https://avatars.githubusercontent.com/u/41170494?s=40&v=4 - Ekitji - https://github.com/Ekitji - - - - - microsoftgraph/msgraph-metadata - https://github.com/microsoftgraph/msgraph-metadata - Microsoft Graph metadata captured and used for generating client library code files. - https://github.com/microsoftgraph/msgraph-metadata - XSLT - #EB8CEB - 105 - 33 - 0 - - - https://avatars.githubusercontent.com/u/6464005?s=40&v=4 - andrueastman - https://github.com/andrueastman - - - https://avatars.githubusercontent.com/u/7905502?s=40&v=4 - baywet - https://github.com/baywet - - - https://avatars.githubusercontent.com/u/1511024?s=40&v=4 - marabooy - https://github.com/marabooy - - - https://avatars.githubusercontent.com/u/803311?s=40&v=4 - zengin - https://github.com/zengin - - - https://avatars.githubusercontent.com/u/40403681?s=40&v=4 - irvinesunday - https://github.com/irvinesunday - - - 11ty/eleventy-base-blog https://github.com/11ty/eleventy-base-blog @@ -194,5 +118,43 @@ + + briankavanaugh/APA-7th-Edition + https://github.com/briankavanaugh/APA-7th-Edition + Microsoft Word XSD for generating APA 7th edition references + https://github.com/briankavanaugh/APA-7th-Edition + XSLT + #EB8CEB + 133 + 28 + 0 + + + https://avatars.githubusercontent.com/u/13356729?s=40&v=4 + briankavanaugh + https://github.com/briankavanaugh + + + https://avatars.githubusercontent.com/u/186353?s=40&v=4 + naltang + https://github.com/naltang + + + https://avatars.githubusercontent.com/u/157208729?s=40&v=4 + iagra20 + https://github.com/iagra20 + + + https://avatars.githubusercontent.com/u/19156050?s=40&v=4 + TJStar + https://github.com/TJStar + + + https://avatars.githubusercontent.com/u/12781300?s=40&v=4 + noor-latif + https://github.com/noor-latif + + + \ No newline at end of file diff --git a/data/daily/xtend.json b/data/daily/xtend.json index 3e4872afeb06..b5bd5df8a6cc 100644 --- a/data/daily/xtend.json +++ b/data/daily/xtend.json @@ -2,6 +2,6 @@ "title": "GitHub Xtend Languages Daily Trending", "description": "Daily Trending of Xtend Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/xtend.xml b/data/daily/xtend.xml index 5519b632de64..7b7d5c097480 100644 --- a/data/daily/xtend.xml +++ b/data/daily/xtend.xml @@ -3,6 +3,6 @@ GitHub Xtend Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Xtend Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/yacc.json b/data/daily/yacc.json index ee90b3b352e2..8859f0c21b93 100644 --- a/data/daily/yacc.json +++ b/data/daily/yacc.json @@ -2,7 +2,7 @@ "title": "GitHub Yacc Languages Daily Trending", "description": "Daily Trending of Yacc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tree-sitter/tree-sitter-agda", diff --git a/data/daily/yacc.xml b/data/daily/yacc.xml index ed5c45742af5..9d2303bb51d1 100644 --- a/data/daily/yacc.xml +++ b/data/daily/yacc.xml @@ -3,7 +3,7 @@ GitHub Yacc Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Yacc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tree-sitter/tree-sitter-agda https://github.com/tree-sitter/tree-sitter-agda diff --git a/data/daily/yaml.json b/data/daily/yaml.json index 006d920fb082..9231c9527e01 100644 --- a/data/daily/yaml.json +++ b/data/daily/yaml.json @@ -2,7 +2,7 @@ "title": "GitHub Yaml Languages Daily Trending", "description": "Daily Trending of Yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "stashapp/CommunityScrapers", @@ -78,43 +78,6 @@ } ] }, - { - "title": "mustache/spec", - "url": "https://github.com/mustache/spec", - "description": "The Mustache spec.", - "language": "YAML", - "languageColor": "#cb171e", - "stars": "371", - "forks": "71", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2350?s=40&v=4", - "name": "pvande", - "url": "https://github.com/pvande" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1540185?s=40&v=4", - "name": "jgonggrijp", - "url": "https://github.com/jgonggrijp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3291251?s=40&v=4", - "name": "Danappelxx", - "url": "https://github.com/Danappelxx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/426238?s=40&v=4", - "name": "gasche", - "url": "https://github.com/gasche" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/774788?s=40&v=4", - "name": "softmoth", - "url": "https://github.com/softmoth" - } - ] - }, { "title": "hackclub/dns", "url": "https://github.com/hackclub/dns", @@ -153,108 +116,39 @@ ] }, { - "title": "ansible-lockdown/RHEL8-CIS", - "url": "https://github.com/ansible-lockdown/RHEL8-CIS", - "description": "Ansible role for Red Hat 8 CIS Baseline", - "language": "YAML", - "languageColor": "#cb171e", - "stars": "272", - "forks": "169", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/69214557?s=40&v=4", - "name": "uk-bolly", - "url": "https://github.com/uk-bolly" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/57152366?s=40&v=4", - "name": "georgenalen", - "url": "https://github.com/georgenalen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10671559?s=40&v=4", - "name": "bbaassssiiee", - "url": "https://github.com/bbaassssiiee" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59581329?s=40&v=4", - "name": "carnells", - "url": "https://github.com/carnells" - } - ] - }, - { - "title": "kubeflow/manifests", - "url": "https://github.com/kubeflow/manifests", - "description": "A repository for Kustomize manifests", - "language": "YAML", - "languageColor": "#cb171e", - "stars": "829", - "forks": "888", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/777219?s=40&v=4", - "name": "jlewi", - "url": "https://github.com/jlewi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45896133?s=40&v=4", - "name": "juliusvonkohout", - "url": "https://github.com/juliusvonkohout" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11134742?s=40&v=4", - "name": "kimwnasptd", - "url": "https://github.com/kimwnasptd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4957653?s=40&v=4", - "name": "Bobgy", - "url": "https://github.com/Bobgy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38020283?s=40&v=4", - "name": "kubeflow-bot", - "url": "https://github.com/kubeflow-bot" - } - ] - }, - { - "title": "dell/omnia", - "url": "https://github.com/dell/omnia", - "description": "An open-source toolkit for deploying and managing high performance clusters for HPC, AI, and data analytics workloads.", + "title": "mustache/spec", + "url": "https://github.com/mustache/spec", + "description": "The Mustache spec.", "language": "YAML", "languageColor": "#cb171e", - "stars": "227", - "forks": "119", + "stars": "371", + "forks": "71", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/88071888?s=40&v=4", - "name": "cgoveas", - "url": "https://github.com/cgoveas" + "avatar": "https://avatars.githubusercontent.com/u/2350?s=40&v=4", + "name": "pvande", + "url": "https://github.com/pvande" }, { - "avatar": "https://avatars.githubusercontent.com/u/94038029?s=40&v=4", - "name": "abhishek-sa1", - "url": "https://github.com/abhishek-sa1" + "avatar": "https://avatars.githubusercontent.com/u/1540185?s=40&v=4", + "name": "jgonggrijp", + "url": "https://github.com/jgonggrijp" }, { - "avatar": "https://avatars.githubusercontent.com/u/73123831?s=40&v=4", - "name": "sujit-jadhav", - "url": "https://github.com/sujit-jadhav" + "avatar": "https://avatars.githubusercontent.com/u/3291251?s=40&v=4", + "name": "Danappelxx", + "url": "https://github.com/Danappelxx" }, { - "avatar": "https://avatars.githubusercontent.com/u/72869337?s=40&v=4", - "name": "Shubhangi-dell", - "url": "https://github.com/Shubhangi-dell" + "avatar": "https://avatars.githubusercontent.com/u/426238?s=40&v=4", + "name": "gasche", + "url": "https://github.com/gasche" }, { - "avatar": "https://avatars.githubusercontent.com/u/73195862?s=40&v=4", - "name": "sakshiarora13", - "url": "https://github.com/sakshiarora13" + "avatar": "https://avatars.githubusercontent.com/u/774788?s=40&v=4", + "name": "softmoth", + "url": "https://github.com/softmoth" } ] } diff --git a/data/daily/yaml.xml b/data/daily/yaml.xml index 928c51372d28..29b703fd0759 100644 --- a/data/daily/yaml.xml +++ b/data/daily/yaml.xml @@ -3,7 +3,7 @@ GitHub Yaml Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT stashapp/CommunityScrapers https://github.com/stashapp/CommunityScrapers @@ -80,44 +80,6 @@ - - mustache/spec - https://github.com/mustache/spec - The Mustache spec. - https://github.com/mustache/spec - YAML - #cb171e - 371 - 71 - 0 - - - https://avatars.githubusercontent.com/u/2350?s=40&v=4 - pvande - https://github.com/pvande - - - https://avatars.githubusercontent.com/u/1540185?s=40&v=4 - jgonggrijp - https://github.com/jgonggrijp - - - https://avatars.githubusercontent.com/u/3291251?s=40&v=4 - Danappelxx - https://github.com/Danappelxx - - - https://avatars.githubusercontent.com/u/426238?s=40&v=4 - gasche - https://github.com/gasche - - - https://avatars.githubusercontent.com/u/774788?s=40&v=4 - softmoth - https://github.com/softmoth - - - hackclub/dns https://github.com/hackclub/dns @@ -157,111 +119,40 @@ - ansible-lockdown/RHEL8-CIS - https://github.com/ansible-lockdown/RHEL8-CIS - Ansible role for Red Hat 8 CIS Baseline - https://github.com/ansible-lockdown/RHEL8-CIS - YAML - #cb171e - 272 - 169 - 0 - - - https://avatars.githubusercontent.com/u/69214557?s=40&v=4 - uk-bolly - https://github.com/uk-bolly - - - https://avatars.githubusercontent.com/u/57152366?s=40&v=4 - georgenalen - https://github.com/georgenalen - - - https://avatars.githubusercontent.com/u/10671559?s=40&v=4 - bbaassssiiee - https://github.com/bbaassssiiee - - - https://avatars.githubusercontent.com/u/59581329?s=40&v=4 - carnells - https://github.com/carnells - - - - - kubeflow/manifests - https://github.com/kubeflow/manifests - A repository for Kustomize manifests - https://github.com/kubeflow/manifests - YAML - #cb171e - 829 - 888 - 0 - - - https://avatars.githubusercontent.com/u/777219?s=40&v=4 - jlewi - https://github.com/jlewi - - - https://avatars.githubusercontent.com/u/45896133?s=40&v=4 - juliusvonkohout - https://github.com/juliusvonkohout - - - https://avatars.githubusercontent.com/u/11134742?s=40&v=4 - kimwnasptd - https://github.com/kimwnasptd - - - https://avatars.githubusercontent.com/u/4957653?s=40&v=4 - Bobgy - https://github.com/Bobgy - - - https://avatars.githubusercontent.com/u/38020283?s=40&v=4 - kubeflow-bot - https://github.com/kubeflow-bot - - - - - dell/omnia - https://github.com/dell/omnia - An open-source toolkit for deploying and managing high performance clusters for HPC, AI, and data analytics workloads. - https://github.com/dell/omnia + mustache/spec + https://github.com/mustache/spec + The Mustache spec. + https://github.com/mustache/spec YAML #cb171e - 227 - 119 + 371 + 71 0 - https://avatars.githubusercontent.com/u/88071888?s=40&v=4 - cgoveas - https://github.com/cgoveas + https://avatars.githubusercontent.com/u/2350?s=40&v=4 + pvande + https://github.com/pvande - https://avatars.githubusercontent.com/u/94038029?s=40&v=4 - abhishek-sa1 - https://github.com/abhishek-sa1 + https://avatars.githubusercontent.com/u/1540185?s=40&v=4 + jgonggrijp + https://github.com/jgonggrijp - https://avatars.githubusercontent.com/u/73123831?s=40&v=4 - sujit-jadhav - https://github.com/sujit-jadhav + https://avatars.githubusercontent.com/u/3291251?s=40&v=4 + Danappelxx + https://github.com/Danappelxx - https://avatars.githubusercontent.com/u/72869337?s=40&v=4 - Shubhangi-dell - https://github.com/Shubhangi-dell + https://avatars.githubusercontent.com/u/426238?s=40&v=4 + gasche + https://github.com/gasche - https://avatars.githubusercontent.com/u/73195862?s=40&v=4 - sakshiarora13 - https://github.com/sakshiarora13 + https://avatars.githubusercontent.com/u/774788?s=40&v=4 + softmoth + https://github.com/softmoth diff --git a/data/daily/yang.json b/data/daily/yang.json index d6118a8c1569..67363607c1ec 100644 --- a/data/daily/yang.json +++ b/data/daily/yang.json @@ -2,6 +2,6 @@ "title": "GitHub Yang Languages Daily Trending", "description": "Daily Trending of Yang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/yang.xml b/data/daily/yang.xml index 2e5014ecbcaa..18a1211cb03b 100644 --- a/data/daily/yang.xml +++ b/data/daily/yang.xml @@ -3,6 +3,6 @@ GitHub Yang Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Yang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/yara.json b/data/daily/yara.json index beb02cf01065..496af51137f6 100644 --- a/data/daily/yara.json +++ b/data/daily/yara.json @@ -2,6 +2,29 @@ "title": "GitHub Yara Languages Daily Trending", "description": "Daily Trending of Yara Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "chainguard-dev/malcontent", + "url": "https://github.com/chainguard-dev/malcontent", + "description": "#supply #chain #attack #detection", + "language": "YARA", + "languageColor": "#220000", + "stars": "455", + "forks": "32", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/101424?s=40&v=4", + "name": "tstromberg", + "url": "https://github.com/tstromberg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20933572?s=40&v=4", + "name": "egibs", + "url": "https://github.com/egibs" + } + ] + } + ] } \ No newline at end of file diff --git a/data/daily/yara.xml b/data/daily/yara.xml index 9c363d060ab7..00f89b128598 100644 --- a/data/daily/yara.xml +++ b/data/daily/yara.xml @@ -3,6 +3,29 @@ GitHub Yara Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Yara Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + chainguard-dev/malcontent + https://github.com/chainguard-dev/malcontent + #supply #chain #attack #detection + https://github.com/chainguard-dev/malcontent + YARA + #220000 + 455 + 32 + 2 + + + https://avatars.githubusercontent.com/u/101424?s=40&v=4 + tstromberg + https://github.com/tstromberg + + + https://avatars.githubusercontent.com/u/20933572?s=40&v=4 + egibs + https://github.com/egibs + + + \ No newline at end of file diff --git a/data/daily/yasnippet.json b/data/daily/yasnippet.json index 69f79fe6ba41..7beef9fe2543 100644 --- a/data/daily/yasnippet.json +++ b/data/daily/yasnippet.json @@ -2,7 +2,7 @@ "title": "GitHub Yasnippet Languages Daily Trending", "description": "Daily Trending of Yasnippet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "AndreaCrotti/yasnippet-snippets", diff --git a/data/daily/yasnippet.xml b/data/daily/yasnippet.xml index 12865749c5ed..b272300b19a3 100644 --- a/data/daily/yasnippet.xml +++ b/data/daily/yasnippet.xml @@ -3,7 +3,7 @@ GitHub Yasnippet Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Yasnippet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT AndreaCrotti/yasnippet-snippets https://github.com/AndreaCrotti/yasnippet-snippets diff --git a/data/daily/yul.json b/data/daily/yul.json index e8233a3e0f38..7b06366934a1 100644 --- a/data/daily/yul.json +++ b/data/daily/yul.json @@ -2,6 +2,6 @@ "title": "GitHub Yul Languages Daily Trending", "description": "Daily Trending of Yul Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/yul.xml b/data/daily/yul.xml index fed93acf3b73..b2d7bb2c1e27 100644 --- a/data/daily/yul.xml +++ b/data/daily/yul.xml @@ -3,6 +3,6 @@ GitHub Yul Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Yul Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/zap.json b/data/daily/zap.json index 8c7c6e9f3658..12dc4a4e4283 100644 --- a/data/daily/zap.json +++ b/data/daily/zap.json @@ -2,6 +2,6 @@ "title": "GitHub Zap Languages Daily Trending", "description": "Daily Trending of Zap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/zap.xml b/data/daily/zap.xml index 8b4cd227b3f7..11b73fa9cd2c 100644 --- a/data/daily/zap.xml +++ b/data/daily/zap.xml @@ -3,6 +3,6 @@ GitHub Zap Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Zap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/zeek.json b/data/daily/zeek.json index 306c30d213f8..d6ab37e54aa2 100644 --- a/data/daily/zeek.json +++ b/data/daily/zeek.json @@ -2,6 +2,6 @@ "title": "GitHub Zeek Languages Daily Trending", "description": "Daily Trending of Zeek Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/zeek.xml b/data/daily/zeek.xml index 9a946f1a55aa..de66f2a46a07 100644 --- a/data/daily/zeek.xml +++ b/data/daily/zeek.xml @@ -3,6 +3,6 @@ GitHub Zeek Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Zeek Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/zenscript.json b/data/daily/zenscript.json index 9520d2378eee..8df8ab076465 100644 --- a/data/daily/zenscript.json +++ b/data/daily/zenscript.json @@ -2,7 +2,7 @@ "title": "GitHub Zenscript Languages Daily Trending", "description": "Daily Trending of Zenscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pa1nki113r/Project_Brutality", diff --git a/data/daily/zenscript.xml b/data/daily/zenscript.xml index b51a9df91b22..256656eee9dd 100644 --- a/data/daily/zenscript.xml +++ b/data/daily/zenscript.xml @@ -3,7 +3,7 @@ GitHub Zenscript Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Zenscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pa1nki113r/Project_Brutality https://github.com/pa1nki113r/Project_Brutality diff --git a/data/daily/zephir.json b/data/daily/zephir.json index 9fda88ffa16d..8723be65994b 100644 --- a/data/daily/zephir.json +++ b/data/daily/zephir.json @@ -2,6 +2,6 @@ "title": "GitHub Zephir Languages Daily Trending", "description": "Daily Trending of Zephir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/zephir.xml b/data/daily/zephir.xml index daa205fafbe4..092a5a59142b 100644 --- a/data/daily/zephir.xml +++ b/data/daily/zephir.xml @@ -3,6 +3,6 @@ GitHub Zephir Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Zephir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/zig.json b/data/daily/zig.json index 2238b6988983..88d9d357d0b0 100644 --- a/data/daily/zig.json +++ b/data/daily/zig.json @@ -2,222 +2,296 @@ "title": "GitHub Zig Languages Daily Trending", "description": "Daily Trending of Zig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "oven-sh/bun", - "url": "https://github.com/oven-sh/bun", - "description": "Incredibly fast JavaScript runtime, bundler, test runner, and package manager – all in one", + "title": "tigerbeetle/tigerbeetle", + "url": "https://github.com/tigerbeetle/tigerbeetle", + "description": "The financial transactions database designed for mission critical safety and performance.", "language": "Zig", "languageColor": "#ec915c", - "stars": "74,386", - "forks": "2,782", - "addStars": "13", + "stars": "10,646", + "forks": "520", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/709451?s=40&v=4", - "name": "Jarred-Sumner", - "url": "https://github.com/Jarred-Sumner" + "avatar": "https://avatars.githubusercontent.com/u/461112?s=40&v=4", + "name": "sentientwaffle", + "url": "https://github.com/sentientwaffle" }, { - "avatar": "https://avatars.githubusercontent.com/u/35280289?s=40&v=4", - "name": "dylan-conway", - "url": "https://github.com/dylan-conway" + "avatar": "https://avatars.githubusercontent.com/u/1711539?s=40&v=4", + "name": "matklad", + "url": "https://github.com/matklad" }, { - "avatar": "https://avatars.githubusercontent.com/u/24465214?s=40&v=4", - "name": "paperdave", - "url": "https://github.com/paperdave" + "avatar": "https://avatars.githubusercontent.com/u/413206?s=40&v=4", + "name": "jorangreef", + "url": "https://github.com/jorangreef" }, { - "avatar": "https://avatars.githubusercontent.com/u/3238291?s=40&v=4", - "name": "Electroid", - "url": "https://github.com/Electroid" + "avatar": "https://avatars.githubusercontent.com/u/5728002?s=40&v=4", + "name": "batiati", + "url": "https://github.com/batiati" }, { - "avatar": "https://avatars.githubusercontent.com/u/5464072?s=40&v=4", - "name": "nektro", - "url": "https://github.com/nektro" + "avatar": "https://avatars.githubusercontent.com/u/703017?s=40&v=4", + "name": "cb22", + "url": "https://github.com/cb22" } ] }, { - "title": "ziglang/zig", - "url": "https://github.com/ziglang/zig", - "description": "General-purpose programming language and toolchain for maintaining robust, optimal, and reusable software.", + "title": "Not-Nik/raylib-zig", + "url": "https://github.com/Not-Nik/raylib-zig", + "description": "Manually tweaked, auto-generated raylib bindings for zig. https://github.com/raysan5/raylib", "language": "Zig", "languageColor": "#ec915c", - "stars": "35,103", - "forks": "2,558", - "addStars": "22", + "stars": "697", + "forks": "122", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/106511?s=40&v=4", - "name": "andrewrk", - "url": "https://github.com/andrewrk" + "avatar": "https://avatars.githubusercontent.com/u/32980656?s=40&v=4", + "name": "Not-Nik", + "url": "https://github.com/Not-Nik" }, { - "avatar": "https://avatars.githubusercontent.com/u/1519747?s=40&v=4", - "name": "kubkon", - "url": "https://github.com/kubkon" + "avatar": "https://avatars.githubusercontent.com/u/69832658?s=40&v=4", + "name": "bluesillybeard", + "url": "https://github.com/bluesillybeard" }, { - "avatar": "https://avatars.githubusercontent.com/u/15308111?s=40&v=4", - "name": "Vexu", - "url": "https://github.com/Vexu" + "avatar": "https://avatars.githubusercontent.com/u/74560659?s=40&v=4", + "name": "iacore", + "url": "https://github.com/iacore" }, { - "avatar": "https://avatars.githubusercontent.com/u/15544577?s=40&v=4", - "name": "jacobly0", - "url": "https://github.com/jacobly0" + "avatar": "https://avatars.githubusercontent.com/u/5048558?s=40&v=4", + "name": "mbcrocci", + "url": "https://github.com/mbcrocci" }, { - "avatar": "https://avatars.githubusercontent.com/u/77388?s=40&v=4", - "name": "LemonBoy", - "url": "https://github.com/LemonBoy" + "avatar": "https://avatars.githubusercontent.com/u/6413317?s=40&v=4", + "name": "jdoleary", + "url": "https://github.com/jdoleary" } ] }, { - "title": "mitchellh/libxev", - "url": "https://github.com/mitchellh/libxev", - "description": "libxev is a cross-platform, high-performance event loop that provides abstractions for non-blocking IO, timers, events, and more and works on Linux (io_uring or epoll), macOS (kqueue), and Wasm + WASI. Available as both a Zig and C API.", + "title": "fairyglade/ly", + "url": "https://github.com/fairyglade/ly", + "description": "display manager with console UI", "language": "Zig", "languageColor": "#ec915c", - "stars": "2,152", - "forks": "77", - "addStars": "1", + "stars": "5,498", + "forks": "307", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", - "name": "mitchellh", - "url": "https://github.com/mitchellh" + "avatar": "https://avatars.githubusercontent.com/u/49339966?s=40&v=4", + "name": "AnErrupTion", + "url": "https://github.com/AnErrupTion" }, { - "avatar": "https://avatars.githubusercontent.com/u/1839807?s=40&v=4", - "name": "Corendos", - "url": "https://github.com/Corendos" + "avatar": "https://avatars.githubusercontent.com/u/5473047?s=40&v=4", + "name": "nullgemm", + "url": "https://github.com/nullgemm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/718169?s=40&v=4", + "name": "stlaz", + "url": "https://github.com/stlaz" }, { - "avatar": "https://avatars.githubusercontent.com/u/35909?s=40&v=4", - "name": "ianic", - "url": "https://github.com/ianic" + "avatar": "https://avatars.githubusercontent.com/u/686169?s=40&v=4", + "name": "liweitianux", + "url": "https://github.com/liweitianux" }, { - "avatar": "https://avatars.githubusercontent.com/u/1159529?s=40&v=4", - "name": "linuxy", - "url": "https://github.com/linuxy" + "avatar": "https://avatars.githubusercontent.com/u/3621083?s=40&v=4", + "name": "roosemberth", + "url": "https://github.com/roosemberth" } ] }, { - "title": "zigtools/zls", - "url": "https://github.com/zigtools/zls", - "description": "A Zig language server supporting Zig developers with features like autocomplete and goto definition", + "title": "hexops/mach", + "url": "https://github.com/hexops/mach", + "description": "zig game engine & graphics toolkit", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,026", - "forks": "298", + "stars": "3,391", + "forks": "161", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3173176?s=40&v=4", + "name": "slimsag", + "url": "https://github.com/slimsag" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63465728?s=40&v=4", + "name": "alichraghi", + "url": "https://github.com/alichraghi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54106488?s=40&v=4", + "name": "iddev5", + "url": "https://github.com/iddev5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/59504965?s=40&v=4", + "name": "InKryption", + "url": "https://github.com/InKryption" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/118697282?s=40&v=4", + "name": "wrench-bot", + "url": "https://github.com/wrench-bot" + } + ] + }, + { + "title": "Dr-Nekoma/lyceum", + "url": "https://github.com/Dr-Nekoma/lyceum", + "description": "An MMO game written in Erlang (+ PostgreSQL) + Zig (+ Raylib)", + "language": "Zig", + "languageColor": "#ec915c", + "stars": "227", + "forks": "6", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/38084011?s=40&v=4", + "name": "EduardoLR10", + "url": "https://github.com/EduardoLR10" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48695102?s=40&v=4", + "name": "z-silver", + "url": "https://github.com/z-silver" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16356569?s=40&v=4", + "name": "mtrsk", + "url": "https://github.com/mtrsk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14153897?s=40&v=4", + "name": "MMagueta", + "url": "https://github.com/MMagueta" + } + ] + }, + { + "title": "rockorager/libvaxis", + "url": "https://github.com/rockorager/libvaxis", + "description": "a modern tui library written in zig", + "language": "Zig", + "languageColor": "#ec915c", + "stars": "503", + "forks": "36", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19954306?s=40&v=4", - "name": "Techatrix", - "url": "https://github.com/Techatrix" + "avatar": "https://avatars.githubusercontent.com/u/476352?s=40&v=4", + "name": "rockorager", + "url": "https://github.com/rockorager" }, { - "avatar": "https://avatars.githubusercontent.com/u/265903?s=40&v=4", - "name": "alexnask", - "url": "https://github.com/alexnask" + "avatar": "https://avatars.githubusercontent.com/u/68087632?s=40&v=4", + "name": "00JCIV00", + "url": "https://github.com/00JCIV00" }, { - "avatar": "https://avatars.githubusercontent.com/u/19855629?s=40&v=4", - "name": "SuperAuguste", - "url": "https://github.com/SuperAuguste" + "avatar": "https://avatars.githubusercontent.com/u/1552770?s=40&v=4", + "name": "neurocyte", + "url": "https://github.com/neurocyte" }, { - "avatar": "https://avatars.githubusercontent.com/u/2286349?s=40&v=4", - "name": "leecannon", - "url": "https://github.com/leecannon" + "avatar": "https://avatars.githubusercontent.com/u/46907231?s=40&v=4", + "name": "robbielyman", + "url": "https://github.com/robbielyman" }, { - "avatar": "https://avatars.githubusercontent.com/u/16590917?s=40&v=4", - "name": "llogick", - "url": "https://github.com/llogick" + "avatar": "https://avatars.githubusercontent.com/u/480330?s=40&v=4", + "name": "Cloudef", + "url": "https://github.com/Cloudef" } ] }, { - "title": "tigerbeetle/tigerbeetle", - "url": "https://github.com/tigerbeetle/tigerbeetle", - "description": "The financial transactions database designed for mission critical safety and performance.", + "title": "ziglang/zig", + "url": "https://github.com/ziglang/zig", + "description": "General-purpose programming language and toolchain for maintaining robust, optimal, and reusable software.", "language": "Zig", "languageColor": "#ec915c", - "stars": "10,643", - "forks": "520", - "addStars": "11", + "stars": "35,112", + "forks": "2,559", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/461112?s=40&v=4", - "name": "sentientwaffle", - "url": "https://github.com/sentientwaffle" + "avatar": "https://avatars.githubusercontent.com/u/106511?s=40&v=4", + "name": "andrewrk", + "url": "https://github.com/andrewrk" }, { - "avatar": "https://avatars.githubusercontent.com/u/1711539?s=40&v=4", - "name": "matklad", - "url": "https://github.com/matklad" + "avatar": "https://avatars.githubusercontent.com/u/1519747?s=40&v=4", + "name": "kubkon", + "url": "https://github.com/kubkon" }, { - "avatar": "https://avatars.githubusercontent.com/u/413206?s=40&v=4", - "name": "jorangreef", - "url": "https://github.com/jorangreef" + "avatar": "https://avatars.githubusercontent.com/u/15308111?s=40&v=4", + "name": "Vexu", + "url": "https://github.com/Vexu" }, { - "avatar": "https://avatars.githubusercontent.com/u/5728002?s=40&v=4", - "name": "batiati", - "url": "https://github.com/batiati" + "avatar": "https://avatars.githubusercontent.com/u/15544577?s=40&v=4", + "name": "jacobly0", + "url": "https://github.com/jacobly0" }, { - "avatar": "https://avatars.githubusercontent.com/u/703017?s=40&v=4", - "name": "cb22", - "url": "https://github.com/cb22" + "avatar": "https://avatars.githubusercontent.com/u/77388?s=40&v=4", + "name": "LemonBoy", + "url": "https://github.com/LemonBoy" } ] }, { - "title": "kristoff-it/superhtml", - "url": "https://github.com/kristoff-it/superhtml", - "description": "HTML Language Server & Templating Language Library", + "title": "neurocyte/flow", + "url": "https://github.com/neurocyte/flow", + "description": "Flow Control: a programmer's text editor", "language": "Zig", "languageColor": "#ec915c", - "stars": "745", - "forks": "27", - "addStars": "2", + "stars": "434", + "forks": "21", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1950733?s=40&v=4", - "name": "kristoff-it", - "url": "https://github.com/kristoff-it" + "avatar": "https://avatars.githubusercontent.com/u/1552770?s=40&v=4", + "name": "neurocyte", + "url": "https://github.com/neurocyte" }, { - "avatar": "https://avatars.githubusercontent.com/u/61841960?s=40&v=4", - "name": "Arnau478", - "url": "https://github.com/Arnau478" + "avatar": "https://avatars.githubusercontent.com/u/51252236?s=40&v=4", + "name": "xdBronch", + "url": "https://github.com/xdBronch" }, { - "avatar": "https://avatars.githubusercontent.com/u/2389051?s=40&v=4", - "name": "squeek502", - "url": "https://github.com/squeek502" + "avatar": "https://avatars.githubusercontent.com/u/48253336?s=40&v=4", + "name": "VisenDev", + "url": "https://github.com/VisenDev" }, { - "avatar": "https://avatars.githubusercontent.com/u/19954306?s=40&v=4", - "name": "Techatrix", - "url": "https://github.com/Techatrix" + "avatar": "https://avatars.githubusercontent.com/u/96927121?s=40&v=4", + "name": "n0s4", + "url": "https://github.com/n0s4" }, { - "avatar": "https://avatars.githubusercontent.com/u/12489689?s=40&v=4", - "name": "RoloEdits", - "url": "https://github.com/RoloEdits" + "avatar": "https://avatars.githubusercontent.com/u/476352?s=40&v=4", + "name": "rockorager", + "url": "https://github.com/rockorager" } ] }, @@ -259,140 +333,150 @@ ] }, { - "title": "NilsIrl/dockerc", - "url": "https://github.com/NilsIrl/dockerc", - "description": "container image to single executable compiler", + "title": "zigtools/zls", + "url": "https://github.com/zigtools/zls", + "description": "A Zig language server supporting Zig developers with features like autocomplete and goto definition", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,316", - "forks": "67", - "addStars": "6", + "stars": "3,027", + "forks": "298", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26231126?s=40&v=4", - "name": "NilsIrl", - "url": "https://github.com/NilsIrl" + "avatar": "https://avatars.githubusercontent.com/u/19954306?s=40&v=4", + "name": "Techatrix", + "url": "https://github.com/Techatrix" }, { - "avatar": "https://avatars.githubusercontent.com/u/5306790?s=40&v=4", - "name": "beyse", - "url": "https://github.com/beyse" + "avatar": "https://avatars.githubusercontent.com/u/265903?s=40&v=4", + "name": "alexnask", + "url": "https://github.com/alexnask" }, { - "avatar": "https://avatars.githubusercontent.com/u/16263217?s=40&v=4", - "name": "wpcwzy", - "url": "https://github.com/wpcwzy" + "avatar": "https://avatars.githubusercontent.com/u/19855629?s=40&v=4", + "name": "SuperAuguste", + "url": "https://github.com/SuperAuguste" }, { - "avatar": "https://avatars.githubusercontent.com/u/92532497?s=40&v=4", - "name": "0ekk", - "url": "https://github.com/0ekk" + "avatar": "https://avatars.githubusercontent.com/u/2286349?s=40&v=4", + "name": "leecannon", + "url": "https://github.com/leecannon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16590917?s=40&v=4", + "name": "llogick", + "url": "https://github.com/llogick" } ] }, { - "title": "pedropark99/zig-book", - "url": "https://github.com/pedropark99/zig-book", - "description": "An open, technical and introductory book for the Zig programming language", + "title": "ZigEmbeddedGroup/microzig", + "url": "https://github.com/ZigEmbeddedGroup/microzig", + "description": "Unified abstraction layer and HAL for several microcontrollers", "language": "Zig", "languageColor": "#ec915c", - "stars": "622", - "forks": "25", + "stars": "1,251", + "forks": "102", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/69123925?s=40&v=4", - "name": "pedropark99", - "url": "https://github.com/pedropark99" + "avatar": "https://avatars.githubusercontent.com/u/7270159?s=40&v=4", + "name": "mattnite", + "url": "https://github.com/mattnite" }, { - "avatar": "https://avatars.githubusercontent.com/u/85294588?s=40&v=4", - "name": "kurz-m", - "url": "https://github.com/kurz-m" + "avatar": "https://avatars.githubusercontent.com/u/3932972?s=40&v=4", + "name": "ikskuh", + "url": "https://github.com/ikskuh" }, { - "avatar": "https://avatars.githubusercontent.com/u/943597?s=40&v=4", - "name": "icholy", - "url": "https://github.com/icholy" + "avatar": "https://avatars.githubusercontent.com/u/1974995?s=40&v=4", + "name": "vesim987", + "url": "https://github.com/vesim987" }, { - "avatar": "https://avatars.githubusercontent.com/u/596136?s=40&v=4", - "name": "sroller", - "url": "https://github.com/sroller" + "avatar": "https://avatars.githubusercontent.com/u/2528778?s=40&v=4", + "name": "rbino", + "url": "https://github.com/rbino" }, { - "avatar": "https://avatars.githubusercontent.com/u/776695?s=40&v=4", - "name": "slackline", - "url": "https://github.com/slackline" + "avatar": "https://avatars.githubusercontent.com/u/34610?s=40&v=4", + "name": "marnix", + "url": "https://github.com/marnix" } ] }, { - "title": "Dr-Nekoma/lyceum", - "url": "https://github.com/Dr-Nekoma/lyceum", - "description": "An MMO game written in Erlang (+ PostgreSQL) + Zig (+ Raylib)", + "title": "kristoff-it/superhtml", + "url": "https://github.com/kristoff-it/superhtml", + "description": "HTML Language Server & Templating Language Library", "language": "Zig", "languageColor": "#ec915c", - "stars": "226", - "forks": "6", - "addStars": "5", + "stars": "745", + "forks": "27", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/38084011?s=40&v=4", - "name": "EduardoLR10", - "url": "https://github.com/EduardoLR10" + "avatar": "https://avatars.githubusercontent.com/u/1950733?s=40&v=4", + "name": "kristoff-it", + "url": "https://github.com/kristoff-it" }, { - "avatar": "https://avatars.githubusercontent.com/u/48695102?s=40&v=4", - "name": "z-silver", - "url": "https://github.com/z-silver" + "avatar": "https://avatars.githubusercontent.com/u/61841960?s=40&v=4", + "name": "Arnau478", + "url": "https://github.com/Arnau478" }, { - "avatar": "https://avatars.githubusercontent.com/u/16356569?s=40&v=4", - "name": "mtrsk", - "url": "https://github.com/mtrsk" + "avatar": "https://avatars.githubusercontent.com/u/2389051?s=40&v=4", + "name": "squeek502", + "url": "https://github.com/squeek502" }, { - "avatar": "https://avatars.githubusercontent.com/u/14153897?s=40&v=4", - "name": "MMagueta", - "url": "https://github.com/MMagueta" + "avatar": "https://avatars.githubusercontent.com/u/19954306?s=40&v=4", + "name": "Techatrix", + "url": "https://github.com/Techatrix" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12489689?s=40&v=4", + "name": "RoloEdits", + "url": "https://github.com/RoloEdits" } ] }, { - "title": "fairyglade/ly", - "url": "https://github.com/fairyglade/ly", - "description": "display manager with console UI", + "title": "oven-sh/bun", + "url": "https://github.com/oven-sh/bun", + "description": "Incredibly fast JavaScript runtime, bundler, test runner, and package manager – all in one", "language": "Zig", "languageColor": "#ec915c", - "stars": "5,499", - "forks": "307", - "addStars": "2", + "stars": "74,395", + "forks": "2,781", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/49339966?s=40&v=4", - "name": "AnErrupTion", - "url": "https://github.com/AnErrupTion" + "avatar": "https://avatars.githubusercontent.com/u/709451?s=40&v=4", + "name": "Jarred-Sumner", + "url": "https://github.com/Jarred-Sumner" }, { - "avatar": "https://avatars.githubusercontent.com/u/5473047?s=40&v=4", - "name": "nullgemm", - "url": "https://github.com/nullgemm" + "avatar": "https://avatars.githubusercontent.com/u/35280289?s=40&v=4", + "name": "dylan-conway", + "url": "https://github.com/dylan-conway" }, { - "avatar": "https://avatars.githubusercontent.com/u/718169?s=40&v=4", - "name": "stlaz", - "url": "https://github.com/stlaz" + "avatar": "https://avatars.githubusercontent.com/u/24465214?s=40&v=4", + "name": "paperdave", + "url": "https://github.com/paperdave" }, { - "avatar": "https://avatars.githubusercontent.com/u/686169?s=40&v=4", - "name": "liweitianux", - "url": "https://github.com/liweitianux" + "avatar": "https://avatars.githubusercontent.com/u/3238291?s=40&v=4", + "name": "Electroid", + "url": "https://github.com/Electroid" }, { - "avatar": "https://avatars.githubusercontent.com/u/3621083?s=40&v=4", - "name": "roosemberth", - "url": "https://github.com/roosemberth" + "avatar": "https://avatars.githubusercontent.com/u/5464072?s=40&v=4", + "name": "nektro", + "url": "https://github.com/nektro" } ] }, @@ -402,7 +486,7 @@ "description": "[mirror] A dynamic tiling Wayland compositor", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,320", + "stars": "3,321", "forks": "152", "addStars": "3", "contributors": [ @@ -432,80 +516,6 @@ "url": "https://github.com/pmkap" } ] - }, - { - "title": "ZigEmbeddedGroup/microzig", - "url": "https://github.com/ZigEmbeddedGroup/microzig", - "description": "Unified abstraction layer and HAL for several microcontrollers", - "language": "Zig", - "languageColor": "#ec915c", - "stars": "1,251", - "forks": "102", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7270159?s=40&v=4", - "name": "mattnite", - "url": "https://github.com/mattnite" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3932972?s=40&v=4", - "name": "ikskuh", - "url": "https://github.com/ikskuh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1974995?s=40&v=4", - "name": "vesim987", - "url": "https://github.com/vesim987" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2528778?s=40&v=4", - "name": "rbino", - "url": "https://github.com/rbino" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34610?s=40&v=4", - "name": "marnix", - "url": "https://github.com/marnix" - } - ] - }, - { - "title": "Not-Nik/raylib-zig", - "url": "https://github.com/Not-Nik/raylib-zig", - "description": "Manually tweaked, auto-generated raylib bindings for zig. https://github.com/raysan5/raylib", - "language": "Zig", - "languageColor": "#ec915c", - "stars": "697", - "forks": "122", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/32980656?s=40&v=4", - "name": "Not-Nik", - "url": "https://github.com/Not-Nik" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/69832658?s=40&v=4", - "name": "bluesillybeard", - "url": "https://github.com/bluesillybeard" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/74560659?s=40&v=4", - "name": "iacore", - "url": "https://github.com/iacore" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5048558?s=40&v=4", - "name": "mbcrocci", - "url": "https://github.com/mbcrocci" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6413317?s=40&v=4", - "name": "jdoleary", - "url": "https://github.com/jdoleary" - } - ] } ] } \ No newline at end of file diff --git a/data/daily/zig.xml b/data/daily/zig.xml index 3689fd48ee93..4d923fd942e0 100644 --- a/data/daily/zig.xml +++ b/data/daily/zig.xml @@ -3,227 +3,303 @@ GitHub Zig Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Zig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - oven-sh/bun - https://github.com/oven-sh/bun - Incredibly fast JavaScript runtime, bundler, test runner, and package manager – all in one - https://github.com/oven-sh/bun + tigerbeetle/tigerbeetle + https://github.com/tigerbeetle/tigerbeetle + The financial transactions database designed for mission critical safety and performance. + https://github.com/tigerbeetle/tigerbeetle Zig #ec915c - 74,386 - 2,782 - 13 + 10,646 + 520 + 11 - https://avatars.githubusercontent.com/u/709451?s=40&v=4 - Jarred-Sumner - https://github.com/Jarred-Sumner + https://avatars.githubusercontent.com/u/461112?s=40&v=4 + sentientwaffle + https://github.com/sentientwaffle - https://avatars.githubusercontent.com/u/35280289?s=40&v=4 - dylan-conway - https://github.com/dylan-conway + https://avatars.githubusercontent.com/u/1711539?s=40&v=4 + matklad + https://github.com/matklad - https://avatars.githubusercontent.com/u/24465214?s=40&v=4 - paperdave - https://github.com/paperdave + https://avatars.githubusercontent.com/u/413206?s=40&v=4 + jorangreef + https://github.com/jorangreef - https://avatars.githubusercontent.com/u/3238291?s=40&v=4 - Electroid - https://github.com/Electroid + https://avatars.githubusercontent.com/u/5728002?s=40&v=4 + batiati + https://github.com/batiati - https://avatars.githubusercontent.com/u/5464072?s=40&v=4 - nektro - https://github.com/nektro + https://avatars.githubusercontent.com/u/703017?s=40&v=4 + cb22 + https://github.com/cb22 - ziglang/zig - https://github.com/ziglang/zig - General-purpose programming language and toolchain for maintaining robust, optimal, and reusable software. - https://github.com/ziglang/zig + Not-Nik/raylib-zig + https://github.com/Not-Nik/raylib-zig + Manually tweaked, auto-generated raylib bindings for zig. https://github.com/raysan5/raylib + https://github.com/Not-Nik/raylib-zig Zig #ec915c - 35,103 - 2,558 - 22 + 697 + 122 + 4 - https://avatars.githubusercontent.com/u/106511?s=40&v=4 - andrewrk - https://github.com/andrewrk + https://avatars.githubusercontent.com/u/32980656?s=40&v=4 + Not-Nik + https://github.com/Not-Nik - https://avatars.githubusercontent.com/u/1519747?s=40&v=4 - kubkon - https://github.com/kubkon + https://avatars.githubusercontent.com/u/69832658?s=40&v=4 + bluesillybeard + https://github.com/bluesillybeard - https://avatars.githubusercontent.com/u/15308111?s=40&v=4 - Vexu - https://github.com/Vexu + https://avatars.githubusercontent.com/u/74560659?s=40&v=4 + iacore + https://github.com/iacore - https://avatars.githubusercontent.com/u/15544577?s=40&v=4 - jacobly0 - https://github.com/jacobly0 + https://avatars.githubusercontent.com/u/5048558?s=40&v=4 + mbcrocci + https://github.com/mbcrocci - https://avatars.githubusercontent.com/u/77388?s=40&v=4 - LemonBoy - https://github.com/LemonBoy + https://avatars.githubusercontent.com/u/6413317?s=40&v=4 + jdoleary + https://github.com/jdoleary - mitchellh/libxev - https://github.com/mitchellh/libxev - libxev is a cross-platform, high-performance event loop that provides abstractions for non-blocking IO, timers, events, and more and works on Linux (io_uring or epoll), macOS (kqueue), and Wasm + WASI. Available as both a Zig and C API. - https://github.com/mitchellh/libxev + fairyglade/ly + https://github.com/fairyglade/ly + display manager with console UI + https://github.com/fairyglade/ly Zig #ec915c - 2,152 - 77 - 1 + 5,498 + 307 + 2 - https://avatars.githubusercontent.com/u/1299?s=40&v=4 - mitchellh - https://github.com/mitchellh + https://avatars.githubusercontent.com/u/49339966?s=40&v=4 + AnErrupTion + https://github.com/AnErrupTion - https://avatars.githubusercontent.com/u/1839807?s=40&v=4 - Corendos - https://github.com/Corendos + https://avatars.githubusercontent.com/u/5473047?s=40&v=4 + nullgemm + https://github.com/nullgemm - https://avatars.githubusercontent.com/u/35909?s=40&v=4 - ianic - https://github.com/ianic + https://avatars.githubusercontent.com/u/718169?s=40&v=4 + stlaz + https://github.com/stlaz - https://avatars.githubusercontent.com/u/1159529?s=40&v=4 - linuxy - https://github.com/linuxy + https://avatars.githubusercontent.com/u/686169?s=40&v=4 + liweitianux + https://github.com/liweitianux + + + https://avatars.githubusercontent.com/u/3621083?s=40&v=4 + roosemberth + https://github.com/roosemberth - zigtools/zls - https://github.com/zigtools/zls - A Zig language server supporting Zig developers with features like autocomplete and goto definition - https://github.com/zigtools/zls + hexops/mach + https://github.com/hexops/mach + zig game engine & graphics toolkit + https://github.com/hexops/mach Zig #ec915c - 3,026 - 298 + 3,391 + 161 + 5 + + + https://avatars.githubusercontent.com/u/3173176?s=40&v=4 + slimsag + https://github.com/slimsag + + + https://avatars.githubusercontent.com/u/63465728?s=40&v=4 + alichraghi + https://github.com/alichraghi + + + https://avatars.githubusercontent.com/u/54106488?s=40&v=4 + iddev5 + https://github.com/iddev5 + + + https://avatars.githubusercontent.com/u/59504965?s=40&v=4 + InKryption + https://github.com/InKryption + + + https://avatars.githubusercontent.com/u/118697282?s=40&v=4 + wrench-bot + https://github.com/wrench-bot + + + + + Dr-Nekoma/lyceum + https://github.com/Dr-Nekoma/lyceum + An MMO game written in Erlang (+ PostgreSQL) + Zig (+ Raylib) + https://github.com/Dr-Nekoma/lyceum + Zig + #ec915c + 227 + 6 + 5 + + + https://avatars.githubusercontent.com/u/38084011?s=40&v=4 + EduardoLR10 + https://github.com/EduardoLR10 + + + https://avatars.githubusercontent.com/u/48695102?s=40&v=4 + z-silver + https://github.com/z-silver + + + https://avatars.githubusercontent.com/u/16356569?s=40&v=4 + mtrsk + https://github.com/mtrsk + + + https://avatars.githubusercontent.com/u/14153897?s=40&v=4 + MMagueta + https://github.com/MMagueta + + + + + rockorager/libvaxis + https://github.com/rockorager/libvaxis + a modern tui library written in zig + https://github.com/rockorager/libvaxis + Zig + #ec915c + 503 + 36 2 - https://avatars.githubusercontent.com/u/19954306?s=40&v=4 - Techatrix - https://github.com/Techatrix + https://avatars.githubusercontent.com/u/476352?s=40&v=4 + rockorager + https://github.com/rockorager - https://avatars.githubusercontent.com/u/265903?s=40&v=4 - alexnask - https://github.com/alexnask + https://avatars.githubusercontent.com/u/68087632?s=40&v=4 + 00JCIV00 + https://github.com/00JCIV00 - https://avatars.githubusercontent.com/u/19855629?s=40&v=4 - SuperAuguste - https://github.com/SuperAuguste + https://avatars.githubusercontent.com/u/1552770?s=40&v=4 + neurocyte + https://github.com/neurocyte - https://avatars.githubusercontent.com/u/2286349?s=40&v=4 - leecannon - https://github.com/leecannon + https://avatars.githubusercontent.com/u/46907231?s=40&v=4 + robbielyman + https://github.com/robbielyman - https://avatars.githubusercontent.com/u/16590917?s=40&v=4 - llogick - https://github.com/llogick + https://avatars.githubusercontent.com/u/480330?s=40&v=4 + Cloudef + https://github.com/Cloudef - tigerbeetle/tigerbeetle - https://github.com/tigerbeetle/tigerbeetle - The financial transactions database designed for mission critical safety and performance. - https://github.com/tigerbeetle/tigerbeetle + ziglang/zig + https://github.com/ziglang/zig + General-purpose programming language and toolchain for maintaining robust, optimal, and reusable software. + https://github.com/ziglang/zig Zig #ec915c - 10,643 - 520 - 11 + 35,112 + 2,559 + 22 - https://avatars.githubusercontent.com/u/461112?s=40&v=4 - sentientwaffle - https://github.com/sentientwaffle + https://avatars.githubusercontent.com/u/106511?s=40&v=4 + andrewrk + https://github.com/andrewrk - https://avatars.githubusercontent.com/u/1711539?s=40&v=4 - matklad - https://github.com/matklad + https://avatars.githubusercontent.com/u/1519747?s=40&v=4 + kubkon + https://github.com/kubkon - https://avatars.githubusercontent.com/u/413206?s=40&v=4 - jorangreef - https://github.com/jorangreef + https://avatars.githubusercontent.com/u/15308111?s=40&v=4 + Vexu + https://github.com/Vexu - https://avatars.githubusercontent.com/u/5728002?s=40&v=4 - batiati - https://github.com/batiati + https://avatars.githubusercontent.com/u/15544577?s=40&v=4 + jacobly0 + https://github.com/jacobly0 - https://avatars.githubusercontent.com/u/703017?s=40&v=4 - cb22 - https://github.com/cb22 + https://avatars.githubusercontent.com/u/77388?s=40&v=4 + LemonBoy + https://github.com/LemonBoy - kristoff-it/superhtml - https://github.com/kristoff-it/superhtml - HTML Language Server & Templating Language Library - https://github.com/kristoff-it/superhtml + neurocyte/flow + https://github.com/neurocyte/flow + Flow Control: a programmer's text editor + https://github.com/neurocyte/flow Zig #ec915c - 745 - 27 - 2 + 434 + 21 + 4 - https://avatars.githubusercontent.com/u/1950733?s=40&v=4 - kristoff-it - https://github.com/kristoff-it + https://avatars.githubusercontent.com/u/1552770?s=40&v=4 + neurocyte + https://github.com/neurocyte - https://avatars.githubusercontent.com/u/61841960?s=40&v=4 - Arnau478 - https://github.com/Arnau478 + https://avatars.githubusercontent.com/u/51252236?s=40&v=4 + xdBronch + https://github.com/xdBronch - https://avatars.githubusercontent.com/u/2389051?s=40&v=4 - squeek502 - https://github.com/squeek502 + https://avatars.githubusercontent.com/u/48253336?s=40&v=4 + VisenDev + https://github.com/VisenDev - https://avatars.githubusercontent.com/u/19954306?s=40&v=4 - Techatrix - https://github.com/Techatrix + https://avatars.githubusercontent.com/u/96927121?s=40&v=4 + n0s4 + https://github.com/n0s4 - https://avatars.githubusercontent.com/u/12489689?s=40&v=4 - RoloEdits - https://github.com/RoloEdits + https://avatars.githubusercontent.com/u/476352?s=40&v=4 + rockorager + https://github.com/rockorager @@ -266,144 +342,154 @@ - NilsIrl/dockerc - https://github.com/NilsIrl/dockerc - container image to single executable compiler - https://github.com/NilsIrl/dockerc + zigtools/zls + https://github.com/zigtools/zls + A Zig language server supporting Zig developers with features like autocomplete and goto definition + https://github.com/zigtools/zls Zig #ec915c - 3,316 - 67 - 6 + 3,027 + 298 + 2 - https://avatars.githubusercontent.com/u/26231126?s=40&v=4 - NilsIrl - https://github.com/NilsIrl + https://avatars.githubusercontent.com/u/19954306?s=40&v=4 + Techatrix + https://github.com/Techatrix + + + https://avatars.githubusercontent.com/u/265903?s=40&v=4 + alexnask + https://github.com/alexnask - https://avatars.githubusercontent.com/u/5306790?s=40&v=4 - beyse - https://github.com/beyse + https://avatars.githubusercontent.com/u/19855629?s=40&v=4 + SuperAuguste + https://github.com/SuperAuguste - https://avatars.githubusercontent.com/u/16263217?s=40&v=4 - wpcwzy - https://github.com/wpcwzy + https://avatars.githubusercontent.com/u/2286349?s=40&v=4 + leecannon + https://github.com/leecannon - https://avatars.githubusercontent.com/u/92532497?s=40&v=4 - 0ekk - https://github.com/0ekk + https://avatars.githubusercontent.com/u/16590917?s=40&v=4 + llogick + https://github.com/llogick - pedropark99/zig-book - https://github.com/pedropark99/zig-book - An open, technical and introductory book for the Zig programming language - https://github.com/pedropark99/zig-book + ZigEmbeddedGroup/microzig + https://github.com/ZigEmbeddedGroup/microzig + Unified abstraction layer and HAL for several microcontrollers + https://github.com/ZigEmbeddedGroup/microzig Zig #ec915c - 622 - 25 + 1,251 + 102 2 - https://avatars.githubusercontent.com/u/69123925?s=40&v=4 - pedropark99 - https://github.com/pedropark99 + https://avatars.githubusercontent.com/u/7270159?s=40&v=4 + mattnite + https://github.com/mattnite - https://avatars.githubusercontent.com/u/85294588?s=40&v=4 - kurz-m - https://github.com/kurz-m + https://avatars.githubusercontent.com/u/3932972?s=40&v=4 + ikskuh + https://github.com/ikskuh - https://avatars.githubusercontent.com/u/943597?s=40&v=4 - icholy - https://github.com/icholy + https://avatars.githubusercontent.com/u/1974995?s=40&v=4 + vesim987 + https://github.com/vesim987 - https://avatars.githubusercontent.com/u/596136?s=40&v=4 - sroller - https://github.com/sroller + https://avatars.githubusercontent.com/u/2528778?s=40&v=4 + rbino + https://github.com/rbino - https://avatars.githubusercontent.com/u/776695?s=40&v=4 - slackline - https://github.com/slackline + https://avatars.githubusercontent.com/u/34610?s=40&v=4 + marnix + https://github.com/marnix - Dr-Nekoma/lyceum - https://github.com/Dr-Nekoma/lyceum - An MMO game written in Erlang (+ PostgreSQL) + Zig (+ Raylib) - https://github.com/Dr-Nekoma/lyceum + kristoff-it/superhtml + https://github.com/kristoff-it/superhtml + HTML Language Server & Templating Language Library + https://github.com/kristoff-it/superhtml Zig #ec915c - 226 - 6 - 5 + 745 + 27 + 2 - https://avatars.githubusercontent.com/u/38084011?s=40&v=4 - EduardoLR10 - https://github.com/EduardoLR10 + https://avatars.githubusercontent.com/u/1950733?s=40&v=4 + kristoff-it + https://github.com/kristoff-it - https://avatars.githubusercontent.com/u/48695102?s=40&v=4 - z-silver - https://github.com/z-silver + https://avatars.githubusercontent.com/u/61841960?s=40&v=4 + Arnau478 + https://github.com/Arnau478 - https://avatars.githubusercontent.com/u/16356569?s=40&v=4 - mtrsk - https://github.com/mtrsk + https://avatars.githubusercontent.com/u/2389051?s=40&v=4 + squeek502 + https://github.com/squeek502 - https://avatars.githubusercontent.com/u/14153897?s=40&v=4 - MMagueta - https://github.com/MMagueta + https://avatars.githubusercontent.com/u/19954306?s=40&v=4 + Techatrix + https://github.com/Techatrix + + + https://avatars.githubusercontent.com/u/12489689?s=40&v=4 + RoloEdits + https://github.com/RoloEdits - fairyglade/ly - https://github.com/fairyglade/ly - display manager with console UI - https://github.com/fairyglade/ly + oven-sh/bun + https://github.com/oven-sh/bun + Incredibly fast JavaScript runtime, bundler, test runner, and package manager – all in one + https://github.com/oven-sh/bun Zig #ec915c - 5,499 - 307 - 2 + 74,395 + 2,781 + 13 - https://avatars.githubusercontent.com/u/49339966?s=40&v=4 - AnErrupTion - https://github.com/AnErrupTion + https://avatars.githubusercontent.com/u/709451?s=40&v=4 + Jarred-Sumner + https://github.com/Jarred-Sumner - https://avatars.githubusercontent.com/u/5473047?s=40&v=4 - nullgemm - https://github.com/nullgemm + https://avatars.githubusercontent.com/u/35280289?s=40&v=4 + dylan-conway + https://github.com/dylan-conway - https://avatars.githubusercontent.com/u/718169?s=40&v=4 - stlaz - https://github.com/stlaz + https://avatars.githubusercontent.com/u/24465214?s=40&v=4 + paperdave + https://github.com/paperdave - https://avatars.githubusercontent.com/u/686169?s=40&v=4 - liweitianux - https://github.com/liweitianux + https://avatars.githubusercontent.com/u/3238291?s=40&v=4 + Electroid + https://github.com/Electroid - https://avatars.githubusercontent.com/u/3621083?s=40&v=4 - roosemberth - https://github.com/roosemberth + https://avatars.githubusercontent.com/u/5464072?s=40&v=4 + nektro + https://github.com/nektro @@ -414,7 +500,7 @@ https://github.com/riverwm/river Zig #ec915c - 3,320 + 3,321 152 3 @@ -445,81 +531,5 @@ - - ZigEmbeddedGroup/microzig - https://github.com/ZigEmbeddedGroup/microzig - Unified abstraction layer and HAL for several microcontrollers - https://github.com/ZigEmbeddedGroup/microzig - Zig - #ec915c - 1,251 - 102 - 2 - - - https://avatars.githubusercontent.com/u/7270159?s=40&v=4 - mattnite - https://github.com/mattnite - - - https://avatars.githubusercontent.com/u/3932972?s=40&v=4 - ikskuh - https://github.com/ikskuh - - - https://avatars.githubusercontent.com/u/1974995?s=40&v=4 - vesim987 - https://github.com/vesim987 - - - https://avatars.githubusercontent.com/u/2528778?s=40&v=4 - rbino - https://github.com/rbino - - - https://avatars.githubusercontent.com/u/34610?s=40&v=4 - marnix - https://github.com/marnix - - - - - Not-Nik/raylib-zig - https://github.com/Not-Nik/raylib-zig - Manually tweaked, auto-generated raylib bindings for zig. https://github.com/raysan5/raylib - https://github.com/Not-Nik/raylib-zig - Zig - #ec915c - 697 - 122 - 4 - - - https://avatars.githubusercontent.com/u/32980656?s=40&v=4 - Not-Nik - https://github.com/Not-Nik - - - https://avatars.githubusercontent.com/u/69832658?s=40&v=4 - bluesillybeard - https://github.com/bluesillybeard - - - https://avatars.githubusercontent.com/u/74560659?s=40&v=4 - iacore - https://github.com/iacore - - - https://avatars.githubusercontent.com/u/5048558?s=40&v=4 - mbcrocci - https://github.com/mbcrocci - - - https://avatars.githubusercontent.com/u/6413317?s=40&v=4 - jdoleary - https://github.com/jdoleary - - - \ No newline at end of file diff --git a/data/daily/zil.json b/data/daily/zil.json index bcd08b11cfbd..d80775e9655e 100644 --- a/data/daily/zil.json +++ b/data/daily/zil.json @@ -2,6 +2,6 @@ "title": "GitHub Zil Languages Daily Trending", "description": "Daily Trending of Zil Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/zil.xml b/data/daily/zil.xml index 963a590edd30..672c13213219 100644 --- a/data/daily/zil.xml +++ b/data/daily/zil.xml @@ -3,6 +3,6 @@ GitHub Zil Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Zil Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/daily/zimpl.json b/data/daily/zimpl.json index c9897a0caaf4..7f57a448e06a 100644 --- a/data/daily/zimpl.json +++ b/data/daily/zimpl.json @@ -2,6 +2,6 @@ "title": "GitHub Zimpl Languages Daily Trending", "description": "Daily Trending of Zimpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/daily/zimpl.xml b/data/daily/zimpl.xml index c327433d3565..5f0ac60f15e1 100644 --- a/data/daily/zimpl.xml +++ b/data/daily/zimpl.xml @@ -3,6 +3,6 @@ GitHub Zimpl Languages Daily Trending https://github.com/isboyjc/github-trending-api Daily Trending of Zimpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/1c-enterprise.json b/data/monthly/1c-enterprise.json index e113587ac015..f130c7e6bb0a 100644 --- a/data/monthly/1c-enterprise.json +++ b/data/monthly/1c-enterprise.json @@ -2,7 +2,7 @@ "title": "GitHub 1c-enterprise Languages Monthly Trending", "description": "Monthly Trending of 1c-enterprise Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Pr-Mex/vanessa-automation", diff --git a/data/monthly/1c-enterprise.xml b/data/monthly/1c-enterprise.xml index 41d66f327032..a64f714d6784 100644 --- a/data/monthly/1c-enterprise.xml +++ b/data/monthly/1c-enterprise.xml @@ -3,7 +3,7 @@ GitHub 1c-enterprise Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of 1c-enterprise Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Pr-Mex/vanessa-automation https://github.com/Pr-Mex/vanessa-automation diff --git a/data/monthly/2-dimensional-array.json b/data/monthly/2-dimensional-array.json index f0c6b2e026af..445604cce80a 100644 --- a/data/monthly/2-dimensional-array.json +++ b/data/monthly/2-dimensional-array.json @@ -2,6 +2,6 @@ "title": "GitHub 2-dimensional-array Languages Monthly Trending", "description": "Monthly Trending of 2-dimensional-array Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/2-dimensional-array.xml b/data/monthly/2-dimensional-array.xml index 2ad75863a58a..76aeab451824 100644 --- a/data/monthly/2-dimensional-array.xml +++ b/data/monthly/2-dimensional-array.xml @@ -3,6 +3,6 @@ GitHub 2-dimensional-array Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of 2-dimensional-array Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/4d.json b/data/monthly/4d.json index b7aeb5bf5a66..dc654beb8afc 100644 --- a/data/monthly/4d.json +++ b/data/monthly/4d.json @@ -2,6 +2,6 @@ "title": "GitHub 4d Languages Monthly Trending", "description": "Monthly Trending of 4d Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/4d.xml b/data/monthly/4d.xml index 7b2df8e4ff8a..4933c4b886cd 100644 --- a/data/monthly/4d.xml +++ b/data/monthly/4d.xml @@ -3,6 +3,6 @@ GitHub 4d Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of 4d Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/abap-cds.json b/data/monthly/abap-cds.json index 7ef55f0cfbf0..7ccb26dbf8ae 100644 --- a/data/monthly/abap-cds.json +++ b/data/monthly/abap-cds.json @@ -2,6 +2,6 @@ "title": "GitHub Abap-cds Languages Monthly Trending", "description": "Monthly Trending of Abap-cds Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/abap-cds.xml b/data/monthly/abap-cds.xml index 140cc54a7f7b..8f1b08c13259 100644 --- a/data/monthly/abap-cds.xml +++ b/data/monthly/abap-cds.xml @@ -3,6 +3,6 @@ GitHub Abap-cds Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Abap-cds Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/abap.json b/data/monthly/abap.json index ba64e13ed4eb..165d67a48548 100644 --- a/data/monthly/abap.json +++ b/data/monthly/abap.json @@ -2,7 +2,7 @@ "title": "GitHub Abap Languages Monthly Trending", "description": "Monthly Trending of Abap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "abapGit/abapGit", diff --git a/data/monthly/abap.xml b/data/monthly/abap.xml index a11cc95f8043..eed5750fc999 100644 --- a/data/monthly/abap.xml +++ b/data/monthly/abap.xml @@ -3,7 +3,7 @@ GitHub Abap Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Abap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT abapGit/abapGit https://github.com/abapGit/abapGit diff --git a/data/monthly/abnf.json b/data/monthly/abnf.json index 66eb14d5fe0c..a1c832d4276a 100644 --- a/data/monthly/abnf.json +++ b/data/monthly/abnf.json @@ -2,6 +2,6 @@ "title": "GitHub Abnf Languages Monthly Trending", "description": "Monthly Trending of Abnf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/abnf.xml b/data/monthly/abnf.xml index 33afb0eccbf4..fa79b4bfa82e 100644 --- a/data/monthly/abnf.xml +++ b/data/monthly/abnf.xml @@ -3,6 +3,6 @@ GitHub Abnf Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Abnf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/actionscript.json b/data/monthly/actionscript.json index 1a81262c2653..a7edb0c949a9 100644 --- a/data/monthly/actionscript.json +++ b/data/monthly/actionscript.json @@ -2,6 +2,6 @@ "title": "GitHub Actionscript Languages Monthly Trending", "description": "Monthly Trending of Actionscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/actionscript.xml b/data/monthly/actionscript.xml index be7289b94920..c944d2e4fb31 100644 --- a/data/monthly/actionscript.xml +++ b/data/monthly/actionscript.xml @@ -3,6 +3,6 @@ GitHub Actionscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Actionscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ada.json b/data/monthly/ada.json index 8a6a98a70be6..5d35e49b23d8 100644 --- a/data/monthly/ada.json +++ b/data/monthly/ada.json @@ -2,6 +2,6 @@ "title": "GitHub Ada Languages Monthly Trending", "description": "Monthly Trending of Ada Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ada.xml b/data/monthly/ada.xml index 7052e6ebf6e2..1b3ab2f3ebd7 100644 --- a/data/monthly/ada.xml +++ b/data/monthly/ada.xml @@ -3,6 +3,6 @@ GitHub Ada Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ada Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/adblock-filter-list.json b/data/monthly/adblock-filter-list.json index 0943504eb673..a21eb0fa9d75 100644 --- a/data/monthly/adblock-filter-list.json +++ b/data/monthly/adblock-filter-list.json @@ -2,7 +2,7 @@ "title": "GitHub Adblock-filter-list Languages Monthly Trending", "description": "Monthly Trending of Adblock-filter-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "AdguardTeam/AdguardFilters", @@ -10,7 +10,7 @@ "description": "AdGuard Content Blocking Filters", "language": "Adblock Filter List", "languageColor": "#800000", - "stars": "3,249", + "stars": "3,253", "forks": "637", "addStars": "91", "contributors": [ @@ -114,43 +114,6 @@ "url": "https://github.com/jellizaveta" } ] - }, - { - "title": "uBlockOrigin/uAssets", - "url": "https://github.com/uBlockOrigin/uAssets", - "description": "Resources for uBlock Origin, uMatrix: static filter lists, ready-to-use rulesets, etc.", - "language": "Adblock Filter List", - "languageColor": "#800000", - "stars": "4,226", - "forks": "777", - "addStars": "116", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/10303732?s=40&v=4", - "name": "mapx-", - "url": "https://github.com/mapx-" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58900598?s=40&v=4", - "name": "Yuki2718", - "url": "https://github.com/Yuki2718" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16838044?s=40&v=4", - "name": "okiehsch", - "url": "https://github.com/okiehsch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66517106?s=40&v=4", - "name": "stephenhawk8054", - "url": "https://github.com/stephenhawk8054" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21290713?s=40&v=4", - "name": "uBlock-user", - "url": "https://github.com/uBlock-user" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/adblock-filter-list.xml b/data/monthly/adblock-filter-list.xml index c1ff73473761..33ff9ec19c17 100644 --- a/data/monthly/adblock-filter-list.xml +++ b/data/monthly/adblock-filter-list.xml @@ -3,7 +3,7 @@ GitHub Adblock-filter-list Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Adblock-filter-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT AdguardTeam/AdguardFilters https://github.com/AdguardTeam/AdguardFilters @@ -11,7 +11,7 @@ https://github.com/AdguardTeam/AdguardFilters Adblock Filter List #800000 - 3,249 + 3,253 637 91 @@ -118,43 +118,5 @@ - - uBlockOrigin/uAssets - https://github.com/uBlockOrigin/uAssets - Resources for uBlock Origin, uMatrix: static filter lists, ready-to-use rulesets, etc. - https://github.com/uBlockOrigin/uAssets - Adblock Filter List - #800000 - 4,226 - 777 - 116 - - - https://avatars.githubusercontent.com/u/10303732?s=40&v=4 - mapx- - https://github.com/mapx- - - - https://avatars.githubusercontent.com/u/58900598?s=40&v=4 - Yuki2718 - https://github.com/Yuki2718 - - - https://avatars.githubusercontent.com/u/16838044?s=40&v=4 - okiehsch - https://github.com/okiehsch - - - https://avatars.githubusercontent.com/u/66517106?s=40&v=4 - stephenhawk8054 - https://github.com/stephenhawk8054 - - - https://avatars.githubusercontent.com/u/21290713?s=40&v=4 - uBlock-user - https://github.com/uBlock-user - - - \ No newline at end of file diff --git a/data/monthly/adobe-font-metrics.json b/data/monthly/adobe-font-metrics.json index 1d03032cb1fd..11f4c7f851a0 100644 --- a/data/monthly/adobe-font-metrics.json +++ b/data/monthly/adobe-font-metrics.json @@ -2,6 +2,6 @@ "title": "GitHub Adobe-font-metrics Languages Monthly Trending", "description": "Monthly Trending of Adobe-font-metrics Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/adobe-font-metrics.xml b/data/monthly/adobe-font-metrics.xml index b7c43f7db613..4add6c141138 100644 --- a/data/monthly/adobe-font-metrics.xml +++ b/data/monthly/adobe-font-metrics.xml @@ -3,6 +3,6 @@ GitHub Adobe-font-metrics Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Adobe-font-metrics Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/agda.json b/data/monthly/agda.json index 5feef309d487..ad0f2f72ed46 100644 --- a/data/monthly/agda.json +++ b/data/monthly/agda.json @@ -2,6 +2,6 @@ "title": "GitHub Agda Languages Monthly Trending", "description": "Monthly Trending of Agda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/agda.xml b/data/monthly/agda.xml index 43f96cba309c..b94125fcf7e8 100644 --- a/data/monthly/agda.xml +++ b/data/monthly/agda.xml @@ -3,6 +3,6 @@ GitHub Agda Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Agda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ags-script.json b/data/monthly/ags-script.json index 4bd4e61863d4..a4210d83b4bb 100644 --- a/data/monthly/ags-script.json +++ b/data/monthly/ags-script.json @@ -2,6 +2,6 @@ "title": "GitHub Ags-script Languages Monthly Trending", "description": "Monthly Trending of Ags-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ags-script.xml b/data/monthly/ags-script.xml index 2db463c66178..319611f631a9 100644 --- a/data/monthly/ags-script.xml +++ b/data/monthly/ags-script.xml @@ -3,6 +3,6 @@ GitHub Ags-script Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ags-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/aidl.json b/data/monthly/aidl.json index bc9ff9ef7078..717f748ddfe4 100644 --- a/data/monthly/aidl.json +++ b/data/monthly/aidl.json @@ -2,6 +2,6 @@ "title": "GitHub Aidl Languages Monthly Trending", "description": "Monthly Trending of Aidl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/aidl.xml b/data/monthly/aidl.xml index b61a3f6f01a7..ad603a1f2e25 100644 --- a/data/monthly/aidl.xml +++ b/data/monthly/aidl.xml @@ -3,6 +3,6 @@ GitHub Aidl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Aidl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/al.json b/data/monthly/al.json index 4c97890646b6..2797754da0ce 100644 --- a/data/monthly/al.json +++ b/data/monthly/al.json @@ -2,7 +2,7 @@ "title": "GitHub Al Languages Monthly Trending", "description": "Monthly Trending of Al Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/BCApps", diff --git a/data/monthly/al.xml b/data/monthly/al.xml index 6d60a2504b02..240b7210bad4 100644 --- a/data/monthly/al.xml +++ b/data/monthly/al.xml @@ -3,7 +3,7 @@ GitHub Al Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Al Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/BCApps https://github.com/microsoft/BCApps diff --git a/data/monthly/all.json b/data/monthly/all.json index 80506dfb955e..f1b7036b54bf 100644 --- a/data/monthly/all.json +++ b/data/monthly/all.json @@ -2,16 +2,48 @@ "title": "GitHub All Languages Monthly Trending", "description": "Monthly Trending of All Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "DS4SD/docling", + "url": "https://github.com/DS4SD/docling", + "description": "Get your documents ready for gen AI", + "language": "Python", + "languageColor": "#3572A5", + "stars": "10,888", + "forks": "533", + "addStars": "10,102", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/97102151?s=40&v=4", + "name": "dolfim-ibm", + "url": "https://github.com/dolfim-ibm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35837085?s=40&v=4", + "name": "vagenas", + "url": "https://github.com/vagenas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60343111?s=40&v=4", + "name": "cau-git", + "url": "https://github.com/cau-git" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101627549?s=40&v=4", + "name": "maxmnemonic", + "url": "https://github.com/maxmnemonic" + } + ] + }, { "title": "dockur/windows", "url": "https://github.com/dockur/windows", "description": "Windows inside a Docker container.", "language": "Shell", "languageColor": "#89e051", - "stars": "29,691", - "forks": "2,027", + "stars": "29,725", + "forks": "2,030", "addStars": "11,867", "contributors": [ { @@ -42,8 +74,8 @@ "description": "Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue)", "language": "Python", "languageColor": "#3572A5", - "stars": "61,234", - "forks": "7,497", + "stars": "61,358", + "forks": "7,505", "addStars": "4,289", "contributors": [ { @@ -68,13 +100,50 @@ } ] }, + { + "title": "vercel/ai-chatbot", + "url": "https://github.com/vercel/ai-chatbot", + "description": "A full-featured, hackable Next.js AI chatbot built by Vercel", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "9,769", + "forks": "2,464", + "addStars": "3,260", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4060187?s=40&v=4", + "name": "jaredpalmer", + "url": "https://github.com/jaredpalmer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/124599?s=40&v=4", + "name": "shadcn", + "url": "https://github.com/shadcn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17938322?s=40&v=4", + "name": "jeremyphilemon", + "url": "https://github.com/jeremyphilemon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9113740?s=40&v=4", + "name": "leerob", + "url": "https://github.com/leerob" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", + "name": "shuding", + "url": "https://github.com/shuding" + } + ] + }, { "title": "opendatalab/MinerU", "url": "https://github.com/opendatalab/MinerU", "description": "A high-quality tool for convert PDF to Markdown and JSON.一站式开源高质量数据提取工具,将PDF转换成Markdown和JSON格式。", "language": "Python", "languageColor": "#3572A5", - "stars": "18,367", + "stars": "18,408", "forks": "1,313", "addStars": "5,159", "contributors": [ @@ -111,8 +180,8 @@ "description": "Building a modern alternative to Salesforce, powered by the community.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "23,431", - "forks": "2,426", + "stars": "23,464", + "forks": "2,428", "addStars": "5,922", "contributors": [ { @@ -148,8 +217,8 @@ "description": "Free, open source crypto trading bot", "language": "Python", "languageColor": "#3572A5", - "stars": "31,210", - "forks": "6,362", + "stars": "31,237", + "forks": "6,367", "addStars": "2,687", "contributors": [ { @@ -174,13 +243,45 @@ } ] }, + { + "title": "All-Hands-AI/OpenHands", + "url": "https://github.com/All-Hands-AI/OpenHands", + "description": "🙌 OpenHands: Code Less, Make More", + "language": "Python", + "languageColor": "#3572A5", + "stars": "37,350", + "forks": "4,228", + "addStars": "4,323", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/38853559?s=40&v=4", + "name": "xingyaoww", + "url": "https://github.com/xingyaoww" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7611973?s=40&v=4", + "name": "rbren", + "url": "https://github.com/rbren" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6080905?s=40&v=4", + "name": "enyst", + "url": "https://github.com/enyst" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/398875?s=40&v=4", + "name": "neubig", + "url": "https://github.com/neubig" + } + ] + }, { "title": "usememos/memos", "url": "https://github.com/usememos/memos", "description": "An open-source, lightweight note-taking solution. The pain-less way to create your meaningful notes. Your Notes, Your Way.", "language": "Go", "languageColor": "#00ADD8", - "stars": "34,529", + "stars": "34,552", "forks": "2,489", "addStars": "3,609", "contributors": [ @@ -207,34 +308,39 @@ ] }, { - "title": "All-Hands-AI/OpenHands", - "url": "https://github.com/All-Hands-AI/OpenHands", - "description": "🙌 OpenHands: Code Less, Make More", + "title": "khoj-ai/khoj", + "url": "https://github.com/khoj-ai/khoj", + "description": "Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral).", "language": "Python", "languageColor": "#3572A5", - "stars": "37,338", - "forks": "4,224", - "addStars": "4,323", + "stars": "16,123", + "forks": "794", + "addStars": "3,268", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/38853559?s=40&v=4", - "name": "xingyaoww", - "url": "https://github.com/xingyaoww" + "avatar": "https://avatars.githubusercontent.com/u/6413477?s=40&v=4", + "name": "debanjum", + "url": "https://github.com/debanjum" }, { - "avatar": "https://avatars.githubusercontent.com/u/7611973?s=40&v=4", - "name": "rbren", - "url": "https://github.com/rbren" + "avatar": "https://avatars.githubusercontent.com/u/65192171?s=40&v=4", + "name": "sabaimran", + "url": "https://github.com/sabaimran" }, { - "avatar": "https://avatars.githubusercontent.com/u/6080905?s=40&v=4", - "name": "enyst", - "url": "https://github.com/enyst" + "avatar": "https://avatars.githubusercontent.com/u/62105787?s=40&v=4", + "name": "MythicalCow", + "url": "https://github.com/MythicalCow" }, { - "avatar": "https://avatars.githubusercontent.com/u/398875?s=40&v=4", - "name": "neubig", - "url": "https://github.com/neubig" + "avatar": "https://avatars.githubusercontent.com/u/486336?s=40&v=4", + "name": "aam-at", + "url": "https://github.com/aam-at" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/108987556?s=40&v=4", + "name": "shantanuSakpal", + "url": "https://github.com/shantanuSakpal" } ] }, @@ -244,8 +350,8 @@ "description": "Payload is the open-source, fullstack Next.js framework, giving you instant backend superpowers. Get a full TypeScript backend and admin panel instantly. Use Payload as a headless CMS or for building powerful applications.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "28,105", - "forks": "1,741", + "stars": "28,144", + "forks": "1,743", "addStars": "3,494", "contributors": [ { @@ -275,51 +381,14 @@ } ] }, - { - "title": "khoj-ai/khoj", - "url": "https://github.com/khoj-ai/khoj", - "description": "Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral).", - "language": "Python", - "languageColor": "#3572A5", - "stars": "16,098", - "forks": "794", - "addStars": "3,268", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6413477?s=40&v=4", - "name": "debanjum", - "url": "https://github.com/debanjum" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65192171?s=40&v=4", - "name": "sabaimran", - "url": "https://github.com/sabaimran" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62105787?s=40&v=4", - "name": "MythicalCow", - "url": "https://github.com/MythicalCow" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/486336?s=40&v=4", - "name": "aam-at", - "url": "https://github.com/aam-at" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/108987556?s=40&v=4", - "name": "shantanuSakpal", - "url": "https://github.com/shantanuSakpal" - } - ] - }, { "title": "bluesky-social/social-app", "url": "https://github.com/bluesky-social/social-app", "description": "The Bluesky Social application for Web, iOS, and Android", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "12,432", - "forks": "1,588", + "stars": "12,524", + "forks": "1,600", "addStars": "3,023", "contributors": [ { @@ -349,51 +418,14 @@ } ] }, - { - "title": "Skyvern-AI/skyvern", - "url": "https://github.com/Skyvern-AI/skyvern", - "description": "Automate browser-based workflows with LLMs and Computer Vision", - "language": "Python", - "languageColor": "#3572A5", - "stars": "10,667", - "forks": "734", - "addStars": "4,645", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/26116031?s=40&v=4", - "name": "ykeremy", - "url": "https://github.com/ykeremy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5225702?s=40&v=4", - "name": "wintonzheng", - "url": "https://github.com/wintonzheng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26927551?s=40&v=4", - "name": "LawyZheng", - "url": "https://github.com/LawyZheng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26147738?s=40&v=4", - "name": "msalihaltun", - "url": "https://github.com/msalihaltun" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3853670?s=40&v=4", - "name": "suchintan", - "url": "https://github.com/suchintan" - } - ] - }, { "title": "microsoft/autogen", "url": "https://github.com/microsoft/autogen", "description": "A programming framework for agentic AI 🤖", "language": "Python", "languageColor": "#3572A5", - "stars": "34,774", - "forks": "5,031", + "stars": "34,793", + "forks": "5,036", "addStars": "2,585", "contributors": [ { @@ -429,8 +461,8 @@ "description": "The best and simplest free open source web page change detection, website watcher, restock monitor and notification service. Restock Monitor, change detection. Designed for simplicity - Simply monitor which websites had a text change for free. Free Open source web page change detection, Website defacement monitoring, Price change notification", "language": "Python", "languageColor": "#3572A5", - "stars": "19,631", - "forks": "1,063", + "stars": "19,651", + "forks": "1,066", "addStars": "2,222", "contributors": [ { @@ -461,8 +493,8 @@ "description": "🎨 Diagram as Code for prototyping cloud system architectures", "language": "Python", "languageColor": "#3572A5", - "stars": "39,653", - "forks": "2,543", + "stars": "39,659", + "forks": "2,544", "addStars": "2,191", "contributors": [ { @@ -487,46 +519,14 @@ } ] }, - { - "title": "yamadashy/repomix", - "url": "https://github.com/yamadashy/repomix", - "description": "📦 Repomix (formerly Repopack) is a powerful tool that packs your entire repository into a single, AI-friendly file. Perfect for when you need to feed your codebase to Large Language Models (LLMs) or other AI tools like Claude, ChatGPT, and Gemini.", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "4,323", - "forks": "200", - "addStars": "2,456", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5019072?s=40&v=4", - "name": "yamadashy", - "url": "https://github.com/yamadashy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65467162?s=40&v=4", - "name": "vznh", - "url": "https://github.com/vznh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/63982489?s=40&v=4", - "name": "thecurz", - "url": "https://github.com/thecurz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/122032765?s=40&v=4", - "name": "Mefisto04", - "url": "https://github.com/Mefisto04" - } - ] - }, { "title": "Guovin/IPTV", "url": "https://github.com/Guovin/IPTV", "description": "📺IPTV电视直播源更新工具🚀:包含💰央视、📡卫视、☘️广东及各省份地方台、🌊港·澳·台、🎬电影、🎥咪咕、🏀体育、🪁动画、🎮游戏、🎵音乐、🏛经典剧场;支持自定义增加频道;支持组播源、酒店源、订阅源、关键字搜索;每天自动更新两次,结果可用于TVBox等播放软件;支持工作流、Docker(amd64/arm64)、命令行、GUI运行方式 | IPTV live TV source update tool", "language": "Python", "languageColor": "#3572A5", - "stars": "6,079", - "forks": "1,388", + "stars": "6,110", + "forks": "1,391", "addStars": "3,246", "contributors": [ { @@ -542,34 +542,39 @@ ] }, { - "title": "bluesky-social/atproto", - "url": "https://github.com/bluesky-social/atproto", - "description": "Social networking technology created by Bluesky", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "7,068", - "forks": "505", - "addStars": "691", + "title": "Skyvern-AI/skyvern", + "url": "https://github.com/Skyvern-AI/skyvern", + "description": "Automate browser-based workflows with LLMs and Computer Vision", + "language": "Python", + "languageColor": "#3572A5", + "stars": "10,677", + "forks": "735", + "addStars": "4,645", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4898225?s=40&v=4", - "name": "dholms", - "url": "https://github.com/dholms" + "avatar": "https://avatars.githubusercontent.com/u/26116031?s=40&v=4", + "name": "ykeremy", + "url": "https://github.com/ykeremy" }, { - "avatar": "https://avatars.githubusercontent.com/u/3150233?s=40&v=4", - "name": "devinivy", - "url": "https://github.com/devinivy" + "avatar": "https://avatars.githubusercontent.com/u/5225702?s=40&v=4", + "name": "wintonzheng", + "url": "https://github.com/wintonzheng" }, { - "avatar": "https://avatars.githubusercontent.com/u/1270099?s=40&v=4", - "name": "pfrazee", - "url": "https://github.com/pfrazee" + "avatar": "https://avatars.githubusercontent.com/u/26927551?s=40&v=4", + "name": "LawyZheng", + "url": "https://github.com/LawyZheng" }, { - "avatar": "https://avatars.githubusercontent.com/u/4732330?s=40&v=4", - "name": "estrattonbailey", - "url": "https://github.com/estrattonbailey" + "avatar": "https://avatars.githubusercontent.com/u/26147738?s=40&v=4", + "name": "msalihaltun", + "url": "https://github.com/msalihaltun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3853670?s=40&v=4", + "name": "suchintan", + "url": "https://github.com/suchintan" } ] }, @@ -579,8 +584,8 @@ "description": "Run your own AI cluster at home with everyday devices 📱💻 🖥️⌚", "language": "Python", "languageColor": "#3572A5", - "stars": "15,863", - "forks": "843", + "stars": "15,908", + "forks": "844", "addStars": "5,085", "contributors": [ { @@ -610,14 +615,78 @@ } ] }, + { + "title": "yamadashy/repomix", + "url": "https://github.com/yamadashy/repomix", + "description": "📦 Repomix (formerly Repopack) is a powerful tool that packs your entire repository into a single, AI-friendly file. Perfect for when you need to feed your codebase to Large Language Models (LLMs) or other AI tools like Claude, ChatGPT, and Gemini.", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "4,334", + "forks": "201", + "addStars": "2,456", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5019072?s=40&v=4", + "name": "yamadashy", + "url": "https://github.com/yamadashy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65467162?s=40&v=4", + "name": "vznh", + "url": "https://github.com/vznh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63982489?s=40&v=4", + "name": "thecurz", + "url": "https://github.com/thecurz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/122032765?s=40&v=4", + "name": "Mefisto04", + "url": "https://github.com/Mefisto04" + } + ] + }, + { + "title": "bluesky-social/atproto", + "url": "https://github.com/bluesky-social/atproto", + "description": "Social networking technology created by Bluesky", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "7,121", + "forks": "509", + "addStars": "691", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4898225?s=40&v=4", + "name": "dholms", + "url": "https://github.com/dholms" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3150233?s=40&v=4", + "name": "devinivy", + "url": "https://github.com/devinivy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1270099?s=40&v=4", + "name": "pfrazee", + "url": "https://github.com/pfrazee" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4732330?s=40&v=4", + "name": "estrattonbailey", + "url": "https://github.com/estrattonbailey" + } + ] + }, { "title": "mudler/LocalAI", "url": "https://github.com/mudler/LocalAI", "description": "🤖 The free, Open Source alternative to OpenAI, Claude and others. Self-hosted and local-first. Drop-in replacement for OpenAI, running on consumer-grade hardware. No GPU required. Runs gguf, transformers, diffusers and many more models architectures. Features: Generate Text, Audio, Video, Images, Voice Cloning, Distributed, P2P inference", "language": "Go", "languageColor": "#00ADD8", - "stars": "26,278", - "forks": "1,970", + "stars": "26,298", + "forks": "1,971", "addStars": "2,164", "contributors": [ { diff --git a/data/monthly/all.xml b/data/monthly/all.xml index 83c9717e7b91..4241c7ae6ddf 100644 --- a/data/monthly/all.xml +++ b/data/monthly/all.xml @@ -3,7 +3,40 @@ GitHub All Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of All Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + DS4SD/docling + https://github.com/DS4SD/docling + Get your documents ready for gen AI + https://github.com/DS4SD/docling + Python + #3572A5 + 10,888 + 533 + 10,102 + + + https://avatars.githubusercontent.com/u/97102151?s=40&v=4 + dolfim-ibm + https://github.com/dolfim-ibm + + + https://avatars.githubusercontent.com/u/35837085?s=40&v=4 + vagenas + https://github.com/vagenas + + + https://avatars.githubusercontent.com/u/60343111?s=40&v=4 + cau-git + https://github.com/cau-git + + + https://avatars.githubusercontent.com/u/101627549?s=40&v=4 + maxmnemonic + https://github.com/maxmnemonic + + + dockur/windows https://github.com/dockur/windows @@ -11,8 +44,8 @@ https://github.com/dockur/windows Shell #89e051 - 29,691 - 2,027 + 29,725 + 2,030 11,867 @@ -44,8 +77,8 @@ https://github.com/abi/screenshot-to-code Python #3572A5 - 61,234 - 7,497 + 61,358 + 7,505 4,289 @@ -70,6 +103,44 @@ + + vercel/ai-chatbot + https://github.com/vercel/ai-chatbot + A full-featured, hackable Next.js AI chatbot built by Vercel + https://github.com/vercel/ai-chatbot + TypeScript + #3178c6 + 9,769 + 2,464 + 3,260 + + + https://avatars.githubusercontent.com/u/4060187?s=40&v=4 + jaredpalmer + https://github.com/jaredpalmer + + + https://avatars.githubusercontent.com/u/124599?s=40&v=4 + shadcn + https://github.com/shadcn + + + https://avatars.githubusercontent.com/u/17938322?s=40&v=4 + jeremyphilemon + https://github.com/jeremyphilemon + + + https://avatars.githubusercontent.com/u/9113740?s=40&v=4 + leerob + https://github.com/leerob + + + https://avatars.githubusercontent.com/u/3676859?s=40&v=4 + shuding + https://github.com/shuding + + + opendatalab/MinerU https://github.com/opendatalab/MinerU @@ -77,7 +148,7 @@ https://github.com/opendatalab/MinerU Python #3572A5 - 18,367 + 18,408 1,313 5,159 @@ -115,8 +186,8 @@ https://github.com/twentyhq/twenty TypeScript #3178c6 - 23,431 - 2,426 + 23,464 + 2,428 5,922 @@ -153,8 +224,8 @@ https://github.com/freqtrade/freqtrade Python #3572A5 - 31,210 - 6,362 + 31,237 + 6,367 2,687 @@ -179,6 +250,39 @@ + + All-Hands-AI/OpenHands + https://github.com/All-Hands-AI/OpenHands + 🙌 OpenHands: Code Less, Make More + https://github.com/All-Hands-AI/OpenHands + Python + #3572A5 + 37,350 + 4,228 + 4,323 + + + https://avatars.githubusercontent.com/u/38853559?s=40&v=4 + xingyaoww + https://github.com/xingyaoww + + + https://avatars.githubusercontent.com/u/7611973?s=40&v=4 + rbren + https://github.com/rbren + + + https://avatars.githubusercontent.com/u/6080905?s=40&v=4 + enyst + https://github.com/enyst + + + https://avatars.githubusercontent.com/u/398875?s=40&v=4 + neubig + https://github.com/neubig + + + usememos/memos https://github.com/usememos/memos @@ -186,7 +290,7 @@ https://github.com/usememos/memos Go #00ADD8 - 34,529 + 34,552 2,489 3,609 @@ -213,35 +317,40 @@ - All-Hands-AI/OpenHands - https://github.com/All-Hands-AI/OpenHands - 🙌 OpenHands: Code Less, Make More - https://github.com/All-Hands-AI/OpenHands + khoj-ai/khoj + https://github.com/khoj-ai/khoj + Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral). + https://github.com/khoj-ai/khoj Python #3572A5 - 37,338 - 4,224 - 4,323 + 16,123 + 794 + 3,268 - https://avatars.githubusercontent.com/u/38853559?s=40&v=4 - xingyaoww - https://github.com/xingyaoww + https://avatars.githubusercontent.com/u/6413477?s=40&v=4 + debanjum + https://github.com/debanjum - https://avatars.githubusercontent.com/u/7611973?s=40&v=4 - rbren - https://github.com/rbren + https://avatars.githubusercontent.com/u/65192171?s=40&v=4 + sabaimran + https://github.com/sabaimran - https://avatars.githubusercontent.com/u/6080905?s=40&v=4 - enyst - https://github.com/enyst + https://avatars.githubusercontent.com/u/62105787?s=40&v=4 + MythicalCow + https://github.com/MythicalCow - https://avatars.githubusercontent.com/u/398875?s=40&v=4 - neubig - https://github.com/neubig + https://avatars.githubusercontent.com/u/486336?s=40&v=4 + aam-at + https://github.com/aam-at + + + https://avatars.githubusercontent.com/u/108987556?s=40&v=4 + shantanuSakpal + https://github.com/shantanuSakpal @@ -252,8 +361,8 @@ https://github.com/payloadcms/payload TypeScript #3178c6 - 28,105 - 1,741 + 28,144 + 1,743 3,494 @@ -283,44 +392,6 @@ - - khoj-ai/khoj - https://github.com/khoj-ai/khoj - Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral). - https://github.com/khoj-ai/khoj - Python - #3572A5 - 16,098 - 794 - 3,268 - - - https://avatars.githubusercontent.com/u/6413477?s=40&v=4 - debanjum - https://github.com/debanjum - - - https://avatars.githubusercontent.com/u/65192171?s=40&v=4 - sabaimran - https://github.com/sabaimran - - - https://avatars.githubusercontent.com/u/62105787?s=40&v=4 - MythicalCow - https://github.com/MythicalCow - - - https://avatars.githubusercontent.com/u/486336?s=40&v=4 - aam-at - https://github.com/aam-at - - - https://avatars.githubusercontent.com/u/108987556?s=40&v=4 - shantanuSakpal - https://github.com/shantanuSakpal - - - bluesky-social/social-app https://github.com/bluesky-social/social-app @@ -328,8 +399,8 @@ https://github.com/bluesky-social/social-app TypeScript #3178c6 - 12,432 - 1,588 + 12,524 + 1,600 3,023 @@ -359,44 +430,6 @@ - - Skyvern-AI/skyvern - https://github.com/Skyvern-AI/skyvern - Automate browser-based workflows with LLMs and Computer Vision - https://github.com/Skyvern-AI/skyvern - Python - #3572A5 - 10,667 - 734 - 4,645 - - - https://avatars.githubusercontent.com/u/26116031?s=40&v=4 - ykeremy - https://github.com/ykeremy - - - https://avatars.githubusercontent.com/u/5225702?s=40&v=4 - wintonzheng - https://github.com/wintonzheng - - - https://avatars.githubusercontent.com/u/26927551?s=40&v=4 - LawyZheng - https://github.com/LawyZheng - - - https://avatars.githubusercontent.com/u/26147738?s=40&v=4 - msalihaltun - https://github.com/msalihaltun - - - https://avatars.githubusercontent.com/u/3853670?s=40&v=4 - suchintan - https://github.com/suchintan - - - microsoft/autogen https://github.com/microsoft/autogen @@ -404,8 +437,8 @@ https://github.com/microsoft/autogen Python #3572A5 - 34,774 - 5,031 + 34,793 + 5,036 2,585 @@ -442,8 +475,8 @@ https://github.com/dgtlmoon/changedetection.io Python #3572A5 - 19,631 - 1,063 + 19,651 + 1,066 2,222 @@ -475,8 +508,8 @@ https://github.com/mingrammer/diagrams Python #3572A5 - 39,653 - 2,543 + 39,659 + 2,544 2,191 @@ -501,39 +534,6 @@ - - yamadashy/repomix - https://github.com/yamadashy/repomix - 📦 Repomix (formerly Repopack) is a powerful tool that packs your entire repository into a single, AI-friendly file. Perfect for when you need to feed your codebase to Large Language Models (LLMs) or other AI tools like Claude, ChatGPT, and Gemini. - https://github.com/yamadashy/repomix - TypeScript - #3178c6 - 4,323 - 200 - 2,456 - - - https://avatars.githubusercontent.com/u/5019072?s=40&v=4 - yamadashy - https://github.com/yamadashy - - - https://avatars.githubusercontent.com/u/65467162?s=40&v=4 - vznh - https://github.com/vznh - - - https://avatars.githubusercontent.com/u/63982489?s=40&v=4 - thecurz - https://github.com/thecurz - - - https://avatars.githubusercontent.com/u/122032765?s=40&v=4 - Mefisto04 - https://github.com/Mefisto04 - - - Guovin/IPTV https://github.com/Guovin/IPTV @@ -541,8 +541,8 @@ https://github.com/Guovin/IPTV Python #3572A5 - 6,079 - 1,388 + 6,110 + 1,391 3,246 @@ -558,35 +558,40 @@ - bluesky-social/atproto - https://github.com/bluesky-social/atproto - Social networking technology created by Bluesky - https://github.com/bluesky-social/atproto - TypeScript - #3178c6 - 7,068 - 505 - 691 + Skyvern-AI/skyvern + https://github.com/Skyvern-AI/skyvern + Automate browser-based workflows with LLMs and Computer Vision + https://github.com/Skyvern-AI/skyvern + Python + #3572A5 + 10,677 + 735 + 4,645 - https://avatars.githubusercontent.com/u/4898225?s=40&v=4 - dholms - https://github.com/dholms + https://avatars.githubusercontent.com/u/26116031?s=40&v=4 + ykeremy + https://github.com/ykeremy - https://avatars.githubusercontent.com/u/3150233?s=40&v=4 - devinivy - https://github.com/devinivy + https://avatars.githubusercontent.com/u/5225702?s=40&v=4 + wintonzheng + https://github.com/wintonzheng - https://avatars.githubusercontent.com/u/1270099?s=40&v=4 - pfrazee - https://github.com/pfrazee + https://avatars.githubusercontent.com/u/26927551?s=40&v=4 + LawyZheng + https://github.com/LawyZheng - https://avatars.githubusercontent.com/u/4732330?s=40&v=4 - estrattonbailey - https://github.com/estrattonbailey + https://avatars.githubusercontent.com/u/26147738?s=40&v=4 + msalihaltun + https://github.com/msalihaltun + + + https://avatars.githubusercontent.com/u/3853670?s=40&v=4 + suchintan + https://github.com/suchintan @@ -597,8 +602,8 @@ https://github.com/exo-explore/exo Python #3572A5 - 15,863 - 843 + 15,908 + 844 5,085 @@ -628,6 +633,72 @@ + + yamadashy/repomix + https://github.com/yamadashy/repomix + 📦 Repomix (formerly Repopack) is a powerful tool that packs your entire repository into a single, AI-friendly file. Perfect for when you need to feed your codebase to Large Language Models (LLMs) or other AI tools like Claude, ChatGPT, and Gemini. + https://github.com/yamadashy/repomix + TypeScript + #3178c6 + 4,334 + 201 + 2,456 + + + https://avatars.githubusercontent.com/u/5019072?s=40&v=4 + yamadashy + https://github.com/yamadashy + + + https://avatars.githubusercontent.com/u/65467162?s=40&v=4 + vznh + https://github.com/vznh + + + https://avatars.githubusercontent.com/u/63982489?s=40&v=4 + thecurz + https://github.com/thecurz + + + https://avatars.githubusercontent.com/u/122032765?s=40&v=4 + Mefisto04 + https://github.com/Mefisto04 + + + + + bluesky-social/atproto + https://github.com/bluesky-social/atproto + Social networking technology created by Bluesky + https://github.com/bluesky-social/atproto + TypeScript + #3178c6 + 7,121 + 509 + 691 + + + https://avatars.githubusercontent.com/u/4898225?s=40&v=4 + dholms + https://github.com/dholms + + + https://avatars.githubusercontent.com/u/3150233?s=40&v=4 + devinivy + https://github.com/devinivy + + + https://avatars.githubusercontent.com/u/1270099?s=40&v=4 + pfrazee + https://github.com/pfrazee + + + https://avatars.githubusercontent.com/u/4732330?s=40&v=4 + estrattonbailey + https://github.com/estrattonbailey + + + mudler/LocalAI https://github.com/mudler/LocalAI @@ -635,8 +706,8 @@ https://github.com/mudler/LocalAI Go #00ADD8 - 26,278 - 1,970 + 26,298 + 1,971 2,164 diff --git a/data/monthly/alloy.json b/data/monthly/alloy.json index f7ee6a0b6653..de83d71b7a62 100644 --- a/data/monthly/alloy.json +++ b/data/monthly/alloy.json @@ -2,6 +2,6 @@ "title": "GitHub Alloy Languages Monthly Trending", "description": "Monthly Trending of Alloy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/alloy.xml b/data/monthly/alloy.xml index 80406f8be8de..5dfb465f0bdd 100644 --- a/data/monthly/alloy.xml +++ b/data/monthly/alloy.xml @@ -3,6 +3,6 @@ GitHub Alloy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Alloy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/alpine-abuild.json b/data/monthly/alpine-abuild.json index f630cd9734a4..55b1d8290ecf 100644 --- a/data/monthly/alpine-abuild.json +++ b/data/monthly/alpine-abuild.json @@ -2,6 +2,6 @@ "title": "GitHub Alpine-abuild Languages Monthly Trending", "description": "Monthly Trending of Alpine-abuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/alpine-abuild.xml b/data/monthly/alpine-abuild.xml index 0c2b10a74995..dd52b5f94509 100644 --- a/data/monthly/alpine-abuild.xml +++ b/data/monthly/alpine-abuild.xml @@ -3,6 +3,6 @@ GitHub Alpine-abuild Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Alpine-abuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/altium-designer.json b/data/monthly/altium-designer.json index ac7a3e6d295c..ea0f24d30113 100644 --- a/data/monthly/altium-designer.json +++ b/data/monthly/altium-designer.json @@ -2,6 +2,6 @@ "title": "GitHub Altium-designer Languages Monthly Trending", "description": "Monthly Trending of Altium-designer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/altium-designer.xml b/data/monthly/altium-designer.xml index 217665fbfe22..12a762a36192 100644 --- a/data/monthly/altium-designer.xml +++ b/data/monthly/altium-designer.xml @@ -3,6 +3,6 @@ GitHub Altium-designer Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Altium-designer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ampl.json b/data/monthly/ampl.json index 25fa76736015..6f15d32e5775 100644 --- a/data/monthly/ampl.json +++ b/data/monthly/ampl.json @@ -2,6 +2,6 @@ "title": "GitHub Ampl Languages Monthly Trending", "description": "Monthly Trending of Ampl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ampl.xml b/data/monthly/ampl.xml index 3f9e420f1e85..60069c13ad44 100644 --- a/data/monthly/ampl.xml +++ b/data/monthly/ampl.xml @@ -3,6 +3,6 @@ GitHub Ampl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ampl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/angelscript.json b/data/monthly/angelscript.json index 76f79d9ecf03..eb0d385fa6c1 100644 --- a/data/monthly/angelscript.json +++ b/data/monthly/angelscript.json @@ -2,7 +2,7 @@ "title": "GitHub Angelscript Languages Monthly Trending", "description": "Monthly Trending of Angelscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "chen310/BilibiliPotPlayer", @@ -10,7 +10,7 @@ "description": "PotPlayer 哔哩哔哩插件:可以直接在 PotPlayer 中播放哔哩哔哩中的视频、直播和音乐", "language": "AngelScript", "languageColor": "#C7D7DC", - "stars": "1,444", + "stars": "1,449", "forks": "63", "addStars": "63", "contributors": [ diff --git a/data/monthly/angelscript.xml b/data/monthly/angelscript.xml index 0d2e17226914..93a0338bc3b7 100644 --- a/data/monthly/angelscript.xml +++ b/data/monthly/angelscript.xml @@ -3,7 +3,7 @@ GitHub Angelscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Angelscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT chen310/BilibiliPotPlayer https://github.com/chen310/BilibiliPotPlayer @@ -11,7 +11,7 @@ https://github.com/chen310/BilibiliPotPlayer AngelScript #C7D7DC - 1,444 + 1,449 63 63 diff --git a/data/monthly/ant-build-system.json b/data/monthly/ant-build-system.json index 171f0ad3c837..c8f8532684d0 100644 --- a/data/monthly/ant-build-system.json +++ b/data/monthly/ant-build-system.json @@ -2,6 +2,6 @@ "title": "GitHub Ant-build-system Languages Monthly Trending", "description": "Monthly Trending of Ant-build-system Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ant-build-system.xml b/data/monthly/ant-build-system.xml index eea6da74a6db..4c28fe1296bc 100644 --- a/data/monthly/ant-build-system.xml +++ b/data/monthly/ant-build-system.xml @@ -3,6 +3,6 @@ GitHub Ant-build-system Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ant-build-system Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/antlers.json b/data/monthly/antlers.json index 75b2bd761781..c6c1c91ffaa5 100644 --- a/data/monthly/antlers.json +++ b/data/monthly/antlers.json @@ -2,6 +2,6 @@ "title": "GitHub Antlers Languages Monthly Trending", "description": "Monthly Trending of Antlers Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/antlers.xml b/data/monthly/antlers.xml index 5d06eede1fc6..73ddc63f8a6e 100644 --- a/data/monthly/antlers.xml +++ b/data/monthly/antlers.xml @@ -3,6 +3,6 @@ GitHub Antlers Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Antlers Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/antlr.json b/data/monthly/antlr.json index 82d6b58bb356..44a8b9033ff3 100644 --- a/data/monthly/antlr.json +++ b/data/monthly/antlr.json @@ -2,7 +2,7 @@ "title": "GitHub Antlr Languages Monthly Trending", "description": "Monthly Trending of Antlr Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "antlr/grammars-v4", @@ -10,7 +10,7 @@ "description": "Grammars written for ANTLR v4; expectation that the grammars are free of actions.", "language": "ANTLR", "languageColor": "#9DC3FF", - "stars": "10,241", + "stars": "10,243", "forks": "3,714", "addStars": "89", "contributors": [ diff --git a/data/monthly/antlr.xml b/data/monthly/antlr.xml index 559942f9705d..3fd2869db279 100644 --- a/data/monthly/antlr.xml +++ b/data/monthly/antlr.xml @@ -3,7 +3,7 @@ GitHub Antlr Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Antlr Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT antlr/grammars-v4 https://github.com/antlr/grammars-v4 @@ -11,7 +11,7 @@ https://github.com/antlr/grammars-v4 ANTLR #9DC3FF - 10,241 + 10,243 3,714 89 diff --git a/data/monthly/apacheconf.json b/data/monthly/apacheconf.json index 4bf7e2c23330..f821085a383c 100644 --- a/data/monthly/apacheconf.json +++ b/data/monthly/apacheconf.json @@ -2,6 +2,6 @@ "title": "GitHub Apacheconf Languages Monthly Trending", "description": "Monthly Trending of Apacheconf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/apacheconf.xml b/data/monthly/apacheconf.xml index bfb115fefdc6..2a89d6e19fb9 100644 --- a/data/monthly/apacheconf.xml +++ b/data/monthly/apacheconf.xml @@ -3,6 +3,6 @@ GitHub Apacheconf Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Apacheconf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/apex.json b/data/monthly/apex.json index 2c6593a1e0a3..19094432084d 100644 --- a/data/monthly/apex.json +++ b/data/monthly/apex.json @@ -2,7 +2,7 @@ "title": "GitHub Apex Languages Monthly Trending", "description": "Monthly Trending of Apex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "jongpie/NebulaLogger", @@ -10,7 +10,7 @@ "description": "The most robust observability solution for Salesforce experts. Built 100% natively on the platform, and designed to work seamlessly with Apex, Lightning Components, Flow, Process Builder & integrations.", "language": "Apex", "languageColor": "#1797c0", - "stars": "708", + "stars": "709", "forks": "165", "addStars": "14", "contributors": [ diff --git a/data/monthly/apex.xml b/data/monthly/apex.xml index 3caf705458ec..1e921bcb8266 100644 --- a/data/monthly/apex.xml +++ b/data/monthly/apex.xml @@ -3,7 +3,7 @@ GitHub Apex Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Apex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT jongpie/NebulaLogger https://github.com/jongpie/NebulaLogger @@ -11,7 +11,7 @@ https://github.com/jongpie/NebulaLogger Apex #1797c0 - 708 + 709 165 14 diff --git a/data/monthly/api-blueprint.json b/data/monthly/api-blueprint.json index 66b3a17cd1a7..5dd2c8ad0a41 100644 --- a/data/monthly/api-blueprint.json +++ b/data/monthly/api-blueprint.json @@ -2,6 +2,6 @@ "title": "GitHub Api-blueprint Languages Monthly Trending", "description": "Monthly Trending of Api-blueprint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/api-blueprint.xml b/data/monthly/api-blueprint.xml index 97893ac78f8f..e36a1dff1547 100644 --- a/data/monthly/api-blueprint.xml +++ b/data/monthly/api-blueprint.xml @@ -3,6 +3,6 @@ GitHub Api-blueprint Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Api-blueprint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/apl.json b/data/monthly/apl.json index 711316cef93e..72f34a0f8681 100644 --- a/data/monthly/apl.json +++ b/data/monthly/apl.json @@ -2,6 +2,6 @@ "title": "GitHub Apl Languages Monthly Trending", "description": "Monthly Trending of Apl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/apl.xml b/data/monthly/apl.xml index 6d500db211ef..7bc26ba7d6a6 100644 --- a/data/monthly/apl.xml +++ b/data/monthly/apl.xml @@ -3,6 +3,6 @@ GitHub Apl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Apl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/apollo-guidance-computer.json b/data/monthly/apollo-guidance-computer.json index 37537af2f73d..1d577cd62497 100644 --- a/data/monthly/apollo-guidance-computer.json +++ b/data/monthly/apollo-guidance-computer.json @@ -2,6 +2,6 @@ "title": "GitHub Apollo-guidance-computer Languages Monthly Trending", "description": "Monthly Trending of Apollo-guidance-computer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/apollo-guidance-computer.xml b/data/monthly/apollo-guidance-computer.xml index 82ce862c3737..581eb07373a2 100644 --- a/data/monthly/apollo-guidance-computer.xml +++ b/data/monthly/apollo-guidance-computer.xml @@ -3,6 +3,6 @@ GitHub Apollo-guidance-computer Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Apollo-guidance-computer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/applescript.json b/data/monthly/applescript.json index 455b9c03fb18..13e7106b7e74 100644 --- a/data/monthly/applescript.json +++ b/data/monthly/applescript.json @@ -2,6 +2,6 @@ "title": "GitHub Applescript Languages Monthly Trending", "description": "Monthly Trending of Applescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/applescript.xml b/data/monthly/applescript.xml index 67506592fd2b..51e8cc0eacec 100644 --- a/data/monthly/applescript.xml +++ b/data/monthly/applescript.xml @@ -3,6 +3,6 @@ GitHub Applescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Applescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/arc.json b/data/monthly/arc.json index cff346b6c2b6..c49002e18ff8 100644 --- a/data/monthly/arc.json +++ b/data/monthly/arc.json @@ -2,6 +2,6 @@ "title": "GitHub Arc Languages Monthly Trending", "description": "Monthly Trending of Arc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/arc.xml b/data/monthly/arc.xml index 85dd0a903961..266b175a72f4 100644 --- a/data/monthly/arc.xml +++ b/data/monthly/arc.xml @@ -3,6 +3,6 @@ GitHub Arc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Arc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/asciidoc.json b/data/monthly/asciidoc.json index b90eff6fa047..1aa4af964cd8 100644 --- a/data/monthly/asciidoc.json +++ b/data/monthly/asciidoc.json @@ -2,7 +2,7 @@ "title": "GitHub Asciidoc Languages Monthly Trending", "description": "Monthly Trending of Asciidoc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "starknet-io/starknet-docs", diff --git a/data/monthly/asciidoc.xml b/data/monthly/asciidoc.xml index 019b241d5edf..8a68989a025d 100644 --- a/data/monthly/asciidoc.xml +++ b/data/monthly/asciidoc.xml @@ -3,7 +3,7 @@ GitHub Asciidoc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Asciidoc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT starknet-io/starknet-docs https://github.com/starknet-io/starknet-docs diff --git a/data/monthly/asl.json b/data/monthly/asl.json index 617c343f2985..01f35ff97497 100644 --- a/data/monthly/asl.json +++ b/data/monthly/asl.json @@ -2,7 +2,7 @@ "title": "GitHub Asl Languages Monthly Trending", "description": "Monthly Trending of Asl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "acpica/acpica", @@ -47,7 +47,7 @@ "description": "Repo for Getting Started With ACPI", "language": "ASL", "languageColor": "#ccc", - "stars": "608", + "stars": "609", "forks": "480", "addStars": "7", "contributors": [ diff --git a/data/monthly/asl.xml b/data/monthly/asl.xml index 823644f06d60..e0960cd7bd56 100644 --- a/data/monthly/asl.xml +++ b/data/monthly/asl.xml @@ -3,7 +3,7 @@ GitHub Asl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Asl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT acpica/acpica https://github.com/acpica/acpica @@ -49,7 +49,7 @@ https://github.com/dortania/Getting-Started-With-ACPI ASL #ccc - 608 + 609 480 7 diff --git a/data/monthly/asn.1.json b/data/monthly/asn.1.json index 9cb721489211..7e0e63517805 100644 --- a/data/monthly/asn.1.json +++ b/data/monthly/asn.1.json @@ -2,6 +2,6 @@ "title": "GitHub Asn.1 Languages Monthly Trending", "description": "Monthly Trending of Asn.1 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/asn.1.xml b/data/monthly/asn.1.xml index 3a871efe1009..4946c82fc270 100644 --- a/data/monthly/asn.1.xml +++ b/data/monthly/asn.1.xml @@ -3,6 +3,6 @@ GitHub Asn.1 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Asn.1 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/asp.net.json b/data/monthly/asp.net.json index 6f7896157f4c..5534c86bb1e6 100644 --- a/data/monthly/asp.net.json +++ b/data/monthly/asp.net.json @@ -2,6 +2,6 @@ "title": "GitHub Asp.net Languages Monthly Trending", "description": "Monthly Trending of Asp.net Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/asp.net.xml b/data/monthly/asp.net.xml index e52bb8058baa..2e5c0bc3e012 100644 --- a/data/monthly/asp.net.xml +++ b/data/monthly/asp.net.xml @@ -3,6 +3,6 @@ GitHub Asp.net Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Asp.net Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/aspectj.json b/data/monthly/aspectj.json index 4933664cef2c..5f8b6fdfa9f1 100644 --- a/data/monthly/aspectj.json +++ b/data/monthly/aspectj.json @@ -2,6 +2,6 @@ "title": "GitHub Aspectj Languages Monthly Trending", "description": "Monthly Trending of Aspectj Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/aspectj.xml b/data/monthly/aspectj.xml index 5f287eecd5eb..b28b18156cf4 100644 --- a/data/monthly/aspectj.xml +++ b/data/monthly/aspectj.xml @@ -3,6 +3,6 @@ GitHub Aspectj Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Aspectj Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/assembly.json b/data/monthly/assembly.json index 73677282b530..419bc2d8100a 100644 --- a/data/monthly/assembly.json +++ b/data/monthly/assembly.json @@ -2,7 +2,7 @@ "title": "GitHub Assembly Languages Monthly Trending", "description": "Monthly Trending of Assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "chrislgarry/Apollo-11", @@ -10,7 +10,7 @@ "description": "Original Apollo 11 Guidance Computer (AGC) source code for the command and lunar modules.", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "59,608", + "stars": "59,612", "forks": "7,041", "addStars": "1,393", "contributors": [ @@ -47,7 +47,7 @@ "description": "A blazingly fast JSON serializing & deserializing library", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "6,969", + "stars": "6,970", "forks": "341", "addStars": "110", "contributors": [ @@ -158,7 +158,7 @@ "description": "the official Rust and C implementations of the BLAKE3 cryptographic hash function", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "5,223", + "stars": "5,224", "forks": "353", "addStars": "135", "contributors": [ @@ -263,6 +263,43 @@ } ] }, + { + "title": "intel/isa-l_crypto", + "url": "https://github.com/intel/isa-l_crypto", + "description": "", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "275", + "forks": "80", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/22950461?s=40&v=4", + "name": "pablodelara", + "url": "https://github.com/pablodelara" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2711421?s=40&v=4", + "name": "gbtucker", + "url": "https://github.com/gbtucker" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14837552?s=40&v=4", + "name": "mdcornu", + "url": "https://github.com/mdcornu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13930406?s=40&v=4", + "name": "jessehui", + "url": "https://github.com/jessehui" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14796740?s=40&v=4", + "name": "dong-liuliu", + "url": "https://github.com/dong-liuliu" + } + ] + }, { "title": "ROCm/hipBLASLt", "url": "https://github.com/ROCm/hipBLASLt", @@ -300,6 +337,43 @@ } ] }, + { + "title": "hiteshchoudhary/open-source-contribution", + "url": "https://github.com/hiteshchoudhary/open-source-contribution", + "description": "A repo to contribute in open source via README only. A dream repo for open source beginner", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "368", + "forks": "694", + "addStars": "12", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11613311?s=40&v=4", + "name": "hiteshchoudhary", + "url": "https://github.com/hiteshchoudhary" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/96916559?s=40&v=4", + "name": "devHksingh", + "url": "https://github.com/devHksingh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/110806514?s=40&v=4", + "name": "insshubh", + "url": "https://github.com/insshubh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67459148?s=40&v=4", + "name": "lav1shkumar", + "url": "https://github.com/lav1shkumar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/84187671?s=40&v=4", + "name": "You218", + "url": "https://github.com/You218" + } + ] + }, { "title": "riscvarchive/riscv-v-spec", "url": "https://github.com/riscvarchive/riscv-v-spec", @@ -337,80 +411,6 @@ } ] }, - { - "title": "0xAX/asm", - "url": "https://github.com/0xAX/asm", - "description": "Learning assembly for Linux x86_64", - "language": "Assembly", - "languageColor": "#6E4C13", - "stars": "2,308", - "forks": "305", - "addStars": "51", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2699235?s=40&v=4", - "name": "0xAX", - "url": "https://github.com/0xAX" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35192450?s=40&v=4", - "name": "klaudiagrz", - "url": "https://github.com/klaudiagrz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2193051?s=40&v=4", - "name": "lushl9301", - "url": "https://github.com/lushl9301" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2778116?s=40&v=4", - "name": "e12e", - "url": "https://github.com/e12e" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/103731019?s=40&v=4", - "name": "maldron0309", - "url": "https://github.com/maldron0309" - } - ] - }, - { - "title": "intel/isa-l_crypto", - "url": "https://github.com/intel/isa-l_crypto", - "description": "", - "language": "Assembly", - "languageColor": "#6E4C13", - "stars": "275", - "forks": "80", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/22950461?s=40&v=4", - "name": "pablodelara", - "url": "https://github.com/pablodelara" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2711421?s=40&v=4", - "name": "gbtucker", - "url": "https://github.com/gbtucker" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14837552?s=40&v=4", - "name": "mdcornu", - "url": "https://github.com/mdcornu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13930406?s=40&v=4", - "name": "jessehui", - "url": "https://github.com/jessehui" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14796740?s=40&v=4", - "name": "dong-liuliu", - "url": "https://github.com/dong-liuliu" - } - ] - }, { "title": "ROCm/MIOpen", "url": "https://github.com/ROCm/MIOpen", @@ -448,43 +448,6 @@ } ] }, - { - "title": "hiteshchoudhary/open-source-contribution", - "url": "https://github.com/hiteshchoudhary/open-source-contribution", - "description": "A repo to contribute in open source via README only. A dream repo for open source beginner", - "language": "Assembly", - "languageColor": "#6E4C13", - "stars": "368", - "forks": "694", - "addStars": "12", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11613311?s=40&v=4", - "name": "hiteshchoudhary", - "url": "https://github.com/hiteshchoudhary" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/96916559?s=40&v=4", - "name": "devHksingh", - "url": "https://github.com/devHksingh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/110806514?s=40&v=4", - "name": "insshubh", - "url": "https://github.com/insshubh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/67459148?s=40&v=4", - "name": "lav1shkumar", - "url": "https://github.com/lav1shkumar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/84187671?s=40&v=4", - "name": "You218", - "url": "https://github.com/You218" - } - ] - }, { "title": "cirosantilli/x86-bare-metal-examples", "url": "https://github.com/cirosantilli/x86-bare-metal-examples", @@ -521,6 +484,80 @@ "url": "https://github.com/antekone" } ] + }, + { + "title": "0xAX/asm", + "url": "https://github.com/0xAX/asm", + "description": "Learning assembly for Linux x86_64", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "2,308", + "forks": "305", + "addStars": "51", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2699235?s=40&v=4", + "name": "0xAX", + "url": "https://github.com/0xAX" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35192450?s=40&v=4", + "name": "klaudiagrz", + "url": "https://github.com/klaudiagrz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2193051?s=40&v=4", + "name": "lushl9301", + "url": "https://github.com/lushl9301" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2778116?s=40&v=4", + "name": "e12e", + "url": "https://github.com/e12e" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/103731019?s=40&v=4", + "name": "maldron0309", + "url": "https://github.com/maldron0309" + } + ] + }, + { + "title": "pret/pokered", + "url": "https://github.com/pret/pokered", + "description": "Disassembly of Pokémon Red/Blue", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "4,021", + "forks": "991", + "addStars": "32", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", + "name": "kanzure", + "url": "https://github.com/kanzure" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5231310?s=40&v=4", + "name": "dannye", + "url": "https://github.com/dannye" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", + "name": "Rangi42", + "url": "https://github.com/Rangi42" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1767429?s=40&v=4", + "name": "iimarckus", + "url": "https://github.com/iimarckus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", + "name": "yenatch", + "url": "https://github.com/yenatch" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/assembly.xml b/data/monthly/assembly.xml index 9a3077856c70..ccc8b464ae05 100644 --- a/data/monthly/assembly.xml +++ b/data/monthly/assembly.xml @@ -3,7 +3,7 @@ GitHub Assembly Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT chrislgarry/Apollo-11 https://github.com/chrislgarry/Apollo-11 @@ -11,7 +11,7 @@ https://github.com/chrislgarry/Apollo-11 Assembly #6E4C13 - 59,608 + 59,612 7,041 1,393 @@ -49,7 +49,7 @@ https://github.com/bytedance/sonic Assembly #6E4C13 - 6,969 + 6,970 341 110 @@ -163,7 +163,7 @@ https://github.com/BLAKE3-team/BLAKE3 Assembly #6E4C13 - 5,223 + 5,224 353 135 @@ -270,6 +270,44 @@ + + intel/isa-l_crypto + https://github.com/intel/isa-l_crypto + + https://github.com/intel/isa-l_crypto + Assembly + #6E4C13 + 275 + 80 + 4 + + + https://avatars.githubusercontent.com/u/22950461?s=40&v=4 + pablodelara + https://github.com/pablodelara + + + https://avatars.githubusercontent.com/u/2711421?s=40&v=4 + gbtucker + https://github.com/gbtucker + + + https://avatars.githubusercontent.com/u/14837552?s=40&v=4 + mdcornu + https://github.com/mdcornu + + + https://avatars.githubusercontent.com/u/13930406?s=40&v=4 + jessehui + https://github.com/jessehui + + + https://avatars.githubusercontent.com/u/14796740?s=40&v=4 + dong-liuliu + https://github.com/dong-liuliu + + + ROCm/hipBLASLt https://github.com/ROCm/hipBLASLt @@ -308,6 +346,44 @@ + + hiteshchoudhary/open-source-contribution + https://github.com/hiteshchoudhary/open-source-contribution + A repo to contribute in open source via README only. A dream repo for open source beginner + https://github.com/hiteshchoudhary/open-source-contribution + Assembly + #6E4C13 + 368 + 694 + 12 + + + https://avatars.githubusercontent.com/u/11613311?s=40&v=4 + hiteshchoudhary + https://github.com/hiteshchoudhary + + + https://avatars.githubusercontent.com/u/96916559?s=40&v=4 + devHksingh + https://github.com/devHksingh + + + https://avatars.githubusercontent.com/u/110806514?s=40&v=4 + insshubh + https://github.com/insshubh + + + https://avatars.githubusercontent.com/u/67459148?s=40&v=4 + lav1shkumar + https://github.com/lav1shkumar + + + https://avatars.githubusercontent.com/u/84187671?s=40&v=4 + You218 + https://github.com/You218 + + + riscvarchive/riscv-v-spec https://github.com/riscvarchive/riscv-v-spec @@ -346,82 +422,6 @@ - - 0xAX/asm - https://github.com/0xAX/asm - Learning assembly for Linux x86_64 - https://github.com/0xAX/asm - Assembly - #6E4C13 - 2,308 - 305 - 51 - - - https://avatars.githubusercontent.com/u/2699235?s=40&v=4 - 0xAX - https://github.com/0xAX - - - https://avatars.githubusercontent.com/u/35192450?s=40&v=4 - klaudiagrz - https://github.com/klaudiagrz - - - https://avatars.githubusercontent.com/u/2193051?s=40&v=4 - lushl9301 - https://github.com/lushl9301 - - - https://avatars.githubusercontent.com/u/2778116?s=40&v=4 - e12e - https://github.com/e12e - - - https://avatars.githubusercontent.com/u/103731019?s=40&v=4 - maldron0309 - https://github.com/maldron0309 - - - - - intel/isa-l_crypto - https://github.com/intel/isa-l_crypto - - https://github.com/intel/isa-l_crypto - Assembly - #6E4C13 - 275 - 80 - 4 - - - https://avatars.githubusercontent.com/u/22950461?s=40&v=4 - pablodelara - https://github.com/pablodelara - - - https://avatars.githubusercontent.com/u/2711421?s=40&v=4 - gbtucker - https://github.com/gbtucker - - - https://avatars.githubusercontent.com/u/14837552?s=40&v=4 - mdcornu - https://github.com/mdcornu - - - https://avatars.githubusercontent.com/u/13930406?s=40&v=4 - jessehui - https://github.com/jessehui - - - https://avatars.githubusercontent.com/u/14796740?s=40&v=4 - dong-liuliu - https://github.com/dong-liuliu - - - ROCm/MIOpen https://github.com/ROCm/MIOpen @@ -460,44 +460,6 @@ - - hiteshchoudhary/open-source-contribution - https://github.com/hiteshchoudhary/open-source-contribution - A repo to contribute in open source via README only. A dream repo for open source beginner - https://github.com/hiteshchoudhary/open-source-contribution - Assembly - #6E4C13 - 368 - 694 - 12 - - - https://avatars.githubusercontent.com/u/11613311?s=40&v=4 - hiteshchoudhary - https://github.com/hiteshchoudhary - - - https://avatars.githubusercontent.com/u/96916559?s=40&v=4 - devHksingh - https://github.com/devHksingh - - - https://avatars.githubusercontent.com/u/110806514?s=40&v=4 - insshubh - https://github.com/insshubh - - - https://avatars.githubusercontent.com/u/67459148?s=40&v=4 - lav1shkumar - https://github.com/lav1shkumar - - - https://avatars.githubusercontent.com/u/84187671?s=40&v=4 - You218 - https://github.com/You218 - - - cirosantilli/x86-bare-metal-examples https://github.com/cirosantilli/x86-bare-metal-examples @@ -536,5 +498,81 @@ + + 0xAX/asm + https://github.com/0xAX/asm + Learning assembly for Linux x86_64 + https://github.com/0xAX/asm + Assembly + #6E4C13 + 2,308 + 305 + 51 + + + https://avatars.githubusercontent.com/u/2699235?s=40&v=4 + 0xAX + https://github.com/0xAX + + + https://avatars.githubusercontent.com/u/35192450?s=40&v=4 + klaudiagrz + https://github.com/klaudiagrz + + + https://avatars.githubusercontent.com/u/2193051?s=40&v=4 + lushl9301 + https://github.com/lushl9301 + + + https://avatars.githubusercontent.com/u/2778116?s=40&v=4 + e12e + https://github.com/e12e + + + https://avatars.githubusercontent.com/u/103731019?s=40&v=4 + maldron0309 + https://github.com/maldron0309 + + + + + pret/pokered + https://github.com/pret/pokered + Disassembly of Pokémon Red/Blue + https://github.com/pret/pokered + Assembly + #6E4C13 + 4,021 + 991 + 32 + + + https://avatars.githubusercontent.com/u/101238?s=40&v=4 + kanzure + https://github.com/kanzure + + + https://avatars.githubusercontent.com/u/5231310?s=40&v=4 + dannye + https://github.com/dannye + + + https://avatars.githubusercontent.com/u/35663410?s=40&v=4 + Rangi42 + https://github.com/Rangi42 + + + https://avatars.githubusercontent.com/u/1767429?s=40&v=4 + iimarckus + https://github.com/iimarckus + + + https://avatars.githubusercontent.com/u/1654211?s=40&v=4 + yenatch + https://github.com/yenatch + + + \ No newline at end of file diff --git a/data/monthly/astro.json b/data/monthly/astro.json index 4cc6b3be6c4d..c28d73864f41 100644 --- a/data/monthly/astro.json +++ b/data/monthly/astro.json @@ -2,7 +2,7 @@ "title": "GitHub Astro Languages Monthly Trending", "description": "Monthly Trending of Astro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ColorlibHQ/AdminLTE", @@ -10,8 +10,8 @@ "description": "AdminLTE - Free admin dashboard template based on Bootstrap 5", "language": "Astro", "languageColor": "#ff5a03", - "stars": "44,094", - "forks": "18,167", + "stars": "44,097", + "forks": "18,168", "addStars": "140", "contributors": [ { @@ -42,8 +42,8 @@ "description": "A minimal, accessible and SEO-friendly Astro blog theme", "language": "Astro", "languageColor": "#ff5a03", - "stars": "2,563", - "forks": "532", + "stars": "2,567", + "forks": "533", "addStars": "129", "contributors": [ { @@ -111,7 +111,7 @@ "description": "🦄 A curated list of privacy & security-focused software and services", "language": "Astro", "languageColor": "#ff5a03", - "stars": "6,972", + "stars": "6,971", "forks": "316", "addStars": "179", "contributors": [ @@ -179,14 +179,46 @@ } ] }, + { + "title": "moeyua/astro-theme-typography", + "url": "https://github.com/moeyua/astro-theme-typography", + "description": "Rediscover the beauty of typography.", + "language": "Astro", + "languageColor": "#ff5a03", + "stars": "302", + "forks": "108", + "addStars": "22", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/45156493?s=40&v=4", + "name": "moeyua", + "url": "https://github.com/moeyua" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16869546?s=40&v=4", + "name": "Fastbyte01", + "url": "https://github.com/Fastbyte01" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/49976407?s=40&v=4", + "name": "Ender-Wiggin2019", + "url": "https://github.com/Ender-Wiggin2019" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32504382?s=40&v=4", + "name": "lz233", + "url": "https://github.com/lz233" + } + ] + }, { "title": "onwidget/astrowind", "url": "https://github.com/onwidget/astrowind", "description": "⭕️ AstroWind: A free template using Astro 4.0 and Tailwind CSS. Astro starter theme.", "language": "Astro", "languageColor": "#ff5a03", - "stars": "3,518", - "forks": "1,071", + "stars": "3,520", + "forks": "1,073", "addStars": "170", "contributors": [ { @@ -217,34 +249,39 @@ ] }, { - "title": "moeyua/astro-theme-typography", - "url": "https://github.com/moeyua/astro-theme-typography", - "description": "Rediscover the beauty of typography.", + "title": "saicaca/fuwari", + "url": "https://github.com/saicaca/fuwari", + "description": "✨A static blog template built with Astro.", "language": "Astro", "languageColor": "#ff5a03", - "stars": "302", - "forks": "107", - "addStars": "22", + "stars": "1,424", + "forks": "328", + "addStars": "145", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45156493?s=40&v=4", - "name": "moeyua", - "url": "https://github.com/moeyua" + "avatar": "https://avatars.githubusercontent.com/u/25200299?s=40&v=4", + "name": "saicaca", + "url": "https://github.com/saicaca" }, { - "avatar": "https://avatars.githubusercontent.com/u/16869546?s=40&v=4", - "name": "Fastbyte01", - "url": "https://github.com/Fastbyte01" + "avatar": "https://avatars.githubusercontent.com/u/142381267?s=40&v=4", + "name": "JoeyC-Dev", + "url": "https://github.com/JoeyC-Dev" }, { - "avatar": "https://avatars.githubusercontent.com/u/49976407?s=40&v=4", - "name": "Ender-Wiggin2019", - "url": "https://github.com/Ender-Wiggin2019" + "avatar": "https://avatars.githubusercontent.com/u/29306285?s=40&v=4", + "name": "CorrectRoadH", + "url": "https://github.com/CorrectRoadH" }, { - "avatar": "https://avatars.githubusercontent.com/u/32504382?s=40&v=4", - "name": "lz233", - "url": "https://github.com/lz233" + "avatar": "https://avatars.githubusercontent.com/u/45741056?s=40&v=4", + "name": "Marcos03BR", + "url": "https://github.com/Marcos03BR" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65259076?s=40&v=4", + "name": "Fabrizz", + "url": "https://github.com/Fabrizz" } ] }, @@ -285,43 +322,6 @@ } ] }, - { - "title": "saicaca/fuwari", - "url": "https://github.com/saicaca/fuwari", - "description": "✨A static blog template built with Astro.", - "language": "Astro", - "languageColor": "#ff5a03", - "stars": "1,423", - "forks": "327", - "addStars": "145", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25200299?s=40&v=4", - "name": "saicaca", - "url": "https://github.com/saicaca" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/142381267?s=40&v=4", - "name": "JoeyC-Dev", - "url": "https://github.com/JoeyC-Dev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29306285?s=40&v=4", - "name": "CorrectRoadH", - "url": "https://github.com/CorrectRoadH" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45741056?s=40&v=4", - "name": "Marcos03BR", - "url": "https://github.com/Marcos03BR" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65259076?s=40&v=4", - "name": "Fabrizz", - "url": "https://github.com/Fabrizz" - } - ] - }, { "title": "netlify-templates/astro-quickstart", "url": "https://github.com/netlify-templates/astro-quickstart", diff --git a/data/monthly/astro.xml b/data/monthly/astro.xml index 7a0052692c38..a6033fe21ed5 100644 --- a/data/monthly/astro.xml +++ b/data/monthly/astro.xml @@ -3,7 +3,7 @@ GitHub Astro Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Astro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ColorlibHQ/AdminLTE https://github.com/ColorlibHQ/AdminLTE @@ -11,8 +11,8 @@ https://github.com/ColorlibHQ/AdminLTE Astro #ff5a03 - 44,094 - 18,167 + 44,097 + 18,168 140 @@ -44,8 +44,8 @@ https://github.com/satnaing/astro-paper Astro #ff5a03 - 2,563 - 532 + 2,567 + 533 129 @@ -115,7 +115,7 @@ https://github.com/Lissy93/awesome-privacy Astro #ff5a03 - 6,972 + 6,971 316 179 @@ -184,6 +184,39 @@ + + moeyua/astro-theme-typography + https://github.com/moeyua/astro-theme-typography + Rediscover the beauty of typography. + https://github.com/moeyua/astro-theme-typography + Astro + #ff5a03 + 302 + 108 + 22 + + + https://avatars.githubusercontent.com/u/45156493?s=40&v=4 + moeyua + https://github.com/moeyua + + + https://avatars.githubusercontent.com/u/16869546?s=40&v=4 + Fastbyte01 + https://github.com/Fastbyte01 + + + https://avatars.githubusercontent.com/u/49976407?s=40&v=4 + Ender-Wiggin2019 + https://github.com/Ender-Wiggin2019 + + + https://avatars.githubusercontent.com/u/32504382?s=40&v=4 + lz233 + https://github.com/lz233 + + + onwidget/astrowind https://github.com/onwidget/astrowind @@ -191,8 +224,8 @@ https://github.com/onwidget/astrowind Astro #ff5a03 - 3,518 - 1,071 + 3,520 + 1,073 170 @@ -223,35 +256,40 @@ - moeyua/astro-theme-typography - https://github.com/moeyua/astro-theme-typography - Rediscover the beauty of typography. - https://github.com/moeyua/astro-theme-typography + saicaca/fuwari + https://github.com/saicaca/fuwari + ✨A static blog template built with Astro. + https://github.com/saicaca/fuwari Astro #ff5a03 - 302 - 107 - 22 + 1,424 + 328 + 145 - https://avatars.githubusercontent.com/u/45156493?s=40&v=4 - moeyua - https://github.com/moeyua + https://avatars.githubusercontent.com/u/25200299?s=40&v=4 + saicaca + https://github.com/saicaca - https://avatars.githubusercontent.com/u/16869546?s=40&v=4 - Fastbyte01 - https://github.com/Fastbyte01 + https://avatars.githubusercontent.com/u/142381267?s=40&v=4 + JoeyC-Dev + https://github.com/JoeyC-Dev - https://avatars.githubusercontent.com/u/49976407?s=40&v=4 - Ender-Wiggin2019 - https://github.com/Ender-Wiggin2019 + https://avatars.githubusercontent.com/u/29306285?s=40&v=4 + CorrectRoadH + https://github.com/CorrectRoadH - https://avatars.githubusercontent.com/u/32504382?s=40&v=4 - lz233 - https://github.com/lz233 + https://avatars.githubusercontent.com/u/45741056?s=40&v=4 + Marcos03BR + https://github.com/Marcos03BR + + + https://avatars.githubusercontent.com/u/65259076?s=40&v=4 + Fabrizz + https://github.com/Fabrizz @@ -293,44 +331,6 @@ - - saicaca/fuwari - https://github.com/saicaca/fuwari - ✨A static blog template built with Astro. - https://github.com/saicaca/fuwari - Astro - #ff5a03 - 1,423 - 327 - 145 - - - https://avatars.githubusercontent.com/u/25200299?s=40&v=4 - saicaca - https://github.com/saicaca - - - https://avatars.githubusercontent.com/u/142381267?s=40&v=4 - JoeyC-Dev - https://github.com/JoeyC-Dev - - - https://avatars.githubusercontent.com/u/29306285?s=40&v=4 - CorrectRoadH - https://github.com/CorrectRoadH - - - https://avatars.githubusercontent.com/u/45741056?s=40&v=4 - Marcos03BR - https://github.com/Marcos03BR - - - https://avatars.githubusercontent.com/u/65259076?s=40&v=4 - Fabrizz - https://github.com/Fabrizz - - - netlify-templates/astro-quickstart https://github.com/netlify-templates/astro-quickstart diff --git a/data/monthly/asymptote.json b/data/monthly/asymptote.json index 561e124a7f3e..ede6a09d6966 100644 --- a/data/monthly/asymptote.json +++ b/data/monthly/asymptote.json @@ -2,6 +2,6 @@ "title": "GitHub Asymptote Languages Monthly Trending", "description": "Monthly Trending of Asymptote Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/asymptote.xml b/data/monthly/asymptote.xml index d6b5fb625d02..09c2bfee3e10 100644 --- a/data/monthly/asymptote.xml +++ b/data/monthly/asymptote.xml @@ -3,6 +3,6 @@ GitHub Asymptote Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Asymptote Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ats.json b/data/monthly/ats.json index c34e101b799b..c2c52be3869e 100644 --- a/data/monthly/ats.json +++ b/data/monthly/ats.json @@ -2,6 +2,6 @@ "title": "GitHub Ats Languages Monthly Trending", "description": "Monthly Trending of Ats Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ats.xml b/data/monthly/ats.xml index 3a5011df9ecc..116b68489598 100644 --- a/data/monthly/ats.xml +++ b/data/monthly/ats.xml @@ -3,6 +3,6 @@ GitHub Ats Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ats Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/augeas.json b/data/monthly/augeas.json index 1fc639f370a8..4413938a80e5 100644 --- a/data/monthly/augeas.json +++ b/data/monthly/augeas.json @@ -2,6 +2,6 @@ "title": "GitHub Augeas Languages Monthly Trending", "description": "Monthly Trending of Augeas Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/augeas.xml b/data/monthly/augeas.xml index eec43b67139c..263dc3a96feb 100644 --- a/data/monthly/augeas.xml +++ b/data/monthly/augeas.xml @@ -3,6 +3,6 @@ GitHub Augeas Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Augeas Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/autohotkey.json b/data/monthly/autohotkey.json index 20a8aa772a0f..aa63b926d567 100644 --- a/data/monthly/autohotkey.json +++ b/data/monthly/autohotkey.json @@ -2,7 +2,7 @@ "title": "GitHub Autohotkey Languages Monthly Trending", "description": "Monthly Trending of Autohotkey Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "umageddon/namDHC", diff --git a/data/monthly/autohotkey.xml b/data/monthly/autohotkey.xml index 64fabd257bd7..68e4cc4bd4df 100644 --- a/data/monthly/autohotkey.xml +++ b/data/monthly/autohotkey.xml @@ -3,7 +3,7 @@ GitHub Autohotkey Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Autohotkey Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT umageddon/namDHC https://github.com/umageddon/namDHC diff --git a/data/monthly/autoit.json b/data/monthly/autoit.json index 650fdba5ef88..2a3355991b01 100644 --- a/data/monthly/autoit.json +++ b/data/monthly/autoit.json @@ -2,7 +2,7 @@ "title": "GitHub Autoit Languages Monthly Trending", "description": "Monthly Trending of Autoit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "rcmaehl/MSEdgeRedirect", @@ -74,7 +74,7 @@ "description": "Universal Extractor 2 is a tool to extract files from any type of archive or installer.", "language": "AutoIt", "languageColor": "#1C3552", - "stars": "3,594", + "stars": "3,595", "forks": "338", "addStars": "41", "contributors": [ diff --git a/data/monthly/autoit.xml b/data/monthly/autoit.xml index 010d4d93aa6d..d037789e041d 100644 --- a/data/monthly/autoit.xml +++ b/data/monthly/autoit.xml @@ -3,7 +3,7 @@ GitHub Autoit Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Autoit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT rcmaehl/MSEdgeRedirect https://github.com/rcmaehl/MSEdgeRedirect @@ -77,7 +77,7 @@ https://github.com/Bioruebe/UniExtract2 AutoIt #1C3552 - 3,594 + 3,595 338 41 diff --git a/data/monthly/avro-idl.json b/data/monthly/avro-idl.json index 08c0f16a8925..ea7178cbdb4b 100644 --- a/data/monthly/avro-idl.json +++ b/data/monthly/avro-idl.json @@ -2,6 +2,6 @@ "title": "GitHub Avro-idl Languages Monthly Trending", "description": "Monthly Trending of Avro-idl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/avro-idl.xml b/data/monthly/avro-idl.xml index ef93f4074aab..248ab409d749 100644 --- a/data/monthly/avro-idl.xml +++ b/data/monthly/avro-idl.xml @@ -3,6 +3,6 @@ GitHub Avro-idl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Avro-idl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/awk.json b/data/monthly/awk.json index a3641ea046b6..8b492f1fafec 100644 --- a/data/monthly/awk.json +++ b/data/monthly/awk.json @@ -2,7 +2,7 @@ "title": "GitHub Awk Languages Monthly Trending", "description": "Monthly Trending of Awk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "x-cmd/x-cmd", @@ -10,7 +10,7 @@ "description": "X bootstrap 1000+ tools and scripts.", "language": "Awk", "languageColor": "#c30e9b", - "stars": "1,172", + "stars": "1,175", "forks": "28", "addStars": "312", "contributors": [ diff --git a/data/monthly/awk.xml b/data/monthly/awk.xml index ee7b1f8e6768..5a75ef0af202 100644 --- a/data/monthly/awk.xml +++ b/data/monthly/awk.xml @@ -3,7 +3,7 @@ GitHub Awk Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Awk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT x-cmd/x-cmd https://github.com/x-cmd/x-cmd @@ -11,7 +11,7 @@ https://github.com/x-cmd/x-cmd Awk #c30e9b - 1,172 + 1,175 28 312 diff --git a/data/monthly/ballerina.json b/data/monthly/ballerina.json index 764f98a8a76d..406ff507f538 100644 --- a/data/monthly/ballerina.json +++ b/data/monthly/ballerina.json @@ -2,7 +2,7 @@ "title": "GitHub Ballerina Languages Monthly Trending", "description": "Monthly Trending of Ballerina Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ballerina-platform/ballerina-lang", diff --git a/data/monthly/ballerina.xml b/data/monthly/ballerina.xml index 902bdca71fe3..fac5e31b7ab7 100644 --- a/data/monthly/ballerina.xml +++ b/data/monthly/ballerina.xml @@ -3,7 +3,7 @@ GitHub Ballerina Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ballerina Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ballerina-platform/ballerina-lang https://github.com/ballerina-platform/ballerina-lang diff --git a/data/monthly/basic.json b/data/monthly/basic.json index c10d1715eee1..569918dcb088 100644 --- a/data/monthly/basic.json +++ b/data/monthly/basic.json @@ -2,6 +2,6 @@ "title": "GitHub Basic Languages Monthly Trending", "description": "Monthly Trending of Basic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/basic.xml b/data/monthly/basic.xml index 306a728691bf..f13c498aaae5 100644 --- a/data/monthly/basic.xml +++ b/data/monthly/basic.xml @@ -3,6 +3,6 @@ GitHub Basic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Basic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/batchfile.json b/data/monthly/batchfile.json index 12ee2bca4568..8d308d3a8667 100644 --- a/data/monthly/batchfile.json +++ b/data/monthly/batchfile.json @@ -2,7 +2,7 @@ "title": "GitHub Batchfile Languages Monthly Trending", "description": "Monthly Trending of Batchfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ionuttbara/windows-defender-remover", @@ -10,7 +10,7 @@ "description": "A tool which is uses to remove Windows Defender in Windows 8.x, Windows 10 (every version) and Windows 11.", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "4,173", + "stars": "4,178", "forks": "280", "addStars": "307", "contributors": [ @@ -74,7 +74,7 @@ "description": "Redis 6.0.20 6.2.14 7.0.15 7.2.4 7.4.0 for Windows", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "1,453", + "stars": "1,455", "forks": "148", "addStars": "99", "contributors": [ @@ -100,6 +100,38 @@ } ] }, + { + "title": "awesome-windows11/windows11", + "url": "https://github.com/awesome-windows11/windows11", + "description": "🌎 Windows 11 Settings, Tweaks, Scripts", + "language": "Batchfile", + "languageColor": "#C1F12E", + "stars": "2,206", + "forks": "121", + "addStars": "91", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/87380272?s=40&v=4", + "name": "jestxfot", + "url": "https://github.com/jestxfot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10544660?s=40&v=4", + "name": "farag2", + "url": "https://github.com/farag2" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1519725?s=40&v=4", + "name": "ulquiomaru", + "url": "https://github.com/ulquiomaru" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4609678?s=40&v=4", + "name": "HUMENTH", + "url": "https://github.com/HUMENTH" + } + ] + }, { "title": "realpython/python-guide", "url": "https://github.com/realpython/python-guide", diff --git a/data/monthly/batchfile.xml b/data/monthly/batchfile.xml index aa16f1433918..9007bff9844c 100644 --- a/data/monthly/batchfile.xml +++ b/data/monthly/batchfile.xml @@ -3,7 +3,7 @@ GitHub Batchfile Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Batchfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ionuttbara/windows-defender-remover https://github.com/ionuttbara/windows-defender-remover @@ -11,7 +11,7 @@ https://github.com/ionuttbara/windows-defender-remover Batchfile #C1F12E - 4,173 + 4,178 280 307 @@ -77,7 +77,7 @@ https://github.com/redis-windows/redis-windows Batchfile #C1F12E - 1,453 + 1,455 148 99 @@ -103,6 +103,39 @@ + + awesome-windows11/windows11 + https://github.com/awesome-windows11/windows11 + 🌎 Windows 11 Settings, Tweaks, Scripts + https://github.com/awesome-windows11/windows11 + Batchfile + #C1F12E + 2,206 + 121 + 91 + + + https://avatars.githubusercontent.com/u/87380272?s=40&v=4 + jestxfot + https://github.com/jestxfot + + + https://avatars.githubusercontent.com/u/10544660?s=40&v=4 + farag2 + https://github.com/farag2 + + + https://avatars.githubusercontent.com/u/1519725?s=40&v=4 + ulquiomaru + https://github.com/ulquiomaru + + + https://avatars.githubusercontent.com/u/4609678?s=40&v=4 + HUMENTH + https://github.com/HUMENTH + + + realpython/python-guide https://github.com/realpython/python-guide diff --git a/data/monthly/beef.json b/data/monthly/beef.json index a9dcafbf0b0d..8b67aebfb3d1 100644 --- a/data/monthly/beef.json +++ b/data/monthly/beef.json @@ -2,6 +2,6 @@ "title": "GitHub Beef Languages Monthly Trending", "description": "Monthly Trending of Beef Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/beef.xml b/data/monthly/beef.xml index 64457c2bcdcc..3ebb186fee19 100644 --- a/data/monthly/beef.xml +++ b/data/monthly/beef.xml @@ -3,6 +3,6 @@ GitHub Beef Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Beef Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/befunge.json b/data/monthly/befunge.json index d30cfdd058a5..05e80504381f 100644 --- a/data/monthly/befunge.json +++ b/data/monthly/befunge.json @@ -2,6 +2,6 @@ "title": "GitHub Befunge Languages Monthly Trending", "description": "Monthly Trending of Befunge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/befunge.xml b/data/monthly/befunge.xml index 9178850ea381..28445cfe10d1 100644 --- a/data/monthly/befunge.xml +++ b/data/monthly/befunge.xml @@ -3,6 +3,6 @@ GitHub Befunge Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Befunge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/berry.json b/data/monthly/berry.json index 0e4d925862cf..7721e2b05054 100644 --- a/data/monthly/berry.json +++ b/data/monthly/berry.json @@ -2,6 +2,6 @@ "title": "GitHub Berry Languages Monthly Trending", "description": "Monthly Trending of Berry Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/berry.xml b/data/monthly/berry.xml index 7a5d0e1e0167..3ebc01cc6d98 100644 --- a/data/monthly/berry.xml +++ b/data/monthly/berry.xml @@ -3,6 +3,6 @@ GitHub Berry Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Berry Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/bibtex.json b/data/monthly/bibtex.json index 769e0da6bc26..21187f8b68f1 100644 --- a/data/monthly/bibtex.json +++ b/data/monthly/bibtex.json @@ -2,6 +2,6 @@ "title": "GitHub Bibtex Languages Monthly Trending", "description": "Monthly Trending of Bibtex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/bibtex.xml b/data/monthly/bibtex.xml index 1de3ca524c8e..884b10a23474 100644 --- a/data/monthly/bibtex.xml +++ b/data/monthly/bibtex.xml @@ -3,6 +3,6 @@ GitHub Bibtex Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bibtex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/bicep.json b/data/monthly/bicep.json index 637c8b5571e9..84bae28311cf 100644 --- a/data/monthly/bicep.json +++ b/data/monthly/bicep.json @@ -2,7 +2,7 @@ "title": "GitHub Bicep Languages Monthly Trending", "description": "Monthly Trending of Bicep Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "github-copilot-resources/copilot-metrics-viewer", @@ -42,7 +42,7 @@ "description": "Bicep registry modules", "language": "Bicep", "languageColor": "#519aba", - "stars": "514", + "stars": "515", "forks": "362", "addStars": "21", "contributors": [ @@ -117,7 +117,7 @@ "language": "Bicep", "languageColor": "#519aba", "stars": "230", - "forks": "1,081", + "forks": "1,080", "addStars": "47", "contributors": [ { @@ -147,6 +147,33 @@ } ] }, + { + "title": "Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps", + "url": "https://github.com/Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps", + "description": "This repository demonstrates a typical front-end UI built with ASP.NET and a complementary back-end API.", + "language": "Bicep", + "languageColor": "#519aba", + "stars": "65", + "forks": "231", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/41929050?s=40&v=4", + "name": "bradygaster", + "url": "https://github.com/bradygaster" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", + "name": "microsoftopensource", + "url": "https://github.com/microsoftopensource" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/645740?s=40&v=4", + "name": "ahmelsayed", + "url": "https://github.com/ahmelsayed" + } + ] + }, { "title": "Azure-Samples/dotNET-Frontend-AB-Testing-on-Azure-Container-Apps", "url": "https://github.com/Azure-Samples/dotNET-Frontend-AB-Testing-on-Azure-Container-Apps", @@ -245,33 +272,6 @@ } ] }, - { - "title": "Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps", - "url": "https://github.com/Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps", - "description": "This repository demonstrates a typical front-end UI built with ASP.NET and a complementary back-end API.", - "language": "Bicep", - "languageColor": "#519aba", - "stars": "65", - "forks": "231", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/41929050?s=40&v=4", - "name": "bradygaster", - "url": "https://github.com/bradygaster" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", - "name": "microsoftopensource", - "url": "https://github.com/microsoftopensource" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/645740?s=40&v=4", - "name": "ahmelsayed", - "url": "https://github.com/ahmelsayed" - } - ] - }, { "title": "Azure-Samples/contoso-chat", "url": "https://github.com/Azure-Samples/contoso-chat", @@ -316,7 +316,7 @@ "language": "Bicep", "languageColor": "#519aba", "stars": "31", - "forks": "668", + "forks": "667", "addStars": "1", "contributors": [ { diff --git a/data/monthly/bicep.xml b/data/monthly/bicep.xml index f0886a5870d8..6bf1fc193e03 100644 --- a/data/monthly/bicep.xml +++ b/data/monthly/bicep.xml @@ -3,7 +3,7 @@ GitHub Bicep Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bicep Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT github-copilot-resources/copilot-metrics-viewer https://github.com/github-copilot-resources/copilot-metrics-viewer @@ -44,7 +44,7 @@ https://github.com/Azure/bicep-registry-modules Bicep #519aba - 514 + 515 362 21 @@ -121,7 +121,7 @@ Bicep #519aba 230 - 1,081 + 1,080 47 @@ -151,6 +151,34 @@ + + Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps + https://github.com/Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps + This repository demonstrates a typical front-end UI built with ASP.NET and a complementary back-end API. + https://github.com/Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps + Bicep + #519aba + 65 + 231 + 1 + + + https://avatars.githubusercontent.com/u/41929050?s=40&v=4 + bradygaster + https://github.com/bradygaster + + + https://avatars.githubusercontent.com/u/22527892?s=40&v=4 + microsoftopensource + https://github.com/microsoftopensource + + + https://avatars.githubusercontent.com/u/645740?s=40&v=4 + ahmelsayed + https://github.com/ahmelsayed + + + Azure-Samples/dotNET-Frontend-AB-Testing-on-Azure-Container-Apps https://github.com/Azure-Samples/dotNET-Frontend-AB-Testing-on-Azure-Container-Apps @@ -253,34 +281,6 @@ - - Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps - https://github.com/Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps - This repository demonstrates a typical front-end UI built with ASP.NET and a complementary back-end API. - https://github.com/Azure-Samples/dotNET-FrontEnd-to-BackEnd-on-Azure-Container-Apps - Bicep - #519aba - 65 - 231 - 1 - - - https://avatars.githubusercontent.com/u/41929050?s=40&v=4 - bradygaster - https://github.com/bradygaster - - - https://avatars.githubusercontent.com/u/22527892?s=40&v=4 - microsoftopensource - https://github.com/microsoftopensource - - - https://avatars.githubusercontent.com/u/645740?s=40&v=4 - ahmelsayed - https://github.com/ahmelsayed - - - Azure-Samples/contoso-chat https://github.com/Azure-Samples/contoso-chat @@ -327,7 +327,7 @@ Bicep #519aba 31 - 668 + 667 1 diff --git a/data/monthly/bikeshed.json b/data/monthly/bikeshed.json index d6d3000ee9dc..6244544dc69b 100644 --- a/data/monthly/bikeshed.json +++ b/data/monthly/bikeshed.json @@ -2,40 +2,8 @@ "title": "GitHub Bikeshed Languages Monthly Trending", "description": "Monthly Trending of Bikeshed Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "gpuweb/gpuweb", - "url": "https://github.com/gpuweb/gpuweb", - "description": "Where the GPU for the Web work happens!", - "language": "Bikeshed", - "languageColor": "#5562ac", - "stars": "4,848", - "forks": "321", - "addStars": "60", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/606355?s=40&v=4", - "name": "kainino0x", - "url": "https://github.com/kainino0x" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13054574?s=40&v=4", - "name": "dneto0", - "url": "https://github.com/dneto0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/805273?s=40&v=4", - "name": "toji", - "url": "https://github.com/toji" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33432579?s=40&v=4", - "name": "alan-baker", - "url": "https://github.com/alan-baker" - } - ] - }, { "title": "w3c/csswg-drafts", "url": "https://github.com/w3c/csswg-drafts", @@ -73,6 +41,38 @@ } ] }, + { + "title": "gpuweb/gpuweb", + "url": "https://github.com/gpuweb/gpuweb", + "description": "Where the GPU for the Web work happens!", + "language": "Bikeshed", + "languageColor": "#5562ac", + "stars": "4,849", + "forks": "321", + "addStars": "60", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/606355?s=40&v=4", + "name": "kainino0x", + "url": "https://github.com/kainino0x" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13054574?s=40&v=4", + "name": "dneto0", + "url": "https://github.com/dneto0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/805273?s=40&v=4", + "name": "toji", + "url": "https://github.com/toji" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33432579?s=40&v=4", + "name": "alan-baker", + "url": "https://github.com/alan-baker" + } + ] + }, { "title": "WICG/attribution-reporting-api", "url": "https://github.com/WICG/attribution-reporting-api", @@ -174,45 +174,13 @@ } ] }, - { - "title": "WICG/storage-buckets", - "url": "https://github.com/WICG/storage-buckets", - "description": "API proposal for managing multiple storage buckets", - "language": "Bikeshed", - "languageColor": "#5562ac", - "stars": "45", - "forks": "20", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/48255136?s=40&v=4", - "name": "ayuishii", - "url": "https://github.com/ayuishii" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11460?s=40&v=4", - "name": "pwnall", - "url": "https://github.com/pwnall" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1127449?s=40&v=4", - "name": "evanstade", - "url": "https://github.com/evanstade" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/83420?s=40&v=4", - "name": "jyasskin", - "url": "https://github.com/jyasskin" - } - ] - }, { "title": "WICG/turtledove", "url": "https://github.com/WICG/turtledove", "description": "TURTLEDOVE", "language": "Bikeshed", "languageColor": "#5562ac", - "stars": "538", + "stars": "539", "forks": "237", "addStars": "12", "contributors": [ @@ -239,34 +207,34 @@ ] }, { - "title": "WICG/first-party-sets", - "url": "https://github.com/WICG/first-party-sets", - "description": "", + "title": "WICG/storage-buckets", + "url": "https://github.com/WICG/storage-buckets", + "description": "API proposal for managing multiple storage buckets", "language": "Bikeshed", "languageColor": "#5562ac", - "stars": "293", - "forks": "75", - "addStars": "12", + "stars": "45", + "forks": "20", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/54452408?s=40&v=4", - "name": "krgovind", - "url": "https://github.com/krgovind" + "avatar": "https://avatars.githubusercontent.com/u/48255136?s=40&v=4", + "name": "ayuishii", + "url": "https://github.com/ayuishii" }, { - "avatar": "https://avatars.githubusercontent.com/u/2622601?s=40&v=4", - "name": "johannhof", - "url": "https://github.com/johannhof" + "avatar": "https://avatars.githubusercontent.com/u/11460?s=40&v=4", + "name": "pwnall", + "url": "https://github.com/pwnall" }, { - "avatar": "https://avatars.githubusercontent.com/u/4204485?s=40&v=4", - "name": "cfredric", - "url": "https://github.com/cfredric" + "avatar": "https://avatars.githubusercontent.com/u/1127449?s=40&v=4", + "name": "evanstade", + "url": "https://github.com/evanstade" }, { - "avatar": "https://avatars.githubusercontent.com/u/89418275?s=40&v=4", - "name": "shuranhuang", - "url": "https://github.com/shuranhuang" + "avatar": "https://avatars.githubusercontent.com/u/83420?s=40&v=4", + "name": "jyasskin", + "url": "https://github.com/jyasskin" } ] }, @@ -306,6 +274,38 @@ "url": "https://github.com/himorin" } ] + }, + { + "title": "WICG/first-party-sets", + "url": "https://github.com/WICG/first-party-sets", + "description": "", + "language": "Bikeshed", + "languageColor": "#5562ac", + "stars": "293", + "forks": "75", + "addStars": "12", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/54452408?s=40&v=4", + "name": "krgovind", + "url": "https://github.com/krgovind" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2622601?s=40&v=4", + "name": "johannhof", + "url": "https://github.com/johannhof" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4204485?s=40&v=4", + "name": "cfredric", + "url": "https://github.com/cfredric" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89418275?s=40&v=4", + "name": "shuranhuang", + "url": "https://github.com/shuranhuang" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/bikeshed.xml b/data/monthly/bikeshed.xml index 8548736abde5..4636998a67c2 100644 --- a/data/monthly/bikeshed.xml +++ b/data/monthly/bikeshed.xml @@ -3,40 +3,7 @@ GitHub Bikeshed Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bikeshed Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - gpuweb/gpuweb - https://github.com/gpuweb/gpuweb - Where the GPU for the Web work happens! - https://github.com/gpuweb/gpuweb - Bikeshed - #5562ac - 4,848 - 321 - 60 - - - https://avatars.githubusercontent.com/u/606355?s=40&v=4 - kainino0x - https://github.com/kainino0x - - - https://avatars.githubusercontent.com/u/13054574?s=40&v=4 - dneto0 - https://github.com/dneto0 - - - https://avatars.githubusercontent.com/u/805273?s=40&v=4 - toji - https://github.com/toji - - - https://avatars.githubusercontent.com/u/33432579?s=40&v=4 - alan-baker - https://github.com/alan-baker - - - + Mon, 25 Nov 2024 13:30:36 GMT w3c/csswg-drafts https://github.com/w3c/csswg-drafts @@ -75,6 +42,39 @@ + + gpuweb/gpuweb + https://github.com/gpuweb/gpuweb + Where the GPU for the Web work happens! + https://github.com/gpuweb/gpuweb + Bikeshed + #5562ac + 4,849 + 321 + 60 + + + https://avatars.githubusercontent.com/u/606355?s=40&v=4 + kainino0x + https://github.com/kainino0x + + + https://avatars.githubusercontent.com/u/13054574?s=40&v=4 + dneto0 + https://github.com/dneto0 + + + https://avatars.githubusercontent.com/u/805273?s=40&v=4 + toji + https://github.com/toji + + + https://avatars.githubusercontent.com/u/33432579?s=40&v=4 + alan-baker + https://github.com/alan-baker + + + WICG/attribution-reporting-api https://github.com/WICG/attribution-reporting-api @@ -179,39 +179,6 @@ - - WICG/storage-buckets - https://github.com/WICG/storage-buckets - API proposal for managing multiple storage buckets - https://github.com/WICG/storage-buckets - Bikeshed - #5562ac - 45 - 20 - 2 - - - https://avatars.githubusercontent.com/u/48255136?s=40&v=4 - ayuishii - https://github.com/ayuishii - - - https://avatars.githubusercontent.com/u/11460?s=40&v=4 - pwnall - https://github.com/pwnall - - - https://avatars.githubusercontent.com/u/1127449?s=40&v=4 - evanstade - https://github.com/evanstade - - - https://avatars.githubusercontent.com/u/83420?s=40&v=4 - jyasskin - https://github.com/jyasskin - - - WICG/turtledove https://github.com/WICG/turtledove @@ -219,7 +186,7 @@ https://github.com/WICG/turtledove Bikeshed #5562ac - 538 + 539 237 12 @@ -246,35 +213,35 @@ - WICG/first-party-sets - https://github.com/WICG/first-party-sets - - https://github.com/WICG/first-party-sets + WICG/storage-buckets + https://github.com/WICG/storage-buckets + API proposal for managing multiple storage buckets + https://github.com/WICG/storage-buckets Bikeshed #5562ac - 293 - 75 - 12 + 45 + 20 + 2 - https://avatars.githubusercontent.com/u/54452408?s=40&v=4 - krgovind - https://github.com/krgovind + https://avatars.githubusercontent.com/u/48255136?s=40&v=4 + ayuishii + https://github.com/ayuishii - https://avatars.githubusercontent.com/u/2622601?s=40&v=4 - johannhof - https://github.com/johannhof + https://avatars.githubusercontent.com/u/11460?s=40&v=4 + pwnall + https://github.com/pwnall - https://avatars.githubusercontent.com/u/4204485?s=40&v=4 - cfredric - https://github.com/cfredric + https://avatars.githubusercontent.com/u/1127449?s=40&v=4 + evanstade + https://github.com/evanstade - https://avatars.githubusercontent.com/u/89418275?s=40&v=4 - shuranhuang - https://github.com/shuranhuang + https://avatars.githubusercontent.com/u/83420?s=40&v=4 + jyasskin + https://github.com/jyasskin @@ -316,5 +283,38 @@ + + WICG/first-party-sets + https://github.com/WICG/first-party-sets + + https://github.com/WICG/first-party-sets + Bikeshed + #5562ac + 293 + 75 + 12 + + + https://avatars.githubusercontent.com/u/54452408?s=40&v=4 + krgovind + https://github.com/krgovind + + + https://avatars.githubusercontent.com/u/2622601?s=40&v=4 + johannhof + https://github.com/johannhof + + + https://avatars.githubusercontent.com/u/4204485?s=40&v=4 + cfredric + https://github.com/cfredric + + + https://avatars.githubusercontent.com/u/89418275?s=40&v=4 + shuranhuang + https://github.com/shuranhuang + + + \ No newline at end of file diff --git a/data/monthly/bison.json b/data/monthly/bison.json index 42772ff3b0f6..93bbe0de88ce 100644 --- a/data/monthly/bison.json +++ b/data/monthly/bison.json @@ -2,6 +2,6 @@ "title": "GitHub Bison Languages Monthly Trending", "description": "Monthly Trending of Bison Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/bison.xml b/data/monthly/bison.xml index f7c844a5f05f..8b2894c9f879 100644 --- a/data/monthly/bison.xml +++ b/data/monthly/bison.xml @@ -3,6 +3,6 @@ GitHub Bison Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bison Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/bitbake.json b/data/monthly/bitbake.json index a17fc0da2cfa..fe3bbc8e920e 100644 --- a/data/monthly/bitbake.json +++ b/data/monthly/bitbake.json @@ -2,7 +2,7 @@ "title": "GitHub Bitbake Languages Monthly Trending", "description": "Monthly Trending of Bitbake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "OE4T/meta-tegra", @@ -115,6 +115,38 @@ } ] }, + { + "title": "mendersoftware/meta-mender", + "url": "https://github.com/mendersoftware/meta-mender", + "description": "Yocto Project meta layer for the Mender client", + "language": "BitBake", + "languageColor": "#00bce4", + "stars": "167", + "forks": "191", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4037094?s=40&v=4", + "name": "kacf", + "url": "https://github.com/kacf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3168644?s=40&v=4", + "name": "lluiscampos", + "url": "https://github.com/lluiscampos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29454137?s=40&v=4", + "name": "oleorhagen", + "url": "https://github.com/oleorhagen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/41870?s=40&v=4", + "name": "bboozzoo", + "url": "https://github.com/bboozzoo" + } + ] + }, { "title": "kraj/meta-clang", "url": "https://github.com/kraj/meta-clang", @@ -190,34 +222,39 @@ ] }, { - "title": "mendersoftware/meta-mender", - "url": "https://github.com/mendersoftware/meta-mender", - "description": "Yocto Project meta layer for the Mender client", + "title": "sbabic/meta-swupdate", + "url": "https://github.com/sbabic/meta-swupdate", + "description": "Yocto receipes to generate a swupdate rootfilesystem as initrd", "language": "BitBake", "languageColor": "#00bce4", - "stars": "167", - "forks": "191", + "stars": "145", + "forks": "106", "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4037094?s=40&v=4", - "name": "kacf", - "url": "https://github.com/kacf" + "avatar": "https://avatars.githubusercontent.com/u/1411982?s=40&v=4", + "name": "sbabic", + "url": "https://github.com/sbabic" }, { - "avatar": "https://avatars.githubusercontent.com/u/3168644?s=40&v=4", - "name": "lluiscampos", - "url": "https://github.com/lluiscampos" + "avatar": "https://avatars.githubusercontent.com/u/638766?s=40&v=4", + "name": "diegorondini", + "url": "https://github.com/diegorondini" }, { - "avatar": "https://avatars.githubusercontent.com/u/29454137?s=40&v=4", - "name": "oleorhagen", - "url": "https://github.com/oleorhagen" + "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", + "name": "kraj", + "url": "https://github.com/kraj" }, { - "avatar": "https://avatars.githubusercontent.com/u/41870?s=40&v=4", - "name": "bboozzoo", - "url": "https://github.com/bboozzoo" + "avatar": "https://avatars.githubusercontent.com/u/2828301?s=40&v=4", + "name": "texierp", + "url": "https://github.com/texierp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8821484?s=40&v=4", + "name": "embetrix", + "url": "https://github.com/embetrix" } ] }, @@ -258,43 +295,6 @@ } ] }, - { - "title": "sbabic/meta-swupdate", - "url": "https://github.com/sbabic/meta-swupdate", - "description": "Yocto receipes to generate a swupdate rootfilesystem as initrd", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "145", - "forks": "106", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1411982?s=40&v=4", - "name": "sbabic", - "url": "https://github.com/sbabic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/638766?s=40&v=4", - "name": "diegorondini", - "url": "https://github.com/diegorondini" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", - "name": "kraj", - "url": "https://github.com/kraj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2828301?s=40&v=4", - "name": "texierp", - "url": "https://github.com/texierp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8821484?s=40&v=4", - "name": "embetrix", - "url": "https://github.com/embetrix" - } - ] - }, { "title": "ros/meta-ros", "url": "https://github.com/ros/meta-ros", @@ -369,43 +369,6 @@ } ] }, - { - "title": "STMicroelectronics/meta-st-stm32mp", - "url": "https://github.com/STMicroelectronics/meta-st-stm32mp", - "description": "meta-st-stm32mp", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "134", - "forks": "101", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/14992201?s=40&v=4", - "name": "cpriouzeau", - "url": "https://github.com/cpriouzeau" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50237302?s=40&v=4", - "name": "RJESTM", - "url": "https://github.com/RJESTM" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/57800490?s=40&v=4", - "name": "lionel846", - "url": "https://github.com/lionel846" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22339586?s=40&v=4", - "name": "BernardPuel", - "url": "https://github.com/BernardPuel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15716308?s=40&v=4", - "name": "patrickdelaunay", - "url": "https://github.com/patrickdelaunay" - } - ] - }, { "title": "OSSystems/meta-browser", "url": "https://github.com/OSSystems/meta-browser", @@ -443,43 +406,6 @@ } ] }, - { - "title": "openembedded/openembedded-core", - "url": "https://github.com/openembedded/openembedded-core", - "description": "The official Git repository is at https://git.openembedded.org/openembedded-core/.", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "364", - "forks": "499", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1184070?s=40&v=4", - "name": "rpurdie", - "url": "https://github.com/rpurdie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", - "name": "rossburton", - "url": "https://github.com/rossburton" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1011938?s=40&v=4", - "name": "kanavin", - "url": "https://github.com/kanavin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", - "name": "kraj", - "url": "https://github.com/kraj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", - "name": "wangmingyu84", - "url": "https://github.com/wangmingyu84" - } - ] - }, { "title": "meta-rust/meta-rust", "url": "https://github.com/meta-rust/meta-rust", @@ -517,6 +443,43 @@ } ] }, + { + "title": "openembedded/openembedded-core", + "url": "https://github.com/openembedded/openembedded-core", + "description": "The official Git repository is at https://git.openembedded.org/openembedded-core/.", + "language": "BitBake", + "languageColor": "#00bce4", + "stars": "364", + "forks": "499", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1184070?s=40&v=4", + "name": "rpurdie", + "url": "https://github.com/rpurdie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", + "name": "rossburton", + "url": "https://github.com/rossburton" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1011938?s=40&v=4", + "name": "kanavin", + "url": "https://github.com/kanavin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", + "name": "kraj", + "url": "https://github.com/kraj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", + "name": "wangmingyu84", + "url": "https://github.com/wangmingyu84" + } + ] + }, { "title": "Xilinx/meta-xilinx", "url": "https://github.com/Xilinx/meta-xilinx", diff --git a/data/monthly/bitbake.xml b/data/monthly/bitbake.xml index b0b887893902..d227dcd24b1c 100644 --- a/data/monthly/bitbake.xml +++ b/data/monthly/bitbake.xml @@ -3,7 +3,7 @@ GitHub Bitbake Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bitbake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT OE4T/meta-tegra https://github.com/OE4T/meta-tegra @@ -118,6 +118,39 @@ + + mendersoftware/meta-mender + https://github.com/mendersoftware/meta-mender + Yocto Project meta layer for the Mender client + https://github.com/mendersoftware/meta-mender + BitBake + #00bce4 + 167 + 191 + 2 + + + https://avatars.githubusercontent.com/u/4037094?s=40&v=4 + kacf + https://github.com/kacf + + + https://avatars.githubusercontent.com/u/3168644?s=40&v=4 + lluiscampos + https://github.com/lluiscampos + + + https://avatars.githubusercontent.com/u/29454137?s=40&v=4 + oleorhagen + https://github.com/oleorhagen + + + https://avatars.githubusercontent.com/u/41870?s=40&v=4 + bboozzoo + https://github.com/bboozzoo + + + kraj/meta-clang https://github.com/kraj/meta-clang @@ -195,35 +228,40 @@ - mendersoftware/meta-mender - https://github.com/mendersoftware/meta-mender - Yocto Project meta layer for the Mender client - https://github.com/mendersoftware/meta-mender + sbabic/meta-swupdate + https://github.com/sbabic/meta-swupdate + Yocto receipes to generate a swupdate rootfilesystem as initrd + https://github.com/sbabic/meta-swupdate BitBake #00bce4 - 167 - 191 + 145 + 106 2 - https://avatars.githubusercontent.com/u/4037094?s=40&v=4 - kacf - https://github.com/kacf + https://avatars.githubusercontent.com/u/1411982?s=40&v=4 + sbabic + https://github.com/sbabic - https://avatars.githubusercontent.com/u/3168644?s=40&v=4 - lluiscampos - https://github.com/lluiscampos + https://avatars.githubusercontent.com/u/638766?s=40&v=4 + diegorondini + https://github.com/diegorondini - https://avatars.githubusercontent.com/u/29454137?s=40&v=4 - oleorhagen - https://github.com/oleorhagen + https://avatars.githubusercontent.com/u/465279?s=40&v=4 + kraj + https://github.com/kraj - https://avatars.githubusercontent.com/u/41870?s=40&v=4 - bboozzoo - https://github.com/bboozzoo + https://avatars.githubusercontent.com/u/2828301?s=40&v=4 + texierp + https://github.com/texierp + + + https://avatars.githubusercontent.com/u/8821484?s=40&v=4 + embetrix + https://github.com/embetrix @@ -265,44 +303,6 @@ - - sbabic/meta-swupdate - https://github.com/sbabic/meta-swupdate - Yocto receipes to generate a swupdate rootfilesystem as initrd - https://github.com/sbabic/meta-swupdate - BitBake - #00bce4 - 145 - 106 - 2 - - - https://avatars.githubusercontent.com/u/1411982?s=40&v=4 - sbabic - https://github.com/sbabic - - - https://avatars.githubusercontent.com/u/638766?s=40&v=4 - diegorondini - https://github.com/diegorondini - - - https://avatars.githubusercontent.com/u/465279?s=40&v=4 - kraj - https://github.com/kraj - - - https://avatars.githubusercontent.com/u/2828301?s=40&v=4 - texierp - https://github.com/texierp - - - https://avatars.githubusercontent.com/u/8821484?s=40&v=4 - embetrix - https://github.com/embetrix - - - ros/meta-ros https://github.com/ros/meta-ros @@ -379,44 +379,6 @@ - - STMicroelectronics/meta-st-stm32mp - https://github.com/STMicroelectronics/meta-st-stm32mp - meta-st-stm32mp - https://github.com/STMicroelectronics/meta-st-stm32mp - BitBake - #00bce4 - 134 - 101 - 5 - - - https://avatars.githubusercontent.com/u/14992201?s=40&v=4 - cpriouzeau - https://github.com/cpriouzeau - - - https://avatars.githubusercontent.com/u/50237302?s=40&v=4 - RJESTM - https://github.com/RJESTM - - - https://avatars.githubusercontent.com/u/57800490?s=40&v=4 - lionel846 - https://github.com/lionel846 - - - https://avatars.githubusercontent.com/u/22339586?s=40&v=4 - BernardPuel - https://github.com/BernardPuel - - - https://avatars.githubusercontent.com/u/15716308?s=40&v=4 - patrickdelaunay - https://github.com/patrickdelaunay - - - OSSystems/meta-browser https://github.com/OSSystems/meta-browser @@ -455,44 +417,6 @@ - - openembedded/openembedded-core - https://github.com/openembedded/openembedded-core - The official Git repository is at https://git.openembedded.org/openembedded-core/. - https://github.com/openembedded/openembedded-core - BitBake - #00bce4 - 364 - 499 - 4 - - - https://avatars.githubusercontent.com/u/1184070?s=40&v=4 - rpurdie - https://github.com/rpurdie - - - https://avatars.githubusercontent.com/u/32394?s=40&v=4 - rossburton - https://github.com/rossburton - - - https://avatars.githubusercontent.com/u/1011938?s=40&v=4 - kanavin - https://github.com/kanavin - - - https://avatars.githubusercontent.com/u/465279?s=40&v=4 - kraj - https://github.com/kraj - - - https://avatars.githubusercontent.com/u/37888291?s=40&v=4 - wangmingyu84 - https://github.com/wangmingyu84 - - - meta-rust/meta-rust https://github.com/meta-rust/meta-rust @@ -531,6 +455,44 @@ + + openembedded/openembedded-core + https://github.com/openembedded/openembedded-core + The official Git repository is at https://git.openembedded.org/openembedded-core/. + https://github.com/openembedded/openembedded-core + BitBake + #00bce4 + 364 + 499 + 4 + + + https://avatars.githubusercontent.com/u/1184070?s=40&v=4 + rpurdie + https://github.com/rpurdie + + + https://avatars.githubusercontent.com/u/32394?s=40&v=4 + rossburton + https://github.com/rossburton + + + https://avatars.githubusercontent.com/u/1011938?s=40&v=4 + kanavin + https://github.com/kanavin + + + https://avatars.githubusercontent.com/u/465279?s=40&v=4 + kraj + https://github.com/kraj + + + https://avatars.githubusercontent.com/u/37888291?s=40&v=4 + wangmingyu84 + https://github.com/wangmingyu84 + + + Xilinx/meta-xilinx https://github.com/Xilinx/meta-xilinx diff --git a/data/monthly/blade.json b/data/monthly/blade.json index 7aa83d8f8142..168c6adbbf6e 100644 --- a/data/monthly/blade.json +++ b/data/monthly/blade.json @@ -2,7 +2,7 @@ "title": "GitHub Blade Languages Monthly Trending", "description": "Monthly Trending of Blade Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "filamentphp/filamentphp.com", @@ -41,6 +41,38 @@ } ] }, + { + "title": "Prajwal100/Complete-Ecommerce-in-laravel-10", + "url": "https://github.com/Prajwal100/Complete-Ecommerce-in-laravel-10", + "description": "Complete e-commerce website in laravel 10. Admin login:- https://ketramart.com/admin/login", + "language": "Blade", + "languageColor": "#f7523f", + "stars": "834", + "forks": "490", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/29488275?s=40&v=4", + "name": "Prajwal100", + "url": "https://github.com/Prajwal100" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6312731?s=40&v=4", + "name": "kingispeak", + "url": "https://github.com/kingispeak" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/77621081?s=40&v=4", + "name": "Wesley-Sinde", + "url": "https://github.com/Wesley-Sinde" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17213478?s=40&v=4", + "name": "arif98741", + "url": "https://github.com/arif98741" + } + ] + }, { "title": "grocy/grocy", "url": "https://github.com/grocy/grocy", @@ -79,34 +111,34 @@ ] }, { - "title": "Prajwal100/Complete-Ecommerce-in-laravel-10", - "url": "https://github.com/Prajwal100/Complete-Ecommerce-in-laravel-10", - "description": "Complete e-commerce website in laravel 10. Admin login:- https://ketramart.com/admin/login", + "title": "lsky-org/lsky-pro", + "url": "https://github.com/lsky-org/lsky-pro", + "description": "☁️兰空图床(Lsky Pro) - Your photo album on the cloud.", "language": "Blade", "languageColor": "#f7523f", - "stars": "834", - "forks": "490", - "addStars": "19", + "stars": "4,170", + "forks": "613", + "addStars": "62", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/29488275?s=40&v=4", - "name": "Prajwal100", - "url": "https://github.com/Prajwal100" + "avatar": "https://avatars.githubusercontent.com/u/22728201?s=40&v=4", + "name": "wisp-x", + "url": "https://github.com/wisp-x" }, { - "avatar": "https://avatars.githubusercontent.com/u/6312731?s=40&v=4", - "name": "kingispeak", - "url": "https://github.com/kingispeak" + "avatar": "https://avatars.githubusercontent.com/u/14012127?s=40&v=4", + "name": "ctaoist", + "url": "https://github.com/ctaoist" }, { - "avatar": "https://avatars.githubusercontent.com/u/77621081?s=40&v=4", - "name": "Wesley-Sinde", - "url": "https://github.com/Wesley-Sinde" + "avatar": "https://avatars.githubusercontent.com/u/38252576?s=40&v=4", + "name": "qyhfrank", + "url": "https://github.com/qyhfrank" }, { - "avatar": "https://avatars.githubusercontent.com/u/17213478?s=40&v=4", - "name": "arif98741", - "url": "https://github.com/arif98741" + "avatar": "https://avatars.githubusercontent.com/u/46226528?s=40&v=4", + "name": "penndu", + "url": "https://github.com/penndu" } ] } diff --git a/data/monthly/blade.xml b/data/monthly/blade.xml index 9bea0cef3104..3bc8c58d5340 100644 --- a/data/monthly/blade.xml +++ b/data/monthly/blade.xml @@ -3,7 +3,7 @@ GitHub Blade Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Blade Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT filamentphp/filamentphp.com https://github.com/filamentphp/filamentphp.com @@ -42,6 +42,39 @@ + + Prajwal100/Complete-Ecommerce-in-laravel-10 + https://github.com/Prajwal100/Complete-Ecommerce-in-laravel-10 + Complete e-commerce website in laravel 10. Admin login:- https://ketramart.com/admin/login + https://github.com/Prajwal100/Complete-Ecommerce-in-laravel-10 + Blade + #f7523f + 834 + 490 + 19 + + + https://avatars.githubusercontent.com/u/29488275?s=40&v=4 + Prajwal100 + https://github.com/Prajwal100 + + + https://avatars.githubusercontent.com/u/6312731?s=40&v=4 + kingispeak + https://github.com/kingispeak + + + https://avatars.githubusercontent.com/u/77621081?s=40&v=4 + Wesley-Sinde + https://github.com/Wesley-Sinde + + + https://avatars.githubusercontent.com/u/17213478?s=40&v=4 + arif98741 + https://github.com/arif98741 + + + grocy/grocy https://github.com/grocy/grocy @@ -81,35 +114,35 @@ - Prajwal100/Complete-Ecommerce-in-laravel-10 - https://github.com/Prajwal100/Complete-Ecommerce-in-laravel-10 - Complete e-commerce website in laravel 10. Admin login:- https://ketramart.com/admin/login - https://github.com/Prajwal100/Complete-Ecommerce-in-laravel-10 + lsky-org/lsky-pro + https://github.com/lsky-org/lsky-pro + ☁️兰空图床(Lsky Pro) - Your photo album on the cloud. + https://github.com/lsky-org/lsky-pro Blade #f7523f - 834 - 490 - 19 + 4,170 + 613 + 62 - https://avatars.githubusercontent.com/u/29488275?s=40&v=4 - Prajwal100 - https://github.com/Prajwal100 + https://avatars.githubusercontent.com/u/22728201?s=40&v=4 + wisp-x + https://github.com/wisp-x - https://avatars.githubusercontent.com/u/6312731?s=40&v=4 - kingispeak - https://github.com/kingispeak + https://avatars.githubusercontent.com/u/14012127?s=40&v=4 + ctaoist + https://github.com/ctaoist - https://avatars.githubusercontent.com/u/77621081?s=40&v=4 - Wesley-Sinde - https://github.com/Wesley-Sinde + https://avatars.githubusercontent.com/u/38252576?s=40&v=4 + qyhfrank + https://github.com/qyhfrank - https://avatars.githubusercontent.com/u/17213478?s=40&v=4 - arif98741 - https://github.com/arif98741 + https://avatars.githubusercontent.com/u/46226528?s=40&v=4 + penndu + https://github.com/penndu diff --git a/data/monthly/blitzbasic.json b/data/monthly/blitzbasic.json index c4dbed72b6a5..13183044681d 100644 --- a/data/monthly/blitzbasic.json +++ b/data/monthly/blitzbasic.json @@ -2,6 +2,6 @@ "title": "GitHub Blitzbasic Languages Monthly Trending", "description": "Monthly Trending of Blitzbasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/blitzbasic.xml b/data/monthly/blitzbasic.xml index b0ab1342381f..27599ddba287 100644 --- a/data/monthly/blitzbasic.xml +++ b/data/monthly/blitzbasic.xml @@ -3,6 +3,6 @@ GitHub Blitzbasic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Blitzbasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/blitzmax.json b/data/monthly/blitzmax.json index 693503a00846..c924e4078b36 100644 --- a/data/monthly/blitzmax.json +++ b/data/monthly/blitzmax.json @@ -2,6 +2,6 @@ "title": "GitHub Blitzmax Languages Monthly Trending", "description": "Monthly Trending of Blitzmax Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/blitzmax.xml b/data/monthly/blitzmax.xml index d5ab7f2dcab5..185d77074e97 100644 --- a/data/monthly/blitzmax.xml +++ b/data/monthly/blitzmax.xml @@ -3,6 +3,6 @@ GitHub Blitzmax Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Blitzmax Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/bluespec-bh.json b/data/monthly/bluespec-bh.json index 312b600e2517..3bc8d0e110b4 100644 --- a/data/monthly/bluespec-bh.json +++ b/data/monthly/bluespec-bh.json @@ -2,6 +2,6 @@ "title": "GitHub Bluespec-bh Languages Monthly Trending", "description": "Monthly Trending of Bluespec-bh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/bluespec-bh.xml b/data/monthly/bluespec-bh.xml index b95fc9be67cc..63138d08ffc5 100644 --- a/data/monthly/bluespec-bh.xml +++ b/data/monthly/bluespec-bh.xml @@ -3,6 +3,6 @@ GitHub Bluespec-bh Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bluespec-bh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/bluespec.json b/data/monthly/bluespec.json index 343f41a31463..5c670fd19f35 100644 --- a/data/monthly/bluespec.json +++ b/data/monthly/bluespec.json @@ -2,6 +2,6 @@ "title": "GitHub Bluespec Languages Monthly Trending", "description": "Monthly Trending of Bluespec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/bluespec.xml b/data/monthly/bluespec.xml index 3fa47a4694fc..4d5a7c502ce5 100644 --- a/data/monthly/bluespec.xml +++ b/data/monthly/bluespec.xml @@ -3,6 +3,6 @@ GitHub Bluespec Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bluespec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/boo.json b/data/monthly/boo.json index 3b5409b0f4d5..6cb2e0e2317b 100644 --- a/data/monthly/boo.json +++ b/data/monthly/boo.json @@ -2,6 +2,6 @@ "title": "GitHub Boo Languages Monthly Trending", "description": "Monthly Trending of Boo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/boo.xml b/data/monthly/boo.xml index ae774e8aa9bd..f7a9b79b7d9e 100644 --- a/data/monthly/boo.xml +++ b/data/monthly/boo.xml @@ -3,6 +3,6 @@ GitHub Boo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Boo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/boogie.json b/data/monthly/boogie.json index 34dfd79a5ea0..b008d80e7fbe 100644 --- a/data/monthly/boogie.json +++ b/data/monthly/boogie.json @@ -2,6 +2,6 @@ "title": "GitHub Boogie Languages Monthly Trending", "description": "Monthly Trending of Boogie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/boogie.xml b/data/monthly/boogie.xml index 94e1eae3bad9..f59846fb51c9 100644 --- a/data/monthly/boogie.xml +++ b/data/monthly/boogie.xml @@ -3,6 +3,6 @@ GitHub Boogie Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Boogie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/bqn.json b/data/monthly/bqn.json index 748ad9fa3129..6ec21db0bd5f 100644 --- a/data/monthly/bqn.json +++ b/data/monthly/bqn.json @@ -2,6 +2,6 @@ "title": "GitHub Bqn Languages Monthly Trending", "description": "Monthly Trending of Bqn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/bqn.xml b/data/monthly/bqn.xml index 069057dea502..914aa74a3772 100644 --- a/data/monthly/bqn.xml +++ b/data/monthly/bqn.xml @@ -3,6 +3,6 @@ GitHub Bqn Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Bqn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/brainfuck.json b/data/monthly/brainfuck.json index b8412a2a8c21..b284d3559fd6 100644 --- a/data/monthly/brainfuck.json +++ b/data/monthly/brainfuck.json @@ -2,6 +2,6 @@ "title": "GitHub Brainfuck Languages Monthly Trending", "description": "Monthly Trending of Brainfuck Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/brainfuck.xml b/data/monthly/brainfuck.xml index 4d8377e3619f..6d5bc0526486 100644 --- a/data/monthly/brainfuck.xml +++ b/data/monthly/brainfuck.xml @@ -3,6 +3,6 @@ GitHub Brainfuck Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Brainfuck Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/brighterscript.json b/data/monthly/brighterscript.json index dc0e56511a20..d5119c71ba93 100644 --- a/data/monthly/brighterscript.json +++ b/data/monthly/brighterscript.json @@ -2,6 +2,6 @@ "title": "GitHub Brighterscript Languages Monthly Trending", "description": "Monthly Trending of Brighterscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/brighterscript.xml b/data/monthly/brighterscript.xml index faf70cb53db2..21258d912819 100644 --- a/data/monthly/brighterscript.xml +++ b/data/monthly/brighterscript.xml @@ -3,6 +3,6 @@ GitHub Brighterscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Brighterscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/brightscript.json b/data/monthly/brightscript.json index c7a830d9193c..3ebae962011c 100644 --- a/data/monthly/brightscript.json +++ b/data/monthly/brightscript.json @@ -2,6 +2,6 @@ "title": "GitHub Brightscript Languages Monthly Trending", "description": "Monthly Trending of Brightscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/brightscript.xml b/data/monthly/brightscript.xml index c4e6f5aa3f41..f575d1de37dc 100644 --- a/data/monthly/brightscript.xml +++ b/data/monthly/brightscript.xml @@ -3,6 +3,6 @@ GitHub Brightscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Brightscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/browserslist.json b/data/monthly/browserslist.json index cd1b048f8afa..ac3cd9783e54 100644 --- a/data/monthly/browserslist.json +++ b/data/monthly/browserslist.json @@ -2,6 +2,6 @@ "title": "GitHub Browserslist Languages Monthly Trending", "description": "Monthly Trending of Browserslist Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/browserslist.xml b/data/monthly/browserslist.xml index ea933f5a3e6c..de611f863cf5 100644 --- a/data/monthly/browserslist.xml +++ b/data/monthly/browserslist.xml @@ -3,6 +3,6 @@ GitHub Browserslist Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Browserslist Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/c#.json b/data/monthly/c#.json index a80742f970dc..f31a84aebe30 100644 --- a/data/monthly/c#.json +++ b/data/monthly/c#.json @@ -2,281 +2,286 @@ "title": "GitHub C# Languages Monthly Trending", "description": "Monthly Trending of C# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "xmrig/xmrig", - "url": "https://github.com/xmrig/xmrig", - "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", + "title": "capstone-engine/capstone", + "url": "https://github.com/capstone-engine/capstone", + "description": "Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86.", "language": "C", "languageColor": "#555555", - "stars": "8,793", - "forks": "3,462", - "addStars": "5", + "stars": "7,623", + "forks": "1,556", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", - "name": "xmrig", - "url": "https://github.com/xmrig" + "avatar": "https://avatars.githubusercontent.com/u/5965536?s=40&v=4", + "name": "aquynh", + "url": "https://github.com/aquynh" }, { - "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", - "name": "SChernykh", - "url": "https://github.com/SChernykh" + "avatar": "https://avatars.githubusercontent.com/u/34511237?s=40&v=4", + "name": "kabeor", + "url": "https://github.com/kabeor" }, { - "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", - "name": "Spudz76", - "url": "https://github.com/Spudz76" + "avatar": "https://avatars.githubusercontent.com/u/24983369?s=40&v=4", + "name": "imbillow", + "url": "https://github.com/imbillow" }, { - "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", - "name": "Foudge", - "url": "https://github.com/Foudge" + "avatar": "https://avatars.githubusercontent.com/u/45763064?s=40&v=4", + "name": "Rot127", + "url": "https://github.com/Rot127" }, { - "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", - "name": "pdxwebdev", - "url": "https://github.com/pdxwebdev" + "avatar": "https://avatars.githubusercontent.com/u/35799796?s=40&v=4", + "name": "catenacyber", + "url": "https://github.com/catenacyber" } ] }, { - "title": "RfidResearchGroup/proxmark3", - "url": "https://github.com/RfidResearchGroup/proxmark3", - "description": "Iceman Fork - Proxmark3", + "title": "bol-van/zapret", + "url": "https://github.com/bol-van/zapret", + "description": "DPI bypass multi platform", "language": "C", "languageColor": "#555555", - "stars": "4,056", - "forks": "1,066", - "addStars": "7", + "stars": "8,501", + "forks": "639", + "addStars": "25", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8577004?s=40&v=4", - "name": "iceman1001", - "url": "https://github.com/iceman1001" + "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", + "name": "bol-van", + "url": "https://github.com/bol-van" }, { - "avatar": "https://avatars.githubusercontent.com/u/60773?s=40&v=4", - "name": "doegox", - "url": "https://github.com/doegox" + "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", + "name": "maximilionus", + "url": "https://github.com/maximilionus" }, { - "avatar": "https://avatars.githubusercontent.com/u/807634?s=40&v=4", - "name": "merlokk", - "url": "https://github.com/merlokk" + "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", + "name": "anphsw", + "url": "https://github.com/anphsw" }, { - "avatar": "https://avatars.githubusercontent.com/u/61853685?s=40&v=4", - "name": "tharexde", - "url": "https://github.com/tharexde" + "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", + "name": "SashaXser", + "url": "https://github.com/SashaXser" }, { - "avatar": "https://avatars.githubusercontent.com/u/51802811?s=40&v=4", - "name": "mwalker33", - "url": "https://github.com/mwalker33" + "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", + "name": "ataniazov", + "url": "https://github.com/ataniazov" } ] }, { - "title": "git/git", - "url": "https://github.com/git/git", - "description": "Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements.", + "title": "ValdikSS/GoodbyeDPI", + "url": "https://github.com/ValdikSS/GoodbyeDPI", + "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", "language": "C", "languageColor": "#555555", - "stars": "52,653", - "forks": "25,667", - "addStars": "13", + "stars": "25,589", + "forks": "1,873", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/54884?s=40&v=4", - "name": "gitster", - "url": "https://github.com/gitster" + "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", + "name": "ValdikSS", + "url": "https://github.com/ValdikSS" }, { - "avatar": "https://avatars.githubusercontent.com/u/45925?s=40&v=4", - "name": "peff", - "url": "https://github.com/peff" + "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", + "name": "EgorWeders", + "url": "https://github.com/EgorWeders" }, { - "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", - "name": "dscho", - "url": "https://github.com/dscho" + "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", + "name": "KOLANICH", + "url": "https://github.com/KOLANICH" }, { - "avatar": "https://avatars.githubusercontent.com/u/45301?s=40&v=4", - "name": "avar", - "url": "https://github.com/avar" + "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", + "name": "pash7ka", + "url": "https://github.com/pash7ka" }, { - "avatar": "https://avatars.githubusercontent.com/u/720?s=40&v=4", - "name": "pclouds", - "url": "https://github.com/pclouds" + "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", + "name": "eltociear", + "url": "https://github.com/eltociear" } ] }, { - "title": "mpv-player/mpv", - "url": "https://github.com/mpv-player/mpv", - "description": "🎥 Command line video player", + "title": "xmrig/xmrig", + "url": "https://github.com/xmrig/xmrig", + "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", "language": "C", "languageColor": "#555555", - "stars": "28,703", - "forks": "2,927", - "addStars": "17", + "stars": "8,801", + "forks": "3,464", + "addStars": "50", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1126053?s=40&v=4", - "name": "kasper93", - "url": "https://github.com/kasper93" + "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", + "name": "xmrig", + "url": "https://github.com/xmrig" }, { - "avatar": "https://avatars.githubusercontent.com/u/5105515?s=40&v=4", - "name": "Dudemanguy", - "url": "https://github.com/Dudemanguy" + "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", + "name": "SChernykh", + "url": "https://github.com/SChernykh" }, { - "avatar": "https://avatars.githubusercontent.com/u/1149047?s=40&v=4", - "name": "haasn", - "url": "https://github.com/haasn" + "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", + "name": "Spudz76", + "url": "https://github.com/Spudz76" }, { - "avatar": "https://avatars.githubusercontent.com/u/24681?s=40&v=4", - "name": "pigoz", - "url": "https://github.com/pigoz" + "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", + "name": "Foudge", + "url": "https://github.com/Foudge" }, { - "avatar": "https://avatars.githubusercontent.com/u/680386?s=40&v=4", - "name": "Akemi", - "url": "https://github.com/Akemi" + "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", + "name": "pdxwebdev", + "url": "https://github.com/pdxwebdev" } ] }, { - "title": "acidanthera/OpenCorePkg", - "url": "https://github.com/acidanthera/OpenCorePkg", - "description": "OpenCore bootloader", + "title": "brunodev85/winlator", + "url": "https://github.com/brunodev85/winlator", + "description": "Android application for running Windows applications with Wine and Box86/Box64", "language": "C", "languageColor": "#555555", - "stars": "13,470", - "forks": "2,116", - "addStars": "7", + "stars": "9,236", + "forks": "457", + "addStars": "21", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", - "name": "vit9696", - "url": "https://github.com/vit9696" + "avatar": "https://avatars.githubusercontent.com/u/11407071?s=40&v=4", + "name": "brunodev85", + "url": "https://github.com/brunodev85" }, { - "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", - "name": "mhaeuser", - "url": "https://github.com/mhaeuser" + "avatar": "https://avatars.githubusercontent.com/u/88626244?s=40&v=4", + "name": "kazimaruf991", + "url": "https://github.com/kazimaruf991" }, { - "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", - "name": "Andrey1970AppleLife", - "url": "https://github.com/Andrey1970AppleLife" + "avatar": "https://avatars.githubusercontent.com/u/100040786?s=40&v=4", + "name": "Kashinathpat", + "url": "https://github.com/Kashinathpat" }, { - "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", - "name": "mikebeaton", - "url": "https://github.com/mikebeaton" + "avatar": "https://avatars.githubusercontent.com/u/518438?s=40&v=4", + "name": "hackcasual", + "url": "https://github.com/hackcasual" }, { - "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", - "name": "PMheart", - "url": "https://github.com/PMheart" + "avatar": "https://avatars.githubusercontent.com/u/6472545?s=40&v=4", + "name": "lvonasek", + "url": "https://github.com/lvonasek" } ] }, { - "title": "Genymobile/scrcpy", - "url": "https://github.com/Genymobile/scrcpy", - "description": "Display and control your Android device", + "title": "glfw/glfw", + "url": "https://github.com/glfw/glfw", + "description": "A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input", "language": "C", "languageColor": "#555555", - "stars": "112,588", - "forks": "10,767", - "addStars": "48", + "stars": "13,128", + "forks": "5,271", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/543275?s=40&v=4", - "name": "rom1v", - "url": "https://github.com/rom1v" + "avatar": "https://avatars.githubusercontent.com/u/133714?s=40&v=4", + "name": "elmindreda", + "url": "https://github.com/elmindreda" }, { - "avatar": "https://avatars.githubusercontent.com/u/1330321?s=40&v=4", - "name": "yume-chan", - "url": "https://github.com/yume-chan" + "avatar": "https://avatars.githubusercontent.com/u/7755816?s=40&v=4", + "name": "linkmauve", + "url": "https://github.com/linkmauve" }, { - "avatar": "https://avatars.githubusercontent.com/u/5494432?s=40&v=4", - "name": "npes87184", - "url": "https://github.com/npes87184" + "avatar": "https://avatars.githubusercontent.com/u/872946?s=40&v=4", + "name": "dougbinks", + "url": "https://github.com/dougbinks" }, { - "avatar": "https://avatars.githubusercontent.com/u/639467?s=40&v=4", - "name": "brunoais", - "url": "https://github.com/brunoais" + "avatar": "https://avatars.githubusercontent.com/u/84891?s=40&v=4", + "name": "adrianbroher", + "url": "https://github.com/adrianbroher" }, { - "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", - "name": "rootkea", - "url": "https://github.com/rootkea" + "avatar": "https://avatars.githubusercontent.com/u/1075690?s=40&v=4", + "name": "siavashserver", + "url": "https://github.com/siavashserver" } ] }, { - "title": "bol-van/zapret", - "url": "https://github.com/bol-van/zapret", - "description": "DPI bypass multi platform", + "title": "HandBrake/HandBrake", + "url": "https://github.com/HandBrake/HandBrake", + "description": "HandBrake's main development repository", "language": "C", "languageColor": "#555555", - "stars": "8,491", - "forks": "639", - "addStars": "25", + "stars": "17,939", + "forks": "1,345", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", - "name": "bol-van", - "url": "https://github.com/bol-van" + "avatar": "https://avatars.githubusercontent.com/u/628593?s=40&v=4", + "name": "sr55", + "url": "https://github.com/sr55" }, { - "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", - "name": "maximilionus", - "url": "https://github.com/maximilionus" + "avatar": "https://avatars.githubusercontent.com/u/709720?s=40&v=4", + "name": "jstebbins", + "url": "https://github.com/jstebbins" }, { - "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", - "name": "anphsw", - "url": "https://github.com/anphsw" + "avatar": "https://avatars.githubusercontent.com/u/4305519?s=40&v=4", + "name": "galad87", + "url": "https://github.com/galad87" }, { - "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", - "name": "SashaXser", - "url": "https://github.com/SashaXser" + "avatar": "https://avatars.githubusercontent.com/u/70239?s=40&v=4", + "name": "bradleysepos", + "url": "https://github.com/bradleysepos" }, { - "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", - "name": "ataniazov", - "url": "https://github.com/ataniazov" + "avatar": "https://avatars.githubusercontent.com/u/374913?s=40&v=4", + "name": "jbrjake", + "url": "https://github.com/jbrjake" } ] }, { - "title": "Immediate-Mode-UI/Nuklear", - "url": "https://github.com/Immediate-Mode-UI/Nuklear", - "description": "A single-header ANSI C immediate mode cross-platform GUI library", + "title": "raysan5/raygui", + "url": "https://github.com/raysan5/raygui", + "description": "A simple and easy-to-use immediate-mode gui library", "language": "C", "languageColor": "#555555", - "stars": "9,335", - "forks": "571", - "addStars": "7", + "stars": "3,597", + "forks": "304", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8057201?s=40&v=4", - "name": "vurtun", - "url": "https://github.com/vurtun" + "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", + "name": "raysan5", + "url": "https://github.com/raysan5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9116727?s=40&v=4", + "name": "anidealgift", + "url": "https://github.com/anidealgift" }, { "avatar": "https://avatars.githubusercontent.com/u/25086?s=40&v=4", @@ -284,569 +289,495 @@ "url": "https://github.com/RobLoach" }, { - "avatar": "https://avatars.githubusercontent.com/u/2451044?s=40&v=4", - "name": "dumblob", - "url": "https://github.com/dumblob" + "avatar": "https://avatars.githubusercontent.com/u/27865535?s=40&v=4", + "name": "Demizdor", + "url": "https://github.com/Demizdor" }, { - "avatar": "https://avatars.githubusercontent.com/u/176167?s=40&v=4", - "name": "riri", - "url": "https://github.com/riri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4019495?s=40&v=4", - "name": "DeXP", - "url": "https://github.com/DeXP" - } - ] - }, - { - "title": "libretro/RetroArch", - "url": "https://github.com/libretro/RetroArch", - "description": "Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3.", - "language": "C", - "languageColor": "#555555", - "stars": "10,373", - "forks": "1,838", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1075274?s=40&v=4", - "name": "inactive123", - "url": "https://github.com/inactive123" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/163683?s=40&v=4", - "name": "Themaister", - "url": "https://github.com/Themaister" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/105389611?s=40&v=4", - "name": "LibretroAdmin", - "url": "https://github.com/LibretroAdmin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1721040?s=40&v=4", - "name": "andres-asm", - "url": "https://github.com/andres-asm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/442722?s=40&v=4", - "name": "kivutar", - "url": "https://github.com/kivutar" + "avatar": "https://avatars.githubusercontent.com/u/87268284?s=40&v=4", + "name": "hanaxar", + "url": "https://github.com/hanaxar" } ] }, { - "title": "floooh/sokol", - "url": "https://github.com/floooh/sokol", - "description": "minimal cross-platform standalone C headers", + "title": "qmk/qmk_firmware", + "url": "https://github.com/qmk/qmk_firmware", + "description": "Open-source keyboard firmware for Atmel AVR and Arm USB families", "language": "C", "languageColor": "#555555", - "stars": "7,109", - "forks": "500", - "addStars": "8", + "stars": "18,343", + "forks": "39,502", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1699414?s=40&v=4", - "name": "floooh", - "url": "https://github.com/floooh" + "avatar": "https://avatars.githubusercontent.com/u/4781841?s=40&v=4", + "name": "fauxpark", + "url": "https://github.com/fauxpark" }, { - "avatar": "https://avatars.githubusercontent.com/u/178582?s=40&v=4", - "name": "waywardmonkeys", - "url": "https://github.com/waywardmonkeys" + "avatar": "https://avatars.githubusercontent.com/u/26984769?s=40&v=4", + "name": "qmk-bot", + "url": "https://github.com/qmk-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/66876057?s=40&v=4", - "name": "jakubtomsu", - "url": "https://github.com/jakubtomsu" + "avatar": "https://avatars.githubusercontent.com/u/2584963?s=40&v=4", + "name": "drashna", + "url": "https://github.com/drashna" }, { - "avatar": "https://avatars.githubusercontent.com/u/1472250?s=40&v=4", - "name": "danielchasehooper", - "url": "https://github.com/danielchasehooper" + "avatar": "https://avatars.githubusercontent.com/u/18669334?s=40&v=4", + "name": "noroadsleft", + "url": "https://github.com/noroadsleft" }, { - "avatar": "https://avatars.githubusercontent.com/u/322030?s=40&v=4", - "name": "edubart", - "url": "https://github.com/edubart" + "avatar": "https://avatars.githubusercontent.com/u/141431?s=40&v=4", + "name": "jackhumbert", + "url": "https://github.com/jackhumbert" } ] }, { - "title": "aircrack-ng/aircrack-ng", - "url": "https://github.com/aircrack-ng/aircrack-ng", - "description": "WiFi security auditing tools suite", + "title": "curl/curl", + "url": "https://github.com/curl/curl", + "description": "A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features", "language": "C", "languageColor": "#555555", - "stars": "5,500", - "forks": "953", - "addStars": "1", + "stars": "36,015", + "forks": "6,446", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3520734?s=40&v=4", - "name": "Mister-X-", - "url": "https://github.com/Mister-X-" + "avatar": "https://avatars.githubusercontent.com/u/177011?s=40&v=4", + "name": "bagder", + "url": "https://github.com/bagder" }, { - "avatar": "https://avatars.githubusercontent.com/u/73767?s=40&v=4", - "name": "jbenden", - "url": "https://github.com/jbenden" + "avatar": "https://avatars.githubusercontent.com/u/242954?s=40&v=4", + "name": "yangtse", + "url": "https://github.com/yangtse" }, { - "avatar": "https://avatars.githubusercontent.com/u/59890178?s=40&v=4", - "name": "gemesa", - "url": "https://github.com/gemesa" + "avatar": "https://avatars.githubusercontent.com/u/1523871?s=40&v=4", + "name": "captain-caveman2k", + "url": "https://github.com/captain-caveman2k" }, { - "avatar": "https://avatars.githubusercontent.com/u/1670905?s=40&v=4", - "name": "ZeroChaos-", - "url": "https://github.com/ZeroChaos-" + "avatar": "https://avatars.githubusercontent.com/u/228259?s=40&v=4", + "name": "dfandrich", + "url": "https://github.com/dfandrich" }, { - "avatar": "https://avatars.githubusercontent.com/u/5159728?s=40&v=4", - "name": "jmberg", - "url": "https://github.com/jmberg" + "avatar": "https://avatars.githubusercontent.com/u/1446897?s=40&v=4", + "name": "vszakats", + "url": "https://github.com/vszakats" } ] }, { - "title": "apache/cloudberry", - "url": "https://github.com/apache/cloudberry", - "description": "One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database.", + "title": "flipperdevices/flipperzero-firmware", + "url": "https://github.com/flipperdevices/flipperzero-firmware", + "description": "Flipper Zero firmware source code", "language": "C", "languageColor": "#555555", - "stars": "463", - "forks": "107", + "stars": "12,961", + "forks": "2,743", "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17311022?s=40&v=4", - "name": "avamingli", - "url": "https://github.com/avamingli" + "avatar": "https://avatars.githubusercontent.com/u/1633132?s=40&v=4", + "name": "skotopes", + "url": "https://github.com/skotopes" }, { - "avatar": "https://avatars.githubusercontent.com/u/37101401?s=40&v=4", - "name": "gfphoenix78", - "url": "https://github.com/gfphoenix78" + "avatar": "https://avatars.githubusercontent.com/u/277532?s=40&v=4", + "name": "hedger", + "url": "https://github.com/hedger" }, { - "avatar": "https://avatars.githubusercontent.com/u/53178068?s=40&v=4", - "name": "wenchaozhang-123", - "url": "https://github.com/wenchaozhang-123" + "avatar": "https://avatars.githubusercontent.com/u/4784169?s=40&v=4", + "name": "DrZlo13", + "url": "https://github.com/DrZlo13" }, { - "avatar": "https://avatars.githubusercontent.com/u/30709931?s=40&v=4", - "name": "SmartKeyerror", - "url": "https://github.com/SmartKeyerror" + "avatar": "https://avatars.githubusercontent.com/u/44112859?s=40&v=4", + "name": "gornekich", + "url": "https://github.com/gornekich" }, { - "avatar": "https://avatars.githubusercontent.com/u/1284465?s=40&v=4", - "name": "tuhaihe", - "url": "https://github.com/tuhaihe" + "avatar": "https://avatars.githubusercontent.com/u/12886640?s=40&v=4", + "name": "glitchcore", + "url": "https://github.com/glitchcore" } ] }, { - "title": "espressif/esp-idf", - "url": "https://github.com/espressif/esp-idf", - "description": "Espressif IoT Development Framework. Official development framework for Espressif SoCs.", + "title": "libsdl-org/SDL", + "url": "https://github.com/libsdl-org/SDL", + "description": "Simple Directmedia Layer", "language": "C", "languageColor": "#555555", - "stars": "13,827", - "forks": "7,318", - "addStars": "4", + "stars": "10,171", + "forks": "1,858", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4349050?s=40&v=4", - "name": "igrr", - "url": "https://github.com/igrr" + "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", + "name": "slouken", + "url": "https://github.com/slouken" }, { - "avatar": "https://avatars.githubusercontent.com/u/205573?s=40&v=4", - "name": "projectgus", - "url": "https://github.com/projectgus" + "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", + "name": "icculus", + "url": "https://github.com/icculus" }, { - "avatar": "https://avatars.githubusercontent.com/u/21117421?s=40&v=4", - "name": "jack0c", - "url": "https://github.com/jack0c" + "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", + "name": "sezero", + "url": "https://github.com/sezero" }, { - "avatar": "https://avatars.githubusercontent.com/u/8869469?s=40&v=4", - "name": "suda-morris", - "url": "https://github.com/suda-morris" + "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", + "name": "1bsyl", + "url": "https://github.com/1bsyl" }, { - "avatar": "https://avatars.githubusercontent.com/u/902446?s=40&v=4", - "name": "mahavirj", - "url": "https://github.com/mahavirj" + "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", + "name": "madebr", + "url": "https://github.com/madebr" } ] }, { - "title": "pbatard/rufus", - "url": "https://github.com/pbatard/rufus", - "description": "The Reliable USB Formatting Utility", + "title": "betaflight/betaflight", + "url": "https://github.com/betaflight/betaflight", + "description": "Open Source Flight Controller Firmware", "language": "C", "languageColor": "#555555", - "stars": "29,397", - "forks": "2,606", - "addStars": "11", + "stars": "8,649", + "forks": "3,051", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1206968?s=40&v=4", - "name": "pbatard", - "url": "https://github.com/pbatard" + "avatar": "https://avatars.githubusercontent.com/u/4742747?s=40&v=4", + "name": "mikeller", + "url": "https://github.com/mikeller" }, { - "avatar": "https://avatars.githubusercontent.com/u/1262554?s=40&v=4", - "name": "bovirus", - "url": "https://github.com/bovirus" + "avatar": "https://avatars.githubusercontent.com/u/57075?s=40&v=4", + "name": "hydra", + "url": "https://github.com/hydra" }, { - "avatar": "https://avatars.githubusercontent.com/u/2923889?s=40&v=4", - "name": "ThiloL", - "url": "https://github.com/ThiloL" + "avatar": "https://avatars.githubusercontent.com/u/194586?s=40&v=4", + "name": "martinbudden", + "url": "https://github.com/martinbudden" }, { - "avatar": "https://avatars.githubusercontent.com/u/5827053?s=40&v=4", - "name": "MehmetaliKuran", - "url": "https://github.com/MehmetaliKuran" + "avatar": "https://avatars.githubusercontent.com/u/10757508?s=40&v=4", + "name": "borisbstyle", + "url": "https://github.com/borisbstyle" }, { - "avatar": "https://avatars.githubusercontent.com/u/5789283?s=40&v=4", - "name": "Sopor", - "url": "https://github.com/Sopor" + "avatar": "https://avatars.githubusercontent.com/u/6168871?s=40&v=4", + "name": "blckmn", + "url": "https://github.com/blckmn" } ] }, { - "title": "EdgeTX/edgetx", - "url": "https://github.com/EdgeTX/edgetx", - "description": "EdgeTX is the cutting edge open source firmware for your R/C radio", + "title": "Ysurac/openmptcprouter", + "url": "https://github.com/Ysurac/openmptcprouter", + "description": "OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt", "language": "C", "languageColor": "#555555", - "stars": "1,629", - "forks": "343", - "addStars": "3", + "stars": "1,910", + "forks": "273", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5603504?s=40&v=4", - "name": "bsongis", - "url": "https://github.com/bsongis" + "avatar": "https://avatars.githubusercontent.com/u/6342954?s=40&v=4", + "name": "Ysurac", + "url": "https://github.com/Ysurac" }, { - "avatar": "https://avatars.githubusercontent.com/u/1050031?s=40&v=4", - "name": "raphaelcoeffic", - "url": "https://github.com/raphaelcoeffic" + "avatar": "https://avatars.githubusercontent.com/u/19888555?s=40&v=4", + "name": "WelterRocks", + "url": "https://github.com/WelterRocks" }, { - "avatar": "https://avatars.githubusercontent.com/u/5167938?s=40&v=4", - "name": "3djc", - "url": "https://github.com/3djc" + "avatar": "https://avatars.githubusercontent.com/u/25760697?s=40&v=4", + "name": "user747", + "url": "https://github.com/user747" }, { - "avatar": "https://avatars.githubusercontent.com/u/6065069?s=40&v=4", - "name": "kilrah", - "url": "https://github.com/kilrah" + "avatar": "https://avatars.githubusercontent.com/u/221256?s=40&v=4", + "name": "asmodehn", + "url": "https://github.com/asmodehn" }, { - "avatar": "https://avatars.githubusercontent.com/u/5950438?s=40&v=4", - "name": "projectkk2glider", - "url": "https://github.com/projectkk2glider" + "avatar": "https://avatars.githubusercontent.com/u/873469?s=40&v=4", + "name": "anaelorlinski", + "url": "https://github.com/anaelorlinski" } ] }, { - "title": "ValdikSS/GoodbyeDPI", - "url": "https://github.com/ValdikSS/GoodbyeDPI", - "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", + "title": "greatscottgadgets/hackrf", + "url": "https://github.com/greatscottgadgets/hackrf", + "description": "low cost software radio platform", "language": "C", "languageColor": "#555555", - "stars": "25,587", - "forks": "1,873", - "addStars": "22", + "stars": "6,629", + "forks": "1,537", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", - "name": "ValdikSS", - "url": "https://github.com/ValdikSS" + "avatar": "https://avatars.githubusercontent.com/u/1195107?s=40&v=4", + "name": "mossmann", + "url": "https://github.com/mossmann" }, { - "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", - "name": "EgorWeders", - "url": "https://github.com/EgorWeders" + "avatar": "https://avatars.githubusercontent.com/u/778248?s=40&v=4", + "name": "jboone", + "url": "https://github.com/jboone" }, { - "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", - "name": "KOLANICH", - "url": "https://github.com/KOLANICH" + "avatar": "https://avatars.githubusercontent.com/u/91747?s=40&v=4", + "name": "dominicgs", + "url": "https://github.com/dominicgs" }, { - "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", - "name": "pash7ka", - "url": "https://github.com/pash7ka" + "avatar": "https://avatars.githubusercontent.com/u/673823?s=40&v=4", + "name": "martinling", + "url": "https://github.com/martinling" }, { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" + "avatar": "https://avatars.githubusercontent.com/u/432709?s=40&v=4", + "name": "bvernoux", + "url": "https://github.com/bvernoux" } ] }, { - "title": "nothings/stb", - "url": "https://github.com/nothings/stb", - "description": "stb single-file public domain libraries for C/C++", + "title": "erincatto/box2d", + "url": "https://github.com/erincatto/box2d", + "description": "Box2D is a 2D physics engine for games", "language": "C", "languageColor": "#555555", - "stars": "27,037", - "forks": "7,721", - "addStars": "12", + "stars": "8,347", + "forks": "1,548", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7525026?s=40&v=4", - "name": "nothings", - "url": "https://github.com/nothings" + "avatar": "https://avatars.githubusercontent.com/u/7284063?s=40&v=4", + "name": "erincatto", + "url": "https://github.com/erincatto" }, { - "avatar": "https://avatars.githubusercontent.com/u/1077496?s=40&v=4", - "name": "rygorous", - "url": "https://github.com/rygorous" + "avatar": "https://avatars.githubusercontent.com/u/427410?s=40&v=4", + "name": "wub", + "url": "https://github.com/wub" }, { - "avatar": "https://avatars.githubusercontent.com/u/815310?s=40&v=4", - "name": "BSVino", - "url": "https://github.com/BSVino" + "avatar": "https://avatars.githubusercontent.com/u/1216696?s=40&v=4", + "name": "flyover", + "url": "https://github.com/flyover" }, { - "avatar": "https://avatars.githubusercontent.com/u/8225057?s=40&v=4", - "name": "ocornut", - "url": "https://github.com/ocornut" + "avatar": "https://avatars.githubusercontent.com/u/1635228?s=40&v=4", + "name": "sbeca", + "url": "https://github.com/sbeca" }, { - "avatar": "https://avatars.githubusercontent.com/u/7214365?s=40&v=4", - "name": "rwhitworth", - "url": "https://github.com/rwhitworth" + "avatar": "https://avatars.githubusercontent.com/u/1213082?s=40&v=4", + "name": "zammitjames", + "url": "https://github.com/zammitjames" } ] }, { - "title": "swaywm/sway", - "url": "https://github.com/swaywm/sway", - "description": "i3-compatible Wayland compositor", + "title": "ventoy/Ventoy", + "url": "https://github.com/ventoy/Ventoy", + "description": "A new bootable USB solution.", "language": "C", "languageColor": "#555555", - "stars": "14,725", - "forks": "1,111", - "addStars": "2", + "stars": "63,108", + "forks": "4,104", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1310872?s=40&v=4", - "name": "ddevault", - "url": "https://github.com/ddevault" + "avatar": "https://avatars.githubusercontent.com/u/59477474?s=40&v=4", + "name": "ventoy", + "url": "https://github.com/ventoy" }, { - "avatar": "https://avatars.githubusercontent.com/u/506932?s=40&v=4", - "name": "emersion", - "url": "https://github.com/emersion" + "avatar": "https://avatars.githubusercontent.com/u/67432394?s=40&v=4", + "name": "wiz64", + "url": "https://github.com/wiz64" }, { - "avatar": "https://avatars.githubusercontent.com/u/2436833?s=40&v=4", - "name": "RyanDwyer", - "url": "https://github.com/RyanDwyer" + "avatar": "https://avatars.githubusercontent.com/u/53147200?s=40&v=4", + "name": "VenusGirl", + "url": "https://github.com/VenusGirl" }, { - "avatar": "https://avatars.githubusercontent.com/u/2997061?s=40&v=4", - "name": "RedSoxFan", - "url": "https://github.com/RedSoxFan" + "avatar": "https://avatars.githubusercontent.com/u/54566818?s=40&v=4", + "name": "crasadure", + "url": "https://github.com/crasadure" }, { - "avatar": "https://avatars.githubusercontent.com/u/128566?s=40&v=4", - "name": "mikkeloscar", - "url": "https://github.com/mikkeloscar" + "avatar": "https://avatars.githubusercontent.com/u/31486344?s=40&v=4", + "name": "AnomSanjaya", + "url": "https://github.com/AnomSanjaya" } ] }, { - "title": "libsdl-org/SDL", - "url": "https://github.com/libsdl-org/SDL", - "description": "Simple Directmedia Layer", + "title": "openwrt/openwrt", + "url": "https://github.com/openwrt/openwrt", + "description": "This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git.", "language": "C", "languageColor": "#555555", - "stars": "10,169", - "forks": "1,857", - "addStars": "15", + "stars": "20,463", + "forks": "10,545", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", - "name": "slouken", - "url": "https://github.com/slouken" + "avatar": "https://avatars.githubusercontent.com/u/19352056?s=40&v=4", + "name": "juhosg", + "url": "https://github.com/juhosg" }, { - "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", - "name": "icculus", - "url": "https://github.com/icculus" + "avatar": "https://avatars.githubusercontent.com/u/1110044?s=40&v=4", + "name": "ffainelli", + "url": "https://github.com/ffainelli" }, { - "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", - "name": "sezero", - "url": "https://github.com/sezero" + "avatar": "https://avatars.githubusercontent.com/u/2528802?s=40&v=4", + "name": "jow-", + "url": "https://github.com/jow-" }, { - "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", - "name": "1bsyl", - "url": "https://github.com/1bsyl" + "avatar": "https://avatars.githubusercontent.com/u/78494?s=40&v=4", + "name": "hauke", + "url": "https://github.com/hauke" }, { - "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", - "name": "madebr", - "url": "https://github.com/madebr" + "avatar": "https://avatars.githubusercontent.com/u/2529314?s=40&v=4", + "name": "nbd168", + "url": "https://github.com/nbd168" } ] }, { - "title": "bellard/quickjs", - "url": "https://github.com/bellard/quickjs", - "description": "Public repository of the QuickJS Javascript Engine.", + "title": "Klipper3d/klipper", + "url": "https://github.com/Klipper3d/klipper", + "description": "Klipper is a 3d-printer firmware", "language": "C", "languageColor": "#555555", - "stars": "8,539", - "forks": "894", - "addStars": "8", + "stars": "9,566", + "forks": "5,337", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20602538?s=40&v=4", - "name": "chqrlie", - "url": "https://github.com/chqrlie" + "avatar": "https://avatars.githubusercontent.com/u/3004890?s=40&v=4", + "name": "KevinOConnor", + "url": "https://github.com/KevinOConnor" }, { - "avatar": "https://avatars.githubusercontent.com/u/6490144?s=40&v=4", - "name": "bellard", - "url": "https://github.com/bellard" + "avatar": "https://avatars.githubusercontent.com/u/9563098?s=40&v=4", + "name": "Arksine", + "url": "https://github.com/Arksine" }, { - "avatar": "https://avatars.githubusercontent.com/u/275871?s=40&v=4", - "name": "bnoordhuis", - "url": "https://github.com/bnoordhuis" + "avatar": "https://avatars.githubusercontent.com/u/53491797?s=40&v=4", + "name": "dmbutyugin", + "url": "https://github.com/dmbutyugin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1174718?s=40&v=4", - "name": "kasperisager", - "url": "https://github.com/kasperisager" + "avatar": "https://avatars.githubusercontent.com/u/1433580?s=40&v=4", + "name": "mcmatrix", + "url": "https://github.com/mcmatrix" }, { - "avatar": "https://avatars.githubusercontent.com/u/1129322?s=40&v=4", - "name": "pinotree", - "url": "https://github.com/pinotree" + "avatar": "https://avatars.githubusercontent.com/u/4352664?s=40&v=4", + "name": "FHeilmann", + "url": "https://github.com/FHeilmann" } ] }, { - "title": "redis/redis", - "url": "https://github.com/redis/redis", - "description": "Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps.", + "title": "acidanthera/OpenCorePkg", + "url": "https://github.com/acidanthera/OpenCorePkg", + "description": "OpenCore bootloader", "language": "C", "languageColor": "#555555", - "stars": "67,153", - "forks": "23,813", - "addStars": "15", + "stars": "13,470", + "forks": "2,117", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/65632?s=40&v=4", - "name": "antirez", - "url": "https://github.com/antirez" + "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", + "name": "vit9696", + "url": "https://github.com/vit9696" }, { - "avatar": "https://avatars.githubusercontent.com/u/7045099?s=40&v=4", - "name": "oranagra", - "url": "https://github.com/oranagra" + "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", + "name": "mhaeuser", + "url": "https://github.com/mhaeuser" }, { - "avatar": "https://avatars.githubusercontent.com/u/9845?s=40&v=4", - "name": "pietern", - "url": "https://github.com/pietern" + "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", + "name": "Andrey1970AppleLife", + "url": "https://github.com/Andrey1970AppleLife" }, { - "avatar": "https://avatars.githubusercontent.com/u/22811481?s=40&v=4", - "name": "enjoy-binbin", - "url": "https://github.com/enjoy-binbin" + "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", + "name": "mikebeaton", + "url": "https://github.com/mikebeaton" }, { - "avatar": "https://avatars.githubusercontent.com/u/1481195?s=40&v=4", - "name": "yossigo", - "url": "https://github.com/yossigo" + "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", + "name": "PMheart", + "url": "https://github.com/PMheart" } ] }, { - "title": "nginx/nginx", - "url": "https://github.com/nginx/nginx", - "description": "The official NGINX Open Source repository.", + "title": "OnionUI/Onion", + "url": "https://github.com/OnionUI/Onion", + "description": "OS overhaul for Miyoo Mini and Mini+", "language": "C", "languageColor": "#555555", - "stars": "25,277", - "forks": "7,001", - "addStars": "9", + "stars": "3,466", + "forks": "218", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/201187?s=40&v=4", - "name": "mdounin", - "url": "https://github.com/mdounin" + "avatar": "https://avatars.githubusercontent.com/u/44569252?s=40&v=4", + "name": "Aemiii91", + "url": "https://github.com/Aemiii91" }, { - "avatar": "https://avatars.githubusercontent.com/u/11629712?s=40&v=4", - "name": "vl-homutov", - "url": "https://github.com/vl-homutov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1236368?s=40&v=4", - "name": "arut", - "url": "https://github.com/arut" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2750827?s=40&v=4", - "name": "VBart", - "url": "https://github.com/VBart" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/190297?s=40&v=4", - "name": "PiotrSikora", - "url": "https://github.com/PiotrSikora" - } - ] - }, - { - "title": "sandboxie-plus/Sandboxie", - "url": "https://github.com/sandboxie-plus/Sandboxie", - "description": "Sandboxie Plus & Classic", - "language": "C", - "languageColor": "#555555", - "stars": "13,931", - "forks": "1,547", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3890945?s=40&v=4", - "name": "DavidXanatos", - "url": "https://github.com/DavidXanatos" + "avatar": "https://avatars.githubusercontent.com/u/16885275?s=40&v=4", + "name": "Sichroteph", + "url": "https://github.com/Sichroteph" }, { - "avatar": "https://avatars.githubusercontent.com/u/12372772?s=40&v=4", - "name": "isaak654", - "url": "https://github.com/isaak654" + "avatar": "https://avatars.githubusercontent.com/u/7110113?s=40&v=4", + "name": "schmurtzm", + "url": "https://github.com/schmurtzm" }, { - "avatar": "https://avatars.githubusercontent.com/u/92030377?s=40&v=4", - "name": "love-code-yeyixiao", - "url": "https://github.com/love-code-yeyixiao" + "avatar": "https://avatars.githubusercontent.com/u/47260768?s=40&v=4", + "name": "XK9274", + "url": "https://github.com/XK9274" }, { - "avatar": "https://avatars.githubusercontent.com/u/6871698?s=40&v=4", - "name": "offhub", - "url": "https://github.com/offhub" + "avatar": "https://avatars.githubusercontent.com/u/85693713?s=40&v=4", + "name": "jimgraygit", + "url": "https://github.com/jimgraygit" } ] } diff --git a/data/monthly/c#.xml b/data/monthly/c#.xml index 97e8e7fdc02b..aee4605fc175 100644 --- a/data/monthly/c#.xml +++ b/data/monthly/c#.xml @@ -3,288 +3,293 @@ GitHub C# Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of C# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - xmrig/xmrig - https://github.com/xmrig/xmrig - RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark - https://github.com/xmrig/xmrig + capstone-engine/capstone + https://github.com/capstone-engine/capstone + Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86. + https://github.com/capstone-engine/capstone C #555555 - 8,793 - 3,462 - 5 + 7,623 + 1,556 + 4 - https://avatars.githubusercontent.com/u/27528955?s=40&v=4 - xmrig - https://github.com/xmrig + https://avatars.githubusercontent.com/u/5965536?s=40&v=4 + aquynh + https://github.com/aquynh - https://avatars.githubusercontent.com/u/15806605?s=40&v=4 - SChernykh - https://github.com/SChernykh + https://avatars.githubusercontent.com/u/34511237?s=40&v=4 + kabeor + https://github.com/kabeor - https://avatars.githubusercontent.com/u/2391234?s=40&v=4 - Spudz76 - https://github.com/Spudz76 + https://avatars.githubusercontent.com/u/24983369?s=40&v=4 + imbillow + https://github.com/imbillow - https://avatars.githubusercontent.com/u/8947059?s=40&v=4 - Foudge - https://github.com/Foudge + https://avatars.githubusercontent.com/u/45763064?s=40&v=4 + Rot127 + https://github.com/Rot127 - https://avatars.githubusercontent.com/u/490176?s=40&v=4 - pdxwebdev - https://github.com/pdxwebdev + https://avatars.githubusercontent.com/u/35799796?s=40&v=4 + catenacyber + https://github.com/catenacyber - RfidResearchGroup/proxmark3 - https://github.com/RfidResearchGroup/proxmark3 - Iceman Fork - Proxmark3 - https://github.com/RfidResearchGroup/proxmark3 + bol-van/zapret + https://github.com/bol-van/zapret + DPI bypass multi platform + https://github.com/bol-van/zapret C #555555 - 4,056 - 1,066 - 7 + 8,501 + 639 + 25 - https://avatars.githubusercontent.com/u/8577004?s=40&v=4 - iceman1001 - https://github.com/iceman1001 + https://avatars.githubusercontent.com/u/9076680?s=40&v=4 + bol-van + https://github.com/bol-van - https://avatars.githubusercontent.com/u/60773?s=40&v=4 - doegox - https://github.com/doegox + https://avatars.githubusercontent.com/u/29492157?s=40&v=4 + maximilionus + https://github.com/maximilionus - https://avatars.githubusercontent.com/u/807634?s=40&v=4 - merlokk - https://github.com/merlokk + https://avatars.githubusercontent.com/u/21102535?s=40&v=4 + anphsw + https://github.com/anphsw - https://avatars.githubusercontent.com/u/61853685?s=40&v=4 - tharexde - https://github.com/tharexde + https://avatars.githubusercontent.com/u/24498484?s=40&v=4 + SashaXser + https://github.com/SashaXser - https://avatars.githubusercontent.com/u/51802811?s=40&v=4 - mwalker33 - https://github.com/mwalker33 + https://avatars.githubusercontent.com/u/4177942?s=40&v=4 + ataniazov + https://github.com/ataniazov - git/git - https://github.com/git/git - Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements. - https://github.com/git/git + ValdikSS/GoodbyeDPI + https://github.com/ValdikSS/GoodbyeDPI + GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) + https://github.com/ValdikSS/GoodbyeDPI C #555555 - 52,653 - 25,667 - 13 + 25,589 + 1,873 + 22 - https://avatars.githubusercontent.com/u/54884?s=40&v=4 - gitster - https://github.com/gitster + https://avatars.githubusercontent.com/u/3054729?s=40&v=4 + ValdikSS + https://github.com/ValdikSS - https://avatars.githubusercontent.com/u/45925?s=40&v=4 - peff - https://github.com/peff + https://avatars.githubusercontent.com/u/157705588?s=40&v=4 + EgorWeders + https://github.com/EgorWeders - https://avatars.githubusercontent.com/u/127790?s=40&v=4 - dscho - https://github.com/dscho + https://avatars.githubusercontent.com/u/240344?s=40&v=4 + KOLANICH + https://github.com/KOLANICH - https://avatars.githubusercontent.com/u/45301?s=40&v=4 - avar - https://github.com/avar + https://avatars.githubusercontent.com/u/2335215?s=40&v=4 + pash7ka + https://github.com/pash7ka - https://avatars.githubusercontent.com/u/720?s=40&v=4 - pclouds - https://github.com/pclouds + https://avatars.githubusercontent.com/u/22633385?s=40&v=4 + eltociear + https://github.com/eltociear - mpv-player/mpv - https://github.com/mpv-player/mpv - 🎥 Command line video player - https://github.com/mpv-player/mpv + xmrig/xmrig + https://github.com/xmrig/xmrig + RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark + https://github.com/xmrig/xmrig C #555555 - 28,703 - 2,927 - 17 + 8,801 + 3,464 + 50 - https://avatars.githubusercontent.com/u/1126053?s=40&v=4 - kasper93 - https://github.com/kasper93 + https://avatars.githubusercontent.com/u/27528955?s=40&v=4 + xmrig + https://github.com/xmrig - https://avatars.githubusercontent.com/u/5105515?s=40&v=4 - Dudemanguy - https://github.com/Dudemanguy + https://avatars.githubusercontent.com/u/15806605?s=40&v=4 + SChernykh + https://github.com/SChernykh - https://avatars.githubusercontent.com/u/1149047?s=40&v=4 - haasn - https://github.com/haasn + https://avatars.githubusercontent.com/u/2391234?s=40&v=4 + Spudz76 + https://github.com/Spudz76 - https://avatars.githubusercontent.com/u/24681?s=40&v=4 - pigoz - https://github.com/pigoz + https://avatars.githubusercontent.com/u/8947059?s=40&v=4 + Foudge + https://github.com/Foudge - https://avatars.githubusercontent.com/u/680386?s=40&v=4 - Akemi - https://github.com/Akemi + https://avatars.githubusercontent.com/u/490176?s=40&v=4 + pdxwebdev + https://github.com/pdxwebdev - acidanthera/OpenCorePkg - https://github.com/acidanthera/OpenCorePkg - OpenCore bootloader - https://github.com/acidanthera/OpenCorePkg + brunodev85/winlator + https://github.com/brunodev85/winlator + Android application for running Windows applications with Wine and Box86/Box64 + https://github.com/brunodev85/winlator C #555555 - 13,470 - 2,116 - 7 + 9,236 + 457 + 21 - https://avatars.githubusercontent.com/u/4348897?s=40&v=4 - vit9696 - https://github.com/vit9696 + https://avatars.githubusercontent.com/u/11407071?s=40&v=4 + brunodev85 + https://github.com/brunodev85 - https://avatars.githubusercontent.com/u/8659494?s=40&v=4 - mhaeuser - https://github.com/mhaeuser + https://avatars.githubusercontent.com/u/88626244?s=40&v=4 + kazimaruf991 + https://github.com/kazimaruf991 - https://avatars.githubusercontent.com/u/17758753?s=40&v=4 - Andrey1970AppleLife - https://github.com/Andrey1970AppleLife + https://avatars.githubusercontent.com/u/100040786?s=40&v=4 + Kashinathpat + https://github.com/Kashinathpat - https://avatars.githubusercontent.com/u/11946605?s=40&v=4 - mikebeaton - https://github.com/mikebeaton + https://avatars.githubusercontent.com/u/518438?s=40&v=4 + hackcasual + https://github.com/hackcasual - https://avatars.githubusercontent.com/u/17109513?s=40&v=4 - PMheart - https://github.com/PMheart + https://avatars.githubusercontent.com/u/6472545?s=40&v=4 + lvonasek + https://github.com/lvonasek - Genymobile/scrcpy - https://github.com/Genymobile/scrcpy - Display and control your Android device - https://github.com/Genymobile/scrcpy + glfw/glfw + https://github.com/glfw/glfw + A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input + https://github.com/glfw/glfw C #555555 - 112,588 - 10,767 - 48 + 13,128 + 5,271 + 5 - https://avatars.githubusercontent.com/u/543275?s=40&v=4 - rom1v - https://github.com/rom1v + https://avatars.githubusercontent.com/u/133714?s=40&v=4 + elmindreda + https://github.com/elmindreda - https://avatars.githubusercontent.com/u/1330321?s=40&v=4 - yume-chan - https://github.com/yume-chan + https://avatars.githubusercontent.com/u/7755816?s=40&v=4 + linkmauve + https://github.com/linkmauve - https://avatars.githubusercontent.com/u/5494432?s=40&v=4 - npes87184 - https://github.com/npes87184 + https://avatars.githubusercontent.com/u/872946?s=40&v=4 + dougbinks + https://github.com/dougbinks - https://avatars.githubusercontent.com/u/639467?s=40&v=4 - brunoais - https://github.com/brunoais + https://avatars.githubusercontent.com/u/84891?s=40&v=4 + adrianbroher + https://github.com/adrianbroher - https://avatars.githubusercontent.com/u/2694559?s=40&v=4 - rootkea - https://github.com/rootkea + https://avatars.githubusercontent.com/u/1075690?s=40&v=4 + siavashserver + https://github.com/siavashserver - bol-van/zapret - https://github.com/bol-van/zapret - DPI bypass multi platform - https://github.com/bol-van/zapret + HandBrake/HandBrake + https://github.com/HandBrake/HandBrake + HandBrake's main development repository + https://github.com/HandBrake/HandBrake C #555555 - 8,491 - 639 - 25 + 17,939 + 1,345 + 12 - https://avatars.githubusercontent.com/u/9076680?s=40&v=4 - bol-van - https://github.com/bol-van + https://avatars.githubusercontent.com/u/628593?s=40&v=4 + sr55 + https://github.com/sr55 - https://avatars.githubusercontent.com/u/29492157?s=40&v=4 - maximilionus - https://github.com/maximilionus + https://avatars.githubusercontent.com/u/709720?s=40&v=4 + jstebbins + https://github.com/jstebbins - https://avatars.githubusercontent.com/u/21102535?s=40&v=4 - anphsw - https://github.com/anphsw + https://avatars.githubusercontent.com/u/4305519?s=40&v=4 + galad87 + https://github.com/galad87 - https://avatars.githubusercontent.com/u/24498484?s=40&v=4 - SashaXser - https://github.com/SashaXser + https://avatars.githubusercontent.com/u/70239?s=40&v=4 + bradleysepos + https://github.com/bradleysepos - https://avatars.githubusercontent.com/u/4177942?s=40&v=4 - ataniazov - https://github.com/ataniazov + https://avatars.githubusercontent.com/u/374913?s=40&v=4 + jbrjake + https://github.com/jbrjake - Immediate-Mode-UI/Nuklear - https://github.com/Immediate-Mode-UI/Nuklear - A single-header ANSI C immediate mode cross-platform GUI library - https://github.com/Immediate-Mode-UI/Nuklear + raysan5/raygui + https://github.com/raysan5/raygui + A simple and easy-to-use immediate-mode gui library + https://github.com/raysan5/raygui C #555555 - 9,335 - 571 - 7 + 3,597 + 304 + 11 - https://avatars.githubusercontent.com/u/8057201?s=40&v=4 - vurtun - https://github.com/vurtun + https://avatars.githubusercontent.com/u/5766837?s=40&v=4 + raysan5 + https://github.com/raysan5 + + + https://avatars.githubusercontent.com/u/9116727?s=40&v=4 + anidealgift + https://github.com/anidealgift https://avatars.githubusercontent.com/u/25086?s=40&v=4 @@ -292,584 +297,508 @@ https://github.com/RobLoach - https://avatars.githubusercontent.com/u/2451044?s=40&v=4 - dumblob - https://github.com/dumblob + https://avatars.githubusercontent.com/u/27865535?s=40&v=4 + Demizdor + https://github.com/Demizdor - https://avatars.githubusercontent.com/u/176167?s=40&v=4 - riri - https://github.com/riri - - - https://avatars.githubusercontent.com/u/4019495?s=40&v=4 - DeXP - https://github.com/DeXP + https://avatars.githubusercontent.com/u/87268284?s=40&v=4 + hanaxar + https://github.com/hanaxar - libretro/RetroArch - https://github.com/libretro/RetroArch - Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3. - https://github.com/libretro/RetroArch + qmk/qmk_firmware + https://github.com/qmk/qmk_firmware + Open-source keyboard firmware for Atmel AVR and Arm USB families + https://github.com/qmk/qmk_firmware C #555555 - 10,373 - 1,838 - 10 - - - https://avatars.githubusercontent.com/u/1075274?s=40&v=4 - inactive123 - https://github.com/inactive123 - - - https://avatars.githubusercontent.com/u/163683?s=40&v=4 - Themaister - https://github.com/Themaister - - - https://avatars.githubusercontent.com/u/105389611?s=40&v=4 - LibretroAdmin - https://github.com/LibretroAdmin - - - https://avatars.githubusercontent.com/u/1721040?s=40&v=4 - andres-asm - https://github.com/andres-asm - - - https://avatars.githubusercontent.com/u/442722?s=40&v=4 - kivutar - https://github.com/kivutar - - - - - floooh/sokol - https://github.com/floooh/sokol - minimal cross-platform standalone C headers - https://github.com/floooh/sokol - C - #555555 - 7,109 - 500 - 8 + 18,343 + 39,502 + 7 - https://avatars.githubusercontent.com/u/1699414?s=40&v=4 - floooh - https://github.com/floooh + https://avatars.githubusercontent.com/u/4781841?s=40&v=4 + fauxpark + https://github.com/fauxpark - https://avatars.githubusercontent.com/u/178582?s=40&v=4 - waywardmonkeys - https://github.com/waywardmonkeys + https://avatars.githubusercontent.com/u/26984769?s=40&v=4 + qmk-bot + https://github.com/qmk-bot - https://avatars.githubusercontent.com/u/66876057?s=40&v=4 - jakubtomsu - https://github.com/jakubtomsu + https://avatars.githubusercontent.com/u/2584963?s=40&v=4 + drashna + https://github.com/drashna - https://avatars.githubusercontent.com/u/1472250?s=40&v=4 - danielchasehooper - https://github.com/danielchasehooper + https://avatars.githubusercontent.com/u/18669334?s=40&v=4 + noroadsleft + https://github.com/noroadsleft - https://avatars.githubusercontent.com/u/322030?s=40&v=4 - edubart - https://github.com/edubart + https://avatars.githubusercontent.com/u/141431?s=40&v=4 + jackhumbert + https://github.com/jackhumbert - aircrack-ng/aircrack-ng - https://github.com/aircrack-ng/aircrack-ng - WiFi security auditing tools suite - https://github.com/aircrack-ng/aircrack-ng + curl/curl + https://github.com/curl/curl + A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features + https://github.com/curl/curl C #555555 - 5,500 - 953 - 1 + 36,015 + 6,446 + 13 - https://avatars.githubusercontent.com/u/3520734?s=40&v=4 - Mister-X- - https://github.com/Mister-X- + https://avatars.githubusercontent.com/u/177011?s=40&v=4 + bagder + https://github.com/bagder - https://avatars.githubusercontent.com/u/73767?s=40&v=4 - jbenden - https://github.com/jbenden + https://avatars.githubusercontent.com/u/242954?s=40&v=4 + yangtse + https://github.com/yangtse - https://avatars.githubusercontent.com/u/59890178?s=40&v=4 - gemesa - https://github.com/gemesa + https://avatars.githubusercontent.com/u/1523871?s=40&v=4 + captain-caveman2k + https://github.com/captain-caveman2k - https://avatars.githubusercontent.com/u/1670905?s=40&v=4 - ZeroChaos- - https://github.com/ZeroChaos- + https://avatars.githubusercontent.com/u/228259?s=40&v=4 + dfandrich + https://github.com/dfandrich - https://avatars.githubusercontent.com/u/5159728?s=40&v=4 - jmberg - https://github.com/jmberg + https://avatars.githubusercontent.com/u/1446897?s=40&v=4 + vszakats + https://github.com/vszakats - apache/cloudberry - https://github.com/apache/cloudberry - One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database. - https://github.com/apache/cloudberry + flipperdevices/flipperzero-firmware + https://github.com/flipperdevices/flipperzero-firmware + Flipper Zero firmware source code + https://github.com/flipperdevices/flipperzero-firmware C #555555 - 463 - 107 + 12,961 + 2,743 8 - https://avatars.githubusercontent.com/u/17311022?s=40&v=4 - avamingli - https://github.com/avamingli + https://avatars.githubusercontent.com/u/1633132?s=40&v=4 + skotopes + https://github.com/skotopes - https://avatars.githubusercontent.com/u/37101401?s=40&v=4 - gfphoenix78 - https://github.com/gfphoenix78 + https://avatars.githubusercontent.com/u/277532?s=40&v=4 + hedger + https://github.com/hedger - https://avatars.githubusercontent.com/u/53178068?s=40&v=4 - wenchaozhang-123 - https://github.com/wenchaozhang-123 + https://avatars.githubusercontent.com/u/4784169?s=40&v=4 + DrZlo13 + https://github.com/DrZlo13 - https://avatars.githubusercontent.com/u/30709931?s=40&v=4 - SmartKeyerror - https://github.com/SmartKeyerror + https://avatars.githubusercontent.com/u/44112859?s=40&v=4 + gornekich + https://github.com/gornekich - https://avatars.githubusercontent.com/u/1284465?s=40&v=4 - tuhaihe - https://github.com/tuhaihe + https://avatars.githubusercontent.com/u/12886640?s=40&v=4 + glitchcore + https://github.com/glitchcore - espressif/esp-idf - https://github.com/espressif/esp-idf - Espressif IoT Development Framework. Official development framework for Espressif SoCs. - https://github.com/espressif/esp-idf + libsdl-org/SDL + https://github.com/libsdl-org/SDL + Simple Directmedia Layer + https://github.com/libsdl-org/SDL C #555555 - 13,827 - 7,318 - 4 + 10,171 + 1,858 + 15 - https://avatars.githubusercontent.com/u/4349050?s=40&v=4 - igrr - https://github.com/igrr + https://avatars.githubusercontent.com/u/2100061?s=40&v=4 + slouken + https://github.com/slouken - https://avatars.githubusercontent.com/u/205573?s=40&v=4 - projectgus - https://github.com/projectgus + https://avatars.githubusercontent.com/u/673562?s=40&v=4 + icculus + https://github.com/icculus - https://avatars.githubusercontent.com/u/21117421?s=40&v=4 - jack0c - https://github.com/jack0c + https://avatars.githubusercontent.com/u/4222725?s=40&v=4 + sezero + https://github.com/sezero - https://avatars.githubusercontent.com/u/8869469?s=40&v=4 - suda-morris - https://github.com/suda-morris + https://avatars.githubusercontent.com/u/818728?s=40&v=4 + 1bsyl + https://github.com/1bsyl - https://avatars.githubusercontent.com/u/902446?s=40&v=4 - mahavirj - https://github.com/mahavirj + https://avatars.githubusercontent.com/u/4138939?s=40&v=4 + madebr + https://github.com/madebr - pbatard/rufus - https://github.com/pbatard/rufus - The Reliable USB Formatting Utility - https://github.com/pbatard/rufus + betaflight/betaflight + https://github.com/betaflight/betaflight + Open Source Flight Controller Firmware + https://github.com/betaflight/betaflight C #555555 - 29,397 - 2,606 - 11 + 8,649 + 3,051 + 3 - https://avatars.githubusercontent.com/u/1206968?s=40&v=4 - pbatard - https://github.com/pbatard + https://avatars.githubusercontent.com/u/4742747?s=40&v=4 + mikeller + https://github.com/mikeller - https://avatars.githubusercontent.com/u/1262554?s=40&v=4 - bovirus - https://github.com/bovirus + https://avatars.githubusercontent.com/u/57075?s=40&v=4 + hydra + https://github.com/hydra - https://avatars.githubusercontent.com/u/2923889?s=40&v=4 - ThiloL - https://github.com/ThiloL + https://avatars.githubusercontent.com/u/194586?s=40&v=4 + martinbudden + https://github.com/martinbudden - https://avatars.githubusercontent.com/u/5827053?s=40&v=4 - MehmetaliKuran - https://github.com/MehmetaliKuran + https://avatars.githubusercontent.com/u/10757508?s=40&v=4 + borisbstyle + https://github.com/borisbstyle - https://avatars.githubusercontent.com/u/5789283?s=40&v=4 - Sopor - https://github.com/Sopor + https://avatars.githubusercontent.com/u/6168871?s=40&v=4 + blckmn + https://github.com/blckmn - EdgeTX/edgetx - https://github.com/EdgeTX/edgetx - EdgeTX is the cutting edge open source firmware for your R/C radio - https://github.com/EdgeTX/edgetx + Ysurac/openmptcprouter + https://github.com/Ysurac/openmptcprouter + OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt + https://github.com/Ysurac/openmptcprouter C #555555 - 1,629 - 343 - 3 + 1,910 + 273 + 9 - https://avatars.githubusercontent.com/u/5603504?s=40&v=4 - bsongis - https://github.com/bsongis + https://avatars.githubusercontent.com/u/6342954?s=40&v=4 + Ysurac + https://github.com/Ysurac - https://avatars.githubusercontent.com/u/1050031?s=40&v=4 - raphaelcoeffic - https://github.com/raphaelcoeffic + https://avatars.githubusercontent.com/u/19888555?s=40&v=4 + WelterRocks + https://github.com/WelterRocks - https://avatars.githubusercontent.com/u/5167938?s=40&v=4 - 3djc - https://github.com/3djc + https://avatars.githubusercontent.com/u/25760697?s=40&v=4 + user747 + https://github.com/user747 - https://avatars.githubusercontent.com/u/6065069?s=40&v=4 - kilrah - https://github.com/kilrah + https://avatars.githubusercontent.com/u/221256?s=40&v=4 + asmodehn + https://github.com/asmodehn - https://avatars.githubusercontent.com/u/5950438?s=40&v=4 - projectkk2glider - https://github.com/projectkk2glider + https://avatars.githubusercontent.com/u/873469?s=40&v=4 + anaelorlinski + https://github.com/anaelorlinski - ValdikSS/GoodbyeDPI - https://github.com/ValdikSS/GoodbyeDPI - GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) - https://github.com/ValdikSS/GoodbyeDPI + greatscottgadgets/hackrf + https://github.com/greatscottgadgets/hackrf + low cost software radio platform + https://github.com/greatscottgadgets/hackrf C #555555 - 25,587 - 1,873 - 22 + 6,629 + 1,537 + 5 - https://avatars.githubusercontent.com/u/3054729?s=40&v=4 - ValdikSS - https://github.com/ValdikSS + https://avatars.githubusercontent.com/u/1195107?s=40&v=4 + mossmann + https://github.com/mossmann - https://avatars.githubusercontent.com/u/157705588?s=40&v=4 - EgorWeders - https://github.com/EgorWeders + https://avatars.githubusercontent.com/u/778248?s=40&v=4 + jboone + https://github.com/jboone - https://avatars.githubusercontent.com/u/240344?s=40&v=4 - KOLANICH - https://github.com/KOLANICH + https://avatars.githubusercontent.com/u/91747?s=40&v=4 + dominicgs + https://github.com/dominicgs - https://avatars.githubusercontent.com/u/2335215?s=40&v=4 - pash7ka - https://github.com/pash7ka + https://avatars.githubusercontent.com/u/673823?s=40&v=4 + martinling + https://github.com/martinling - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear + https://avatars.githubusercontent.com/u/432709?s=40&v=4 + bvernoux + https://github.com/bvernoux - nothings/stb - https://github.com/nothings/stb - stb single-file public domain libraries for C/C++ - https://github.com/nothings/stb + erincatto/box2d + https://github.com/erincatto/box2d + Box2D is a 2D physics engine for games + https://github.com/erincatto/box2d C #555555 - 27,037 - 7,721 - 12 + 8,347 + 1,548 + 3 - https://avatars.githubusercontent.com/u/7525026?s=40&v=4 - nothings - https://github.com/nothings + https://avatars.githubusercontent.com/u/7284063?s=40&v=4 + erincatto + https://github.com/erincatto - https://avatars.githubusercontent.com/u/1077496?s=40&v=4 - rygorous - https://github.com/rygorous + https://avatars.githubusercontent.com/u/427410?s=40&v=4 + wub + https://github.com/wub - https://avatars.githubusercontent.com/u/815310?s=40&v=4 - BSVino - https://github.com/BSVino + https://avatars.githubusercontent.com/u/1216696?s=40&v=4 + flyover + https://github.com/flyover - https://avatars.githubusercontent.com/u/8225057?s=40&v=4 - ocornut - https://github.com/ocornut + https://avatars.githubusercontent.com/u/1635228?s=40&v=4 + sbeca + https://github.com/sbeca - https://avatars.githubusercontent.com/u/7214365?s=40&v=4 - rwhitworth - https://github.com/rwhitworth + https://avatars.githubusercontent.com/u/1213082?s=40&v=4 + zammitjames + https://github.com/zammitjames - swaywm/sway - https://github.com/swaywm/sway - i3-compatible Wayland compositor - https://github.com/swaywm/sway + ventoy/Ventoy + https://github.com/ventoy/Ventoy + A new bootable USB solution. + https://github.com/ventoy/Ventoy C #555555 - 14,725 - 1,111 - 2 + 63,108 + 4,104 + 19 - https://avatars.githubusercontent.com/u/1310872?s=40&v=4 - ddevault - https://github.com/ddevault + https://avatars.githubusercontent.com/u/59477474?s=40&v=4 + ventoy + https://github.com/ventoy - https://avatars.githubusercontent.com/u/506932?s=40&v=4 - emersion - https://github.com/emersion + https://avatars.githubusercontent.com/u/67432394?s=40&v=4 + wiz64 + https://github.com/wiz64 - https://avatars.githubusercontent.com/u/2436833?s=40&v=4 - RyanDwyer - https://github.com/RyanDwyer + https://avatars.githubusercontent.com/u/53147200?s=40&v=4 + VenusGirl + https://github.com/VenusGirl - https://avatars.githubusercontent.com/u/2997061?s=40&v=4 - RedSoxFan - https://github.com/RedSoxFan + https://avatars.githubusercontent.com/u/54566818?s=40&v=4 + crasadure + https://github.com/crasadure - https://avatars.githubusercontent.com/u/128566?s=40&v=4 - mikkeloscar - https://github.com/mikkeloscar + https://avatars.githubusercontent.com/u/31486344?s=40&v=4 + AnomSanjaya + https://github.com/AnomSanjaya - libsdl-org/SDL - https://github.com/libsdl-org/SDL - Simple Directmedia Layer - https://github.com/libsdl-org/SDL + openwrt/openwrt + https://github.com/openwrt/openwrt + This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git. + https://github.com/openwrt/openwrt C #555555 - 10,169 - 1,857 - 15 + 20,463 + 10,545 + 19 - https://avatars.githubusercontent.com/u/2100061?s=40&v=4 - slouken - https://github.com/slouken + https://avatars.githubusercontent.com/u/19352056?s=40&v=4 + juhosg + https://github.com/juhosg - https://avatars.githubusercontent.com/u/673562?s=40&v=4 - icculus - https://github.com/icculus + https://avatars.githubusercontent.com/u/1110044?s=40&v=4 + ffainelli + https://github.com/ffainelli - https://avatars.githubusercontent.com/u/4222725?s=40&v=4 - sezero - https://github.com/sezero + https://avatars.githubusercontent.com/u/2528802?s=40&v=4 + jow- + https://github.com/jow- - https://avatars.githubusercontent.com/u/818728?s=40&v=4 - 1bsyl - https://github.com/1bsyl + https://avatars.githubusercontent.com/u/78494?s=40&v=4 + hauke + https://github.com/hauke - https://avatars.githubusercontent.com/u/4138939?s=40&v=4 - madebr - https://github.com/madebr + https://avatars.githubusercontent.com/u/2529314?s=40&v=4 + nbd168 + https://github.com/nbd168 - bellard/quickjs - https://github.com/bellard/quickjs - Public repository of the QuickJS Javascript Engine. - https://github.com/bellard/quickjs + Klipper3d/klipper + https://github.com/Klipper3d/klipper + Klipper is a 3d-printer firmware + https://github.com/Klipper3d/klipper C #555555 - 8,539 - 894 - 8 + 9,566 + 5,337 + 3 - https://avatars.githubusercontent.com/u/20602538?s=40&v=4 - chqrlie - https://github.com/chqrlie + https://avatars.githubusercontent.com/u/3004890?s=40&v=4 + KevinOConnor + https://github.com/KevinOConnor - https://avatars.githubusercontent.com/u/6490144?s=40&v=4 - bellard - https://github.com/bellard + https://avatars.githubusercontent.com/u/9563098?s=40&v=4 + Arksine + https://github.com/Arksine - https://avatars.githubusercontent.com/u/275871?s=40&v=4 - bnoordhuis - https://github.com/bnoordhuis + https://avatars.githubusercontent.com/u/53491797?s=40&v=4 + dmbutyugin + https://github.com/dmbutyugin - https://avatars.githubusercontent.com/u/1174718?s=40&v=4 - kasperisager - https://github.com/kasperisager + https://avatars.githubusercontent.com/u/1433580?s=40&v=4 + mcmatrix + https://github.com/mcmatrix - https://avatars.githubusercontent.com/u/1129322?s=40&v=4 - pinotree - https://github.com/pinotree + https://avatars.githubusercontent.com/u/4352664?s=40&v=4 + FHeilmann + https://github.com/FHeilmann - redis/redis - https://github.com/redis/redis - Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps. - https://github.com/redis/redis + acidanthera/OpenCorePkg + https://github.com/acidanthera/OpenCorePkg + OpenCore bootloader + https://github.com/acidanthera/OpenCorePkg C #555555 - 67,153 - 23,813 - 15 + 13,470 + 2,117 + 7 - https://avatars.githubusercontent.com/u/65632?s=40&v=4 - antirez - https://github.com/antirez + https://avatars.githubusercontent.com/u/4348897?s=40&v=4 + vit9696 + https://github.com/vit9696 - https://avatars.githubusercontent.com/u/7045099?s=40&v=4 - oranagra - https://github.com/oranagra + https://avatars.githubusercontent.com/u/8659494?s=40&v=4 + mhaeuser + https://github.com/mhaeuser - https://avatars.githubusercontent.com/u/9845?s=40&v=4 - pietern - https://github.com/pietern + https://avatars.githubusercontent.com/u/17758753?s=40&v=4 + Andrey1970AppleLife + https://github.com/Andrey1970AppleLife - https://avatars.githubusercontent.com/u/22811481?s=40&v=4 - enjoy-binbin - https://github.com/enjoy-binbin + https://avatars.githubusercontent.com/u/11946605?s=40&v=4 + mikebeaton + https://github.com/mikebeaton - https://avatars.githubusercontent.com/u/1481195?s=40&v=4 - yossigo - https://github.com/yossigo + https://avatars.githubusercontent.com/u/17109513?s=40&v=4 + PMheart + https://github.com/PMheart - nginx/nginx - https://github.com/nginx/nginx - The official NGINX Open Source repository. - https://github.com/nginx/nginx + OnionUI/Onion + https://github.com/OnionUI/Onion + OS overhaul for Miyoo Mini and Mini+ + https://github.com/OnionUI/Onion C #555555 - 25,277 - 7,001 - 9 + 3,466 + 218 + 3 - https://avatars.githubusercontent.com/u/201187?s=40&v=4 - mdounin - https://github.com/mdounin - - - https://avatars.githubusercontent.com/u/11629712?s=40&v=4 - vl-homutov - https://github.com/vl-homutov - - - https://avatars.githubusercontent.com/u/1236368?s=40&v=4 - arut - https://github.com/arut - - - https://avatars.githubusercontent.com/u/2750827?s=40&v=4 - VBart - https://github.com/VBart - - - https://avatars.githubusercontent.com/u/190297?s=40&v=4 - PiotrSikora - https://github.com/PiotrSikora + https://avatars.githubusercontent.com/u/44569252?s=40&v=4 + Aemiii91 + https://github.com/Aemiii91 - - - - sandboxie-plus/Sandboxie - https://github.com/sandboxie-plus/Sandboxie - Sandboxie Plus & Classic - https://github.com/sandboxie-plus/Sandboxie - C - #555555 - 13,931 - 1,547 - 7 - - https://avatars.githubusercontent.com/u/3890945?s=40&v=4 - DavidXanatos - https://github.com/DavidXanatos + https://avatars.githubusercontent.com/u/16885275?s=40&v=4 + Sichroteph + https://github.com/Sichroteph - https://avatars.githubusercontent.com/u/12372772?s=40&v=4 - isaak654 - https://github.com/isaak654 + https://avatars.githubusercontent.com/u/7110113?s=40&v=4 + schmurtzm + https://github.com/schmurtzm - https://avatars.githubusercontent.com/u/92030377?s=40&v=4 - love-code-yeyixiao - https://github.com/love-code-yeyixiao + https://avatars.githubusercontent.com/u/47260768?s=40&v=4 + XK9274 + https://github.com/XK9274 - https://avatars.githubusercontent.com/u/6871698?s=40&v=4 - offhub - https://github.com/offhub + https://avatars.githubusercontent.com/u/85693713?s=40&v=4 + jimgraygit + https://github.com/jimgraygit diff --git a/data/monthly/c++.json b/data/monthly/c++.json index 3370d715f511..b4232e719a6a 100644 --- a/data/monthly/c++.json +++ b/data/monthly/c++.json @@ -2,53 +2,16 @@ "title": "GitHub C++ Languages Monthly Trending", "description": "Monthly Trending of C++ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "meshtastic/firmware", - "url": "https://github.com/meshtastic/firmware", - "description": "Meshtastic device firmware", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "3,634", - "forks": "908", - "addStars": "183", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/225513?s=40&v=4", - "name": "geeksville", - "url": "https://github.com/geeksville" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9000580?s=40&v=4", - "name": "thebentern", - "url": "https://github.com/thebentern" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25002?s=40&v=4", - "name": "caveman99", - "url": "https://github.com/caveman99" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12804989?s=40&v=4", - "name": "mc-hamster", - "url": "https://github.com/mc-hamster" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5630967?s=40&v=4", - "name": "jp-bennett", - "url": "https://github.com/jp-bennett" - } - ] - }, { "title": "FreeCAD/FreeCAD", "url": "https://github.com/FreeCAD/FreeCAD", "description": "This is the official source code of FreeCAD, a free and opensource multiplatform 3D parametric modeler.", "language": "C++", "languageColor": "#f34b7d", - "stars": "20,945", - "forks": "4,118", + "stars": "20,964", + "forks": "4,120", "addStars": "1,409", "contributors": [ { @@ -78,13 +41,87 @@ } ] }, + { + "title": "ml-explore/mlx", + "url": "https://github.com/ml-explore/mlx", + "description": "MLX: An array framework for Apple silicon", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "17,442", + "forks": "1,010", + "addStars": "553", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1542805?s=40&v=4", + "name": "awni", + "url": "https://github.com/awni" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1242043?s=40&v=4", + "name": "angeloskath", + "url": "https://github.com/angeloskath" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60373541?s=40&v=4", + "name": "jagrit06", + "url": "https://github.com/jagrit06" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12023682?s=40&v=4", + "name": "barronalex", + "url": "https://github.com/barronalex" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/639601?s=40&v=4", + "name": "zcbenz", + "url": "https://github.com/zcbenz" + } + ] + }, + { + "title": "barry-ran/QtScrcpy", + "url": "https://github.com/barry-ran/QtScrcpy", + "description": "Android real-time display control software", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "20,620", + "forks": "2,720", + "addStars": "1,246", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/18702692?s=40&v=4", + "name": "barry-ran", + "url": "https://github.com/barry-ran" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4998802?s=40&v=4", + "name": "yxtj", + "url": "https://github.com/yxtj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52984443?s=40&v=4", + "name": "Ujhhgtg", + "url": "https://github.com/Ujhhgtg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15852717?s=40&v=4", + "name": "FrzMtrsprt", + "url": "https://github.com/FrzMtrsprt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/198991?s=40&v=4", + "name": "liangent", + "url": "https://github.com/liangent" + } + ] + }, { "title": "bambulab/BambuStudio", "url": "https://github.com/bambulab/BambuStudio", "description": "PC Software for BambuLab and other 3D printers", "language": "C++", "languageColor": "#f34b7d", - "stars": "2,212", + "stars": "2,213", "forks": "296", "addStars": "176", "contributors": [ @@ -116,76 +153,39 @@ ] }, { - "title": "topjohnwu/Magisk", - "url": "https://github.com/topjohnwu/Magisk", - "description": "The Magic Mask for Android", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "48,866", - "forks": "12,548", - "addStars": "870", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7337301?s=40&v=4", - "name": "topjohnwu", - "url": "https://github.com/topjohnwu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5982859?s=40&v=4", - "name": "diareuse", - "url": "https://github.com/diareuse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26996262?s=40&v=4", - "name": "vvb2060", - "url": "https://github.com/vvb2060" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5022927?s=40&v=4", - "name": "yujincheng08", - "url": "https://github.com/yujincheng08" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31466456?s=40&v=4", - "name": "canyie", - "url": "https://github.com/canyie" - } - ] - }, - { - "title": "ml-explore/mlx", - "url": "https://github.com/ml-explore/mlx", - "description": "MLX: An array framework for Apple silicon", + "title": "meshtastic/firmware", + "url": "https://github.com/meshtastic/firmware", + "description": "Meshtastic device firmware", "language": "C++", "languageColor": "#f34b7d", - "stars": "17,432", - "forks": "1,010", - "addStars": "553", + "stars": "3,634", + "forks": "909", + "addStars": "183", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1542805?s=40&v=4", - "name": "awni", - "url": "https://github.com/awni" + "avatar": "https://avatars.githubusercontent.com/u/225513?s=40&v=4", + "name": "geeksville", + "url": "https://github.com/geeksville" }, { - "avatar": "https://avatars.githubusercontent.com/u/1242043?s=40&v=4", - "name": "angeloskath", - "url": "https://github.com/angeloskath" + "avatar": "https://avatars.githubusercontent.com/u/9000580?s=40&v=4", + "name": "thebentern", + "url": "https://github.com/thebentern" }, { - "avatar": "https://avatars.githubusercontent.com/u/60373541?s=40&v=4", - "name": "jagrit06", - "url": "https://github.com/jagrit06" + "avatar": "https://avatars.githubusercontent.com/u/25002?s=40&v=4", + "name": "caveman99", + "url": "https://github.com/caveman99" }, { - "avatar": "https://avatars.githubusercontent.com/u/12023682?s=40&v=4", - "name": "barronalex", - "url": "https://github.com/barronalex" + "avatar": "https://avatars.githubusercontent.com/u/12804989?s=40&v=4", + "name": "mc-hamster", + "url": "https://github.com/mc-hamster" }, { - "avatar": "https://avatars.githubusercontent.com/u/639601?s=40&v=4", - "name": "zcbenz", - "url": "https://github.com/zcbenz" + "avatar": "https://avatars.githubusercontent.com/u/5630967?s=40&v=4", + "name": "jp-bennett", + "url": "https://github.com/jp-bennett" } ] }, @@ -195,8 +195,8 @@ "description": "Making it easier to work with shaders", "language": "C++", "languageColor": "#f34b7d", - "stars": "2,826", - "forks": "207", + "stars": "2,857", + "forks": "209", "addStars": "598", "contributors": [ { @@ -232,8 +232,8 @@ "description": "WinGet is the Windows Package Manager. This project includes a CLI (Command Line Interface), PowerShell modules, and a COM (Component Object Model) API (Application Programming Interface).", "language": "C++", "languageColor": "#f34b7d", - "stars": "23,349", - "forks": "1,451", + "stars": "23,352", + "forks": "1,452", "addStars": "266", "contributors": [ { @@ -263,50 +263,13 @@ } ] }, - { - "title": "k2-fsa/sherpa-onnx", - "url": "https://github.com/k2-fsa/sherpa-onnx", - "description": "Speech-to-text, text-to-speech, speaker diarization, and VAD using next-gen Kaldi with onnxruntime without Internet connection. Support embedded systems, Android, iOS, Raspberry Pi, RISC-V, x86_64 servers, websocket server/client, C/C++, Python, Kotlin, C#, Go, NodeJS, Java, Swift, Dart, JavaScript, Flutter, Object Pascal, Lazarus, Rust", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "3,680", - "forks": "427", - "addStars": "294", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5284924?s=40&v=4", - "name": "csukuangfj", - "url": "https://github.com/csukuangfj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11765074?s=40&v=4", - "name": "pkufool", - "url": "https://github.com/pkufool" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17765576?s=40&v=4", - "name": "EmreOzkose", - "url": "https://github.com/EmreOzkose" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50542248?s=40&v=4", - "name": "manickavela29", - "url": "https://github.com/manickavela29" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/61895407?s=40&v=4", - "name": "zhaomingwork", - "url": "https://github.com/zhaomingwork" - } - ] - }, { "title": "notepad-plus-plus/notepad-plus-plus", "url": "https://github.com/notepad-plus-plus/notepad-plus-plus", "description": "Notepad++ official repository", "language": "C++", "languageColor": "#f34b7d", - "stars": "23,088", + "stars": "23,093", "forks": "4,619", "addStars": "301", "contributors": [ @@ -337,13 +300,50 @@ } ] }, + { + "title": "topjohnwu/Magisk", + "url": "https://github.com/topjohnwu/Magisk", + "description": "The Magic Mask for Android", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "48,875", + "forks": "12,549", + "addStars": "870", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7337301?s=40&v=4", + "name": "topjohnwu", + "url": "https://github.com/topjohnwu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5982859?s=40&v=4", + "name": "diareuse", + "url": "https://github.com/diareuse" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26996262?s=40&v=4", + "name": "vvb2060", + "url": "https://github.com/vvb2060" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5022927?s=40&v=4", + "name": "yujincheng08", + "url": "https://github.com/yujincheng08" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31466456?s=40&v=4", + "name": "canyie", + "url": "https://github.com/canyie" + } + ] + }, { "title": "espressif/arduino-esp32", "url": "https://github.com/espressif/arduino-esp32", "description": "Arduino core for the ESP32", "language": "C++", "languageColor": "#f34b7d", - "stars": "13,723", + "stars": "13,727", "forks": "7,429", "addStars": "229", "contributors": [ @@ -370,150 +370,150 @@ ] }, { - "title": "opencv/opencv", - "url": "https://github.com/opencv/opencv", - "description": "Open Source Computer Vision Library", + "title": "microsoft/terminal", + "url": "https://github.com/microsoft/terminal", + "description": "The new Windows Terminal and the original Windows console host, all in the same place!", "language": "C++", "languageColor": "#f34b7d", - "stars": "79,279", - "forks": "55,845", - "addStars": "666", + "stars": "95,849", + "forks": "8,338", + "addStars": "624", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4981219?s=40&v=4", - "name": "alalek", - "url": "https://github.com/alalek" + "avatar": "https://avatars.githubusercontent.com/u/189190?s=40&v=4", + "name": "DHowett", + "url": "https://github.com/DHowett" }, { - "avatar": "https://avatars.githubusercontent.com/u/2110786?s=40&v=4", - "name": "vpisarev", - "url": "https://github.com/vpisarev" + "avatar": "https://avatars.githubusercontent.com/u/18356694?s=40&v=4", + "name": "zadjii-msft", + "url": "https://github.com/zadjii-msft" }, { - "avatar": "https://avatars.githubusercontent.com/u/2536374?s=40&v=4", - "name": "asmorkalov", - "url": "https://github.com/asmorkalov" + "avatar": "https://avatars.githubusercontent.com/u/2256941?s=40&v=4", + "name": "lhecker", + "url": "https://github.com/lhecker" }, { - "avatar": "https://avatars.githubusercontent.com/u/3304494?s=40&v=4", - "name": "mshabunin", - "url": "https://github.com/mshabunin" + "avatar": "https://avatars.githubusercontent.com/u/18221333?s=40&v=4", + "name": "miniksa", + "url": "https://github.com/miniksa" }, { - "avatar": "https://avatars.githubusercontent.com/u/2566854?s=40&v=4", - "name": "ilya-lavrenov", - "url": "https://github.com/ilya-lavrenov" + "avatar": "https://avatars.githubusercontent.com/u/11050425?s=40&v=4", + "name": "carlos-zamora", + "url": "https://github.com/carlos-zamora" } ] }, { - "title": "google-ai-edge/mediapipe", - "url": "https://github.com/google-ai-edge/mediapipe", - "description": "Cross-platform, customizable ML solutions for live and streaming media.", + "title": "MarlinFirmware/Marlin", + "url": "https://github.com/MarlinFirmware/Marlin", + "description": "Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine.", "language": "C++", "languageColor": "#f34b7d", - "stars": "27,719", - "forks": "5,177", - "addStars": "449", + "stars": "16,330", + "forks": "19,252", + "addStars": "100", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42828735?s=40&v=4", - "name": "priankakariatyml", - "url": "https://github.com/priankakariatyml" + "avatar": "https://avatars.githubusercontent.com/u/698003?s=40&v=4", + "name": "thinkyhead", + "url": "https://github.com/thinkyhead" }, { - "avatar": "https://avatars.githubusercontent.com/u/19397744?s=40&v=4", - "name": "schmidt-sebastian", - "url": "https://github.com/schmidt-sebastian" + "avatar": "https://avatars.githubusercontent.com/u/530024?s=40&v=4", + "name": "ellensp", + "url": "https://github.com/ellensp" }, { - "avatar": "https://avatars.githubusercontent.com/u/42828719?s=40&v=4", - "name": "kinarr", - "url": "https://github.com/kinarr" + "avatar": "https://avatars.githubusercontent.com/u/882374?s=40&v=4", + "name": "ErikZalm", + "url": "https://github.com/ErikZalm" }, { - "avatar": "https://avatars.githubusercontent.com/u/98159216?s=40&v=4", - "name": "kuaashish", - "url": "https://github.com/kuaashish" + "avatar": "https://avatars.githubusercontent.com/u/211931?s=40&v=4", + "name": "AnHardt", + "url": "https://github.com/AnHardt" }, { - "avatar": "https://avatars.githubusercontent.com/u/196272?s=40&v=4", - "name": "camillol", - "url": "https://github.com/camillol" + "avatar": "https://avatars.githubusercontent.com/u/13375512?s=40&v=4", + "name": "thisiskeithb", + "url": "https://github.com/thisiskeithb" } ] }, { - "title": "barry-ran/QtScrcpy", - "url": "https://github.com/barry-ran/QtScrcpy", - "description": "Android real-time display control software", + "title": "mamedev/mame", + "url": "https://github.com/mamedev/mame", + "description": "MAME", "language": "C++", "languageColor": "#f34b7d", - "stars": "20,605", - "forks": "2,718", - "addStars": "1,246", + "stars": "8,391", + "forks": "2,036", + "addStars": "340", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18702692?s=40&v=4", - "name": "barry-ran", - "url": "https://github.com/barry-ran" + "avatar": "https://avatars.githubusercontent.com/u/16355444?s=40&v=4", + "name": "ajrhacker", + "url": "https://github.com/ajrhacker" }, { - "avatar": "https://avatars.githubusercontent.com/u/4998802?s=40&v=4", - "name": "yxtj", - "url": "https://github.com/yxtj" + "avatar": "https://avatars.githubusercontent.com/u/9270613?s=40&v=4", + "name": "happppp", + "url": "https://github.com/happppp" }, { - "avatar": "https://avatars.githubusercontent.com/u/52984443?s=40&v=4", - "name": "Ujhhgtg", - "url": "https://github.com/Ujhhgtg" + "avatar": "https://avatars.githubusercontent.com/u/9251271?s=40&v=4", + "name": "angelosa", + "url": "https://github.com/angelosa" }, { - "avatar": "https://avatars.githubusercontent.com/u/15852717?s=40&v=4", - "name": "FrzMtrsprt", - "url": "https://github.com/FrzMtrsprt" + "avatar": "https://avatars.githubusercontent.com/u/9260603?s=40&v=4", + "name": "rb6502", + "url": "https://github.com/rb6502" }, { - "avatar": "https://avatars.githubusercontent.com/u/198991?s=40&v=4", - "name": "liangent", - "url": "https://github.com/liangent" + "avatar": "https://avatars.githubusercontent.com/u/3623496?s=40&v=4", + "name": "mmicko", + "url": "https://github.com/mmicko" } ] }, { - "title": "carla-simulator/carla", - "url": "https://github.com/carla-simulator/carla", - "description": "Open-source simulator for autonomous driving research.", + "title": "opencv/opencv", + "url": "https://github.com/opencv/opencv", + "description": "Open Source Computer Vision Library", "language": "C++", "languageColor": "#f34b7d", - "stars": "11,438", - "forks": "3,710", - "addStars": "187", + "stars": "79,284", + "forks": "55,846", + "addStars": "666", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4332953?s=40&v=4", - "name": "nsubiron", - "url": "https://github.com/nsubiron" + "avatar": "https://avatars.githubusercontent.com/u/4981219?s=40&v=4", + "name": "alalek", + "url": "https://github.com/alalek" }, { - "avatar": "https://avatars.githubusercontent.com/u/45967456?s=40&v=4", - "name": "bernatx", - "url": "https://github.com/bernatx" + "avatar": "https://avatars.githubusercontent.com/u/2110786?s=40&v=4", + "name": "vpisarev", + "url": "https://github.com/vpisarev" }, { - "avatar": "https://avatars.githubusercontent.com/u/15848712?s=40&v=4", - "name": "marcgpuig", - "url": "https://github.com/marcgpuig" + "avatar": "https://avatars.githubusercontent.com/u/2536374?s=40&v=4", + "name": "asmorkalov", + "url": "https://github.com/asmorkalov" }, { - "avatar": "https://avatars.githubusercontent.com/u/35765780?s=40&v=4", - "name": "Axel1092", - "url": "https://github.com/Axel1092" + "avatar": "https://avatars.githubusercontent.com/u/3304494?s=40&v=4", + "name": "mshabunin", + "url": "https://github.com/mshabunin" }, { - "avatar": "https://avatars.githubusercontent.com/u/5548733?s=40&v=4", - "name": "DSantosO", - "url": "https://github.com/DSantosO" + "avatar": "https://avatars.githubusercontent.com/u/2566854?s=40&v=4", + "name": "ilya-lavrenov", + "url": "https://github.com/ilya-lavrenov" } ] }, @@ -523,8 +523,8 @@ "description": "Cross-platform internet upload/download manager for HTTP(S), FTP(S), SSH, magnet-link, BitTorrent, m3u8, ed2k, and online videos. WebDAV client, FTP client, SSH client.", "language": "C++", "languageColor": "#f34b7d", - "stars": "9,184", - "forks": "572", + "stars": "9,203", + "forks": "574", "addStars": "1,595", "contributors": [ { @@ -549,50 +549,13 @@ } ] }, - { - "title": "ArduPilot/ardupilot", - "url": "https://github.com/ArduPilot/ardupilot", - "description": "ArduPlane, ArduCopter, ArduRover, ArduSub source", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "11,053", - "forks": "17,609", - "addStars": "139", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/831867?s=40&v=4", - "name": "tridge", - "url": "https://github.com/tridge" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7077857?s=40&v=4", - "name": "peterbarker", - "url": "https://github.com/peterbarker" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1498098?s=40&v=4", - "name": "rmackay9", - "url": "https://github.com/rmackay9" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33176108?s=40&v=4", - "name": "IamPete1", - "url": "https://github.com/IamPete1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31864?s=40&v=4", - "name": "lucasdemarchi", - "url": "https://github.com/lucasdemarchi" - } - ] - }, { "title": "oceanbase/oceanbase", "url": "https://github.com/oceanbase/oceanbase", "description": "OceanBase is an enterprise distributed relational database with high availability, high performance, horizontal scalability, and compatibility with SQL standards.", "language": "C++", "languageColor": "#f34b7d", - "stars": "8,450", + "stars": "8,451", "forks": "1,688", "addStars": "114", "contributors": [ @@ -624,39 +587,39 @@ ] }, { - "title": "microsoft/terminal", - "url": "https://github.com/microsoft/terminal", - "description": "The new Windows Terminal and the original Windows console host, all in the same place!", + "title": "ArduPilot/ardupilot", + "url": "https://github.com/ArduPilot/ardupilot", + "description": "ArduPlane, ArduCopter, ArduRover, ArduSub source", "language": "C++", "languageColor": "#f34b7d", - "stars": "95,838", - "forks": "8,334", - "addStars": "624", + "stars": "11,055", + "forks": "17,611", + "addStars": "139", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/189190?s=40&v=4", - "name": "DHowett", - "url": "https://github.com/DHowett" + "avatar": "https://avatars.githubusercontent.com/u/831867?s=40&v=4", + "name": "tridge", + "url": "https://github.com/tridge" }, { - "avatar": "https://avatars.githubusercontent.com/u/18356694?s=40&v=4", - "name": "zadjii-msft", - "url": "https://github.com/zadjii-msft" + "avatar": "https://avatars.githubusercontent.com/u/7077857?s=40&v=4", + "name": "peterbarker", + "url": "https://github.com/peterbarker" }, { - "avatar": "https://avatars.githubusercontent.com/u/2256941?s=40&v=4", - "name": "lhecker", - "url": "https://github.com/lhecker" + "avatar": "https://avatars.githubusercontent.com/u/1498098?s=40&v=4", + "name": "rmackay9", + "url": "https://github.com/rmackay9" }, { - "avatar": "https://avatars.githubusercontent.com/u/18221333?s=40&v=4", - "name": "miniksa", - "url": "https://github.com/miniksa" + "avatar": "https://avatars.githubusercontent.com/u/33176108?s=40&v=4", + "name": "IamPete1", + "url": "https://github.com/IamPete1" }, { - "avatar": "https://avatars.githubusercontent.com/u/11050425?s=40&v=4", - "name": "carlos-zamora", - "url": "https://github.com/carlos-zamora" + "avatar": "https://avatars.githubusercontent.com/u/31864?s=40&v=4", + "name": "lucasdemarchi", + "url": "https://github.com/lucasdemarchi" } ] }, @@ -666,8 +629,8 @@ "description": "very currency", "language": "C++", "languageColor": "#f34b7d", - "stars": "14,665", - "forks": "2,885", + "stars": "14,669", + "forks": "2,884", "addStars": "219", "contributors": [ { @@ -698,39 +661,150 @@ ] }, { - "title": "MarlinFirmware/Marlin", - "url": "https://github.com/MarlinFirmware/Marlin", - "description": "Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine.", + "title": "google-ai-edge/mediapipe", + "url": "https://github.com/google-ai-edge/mediapipe", + "description": "Cross-platform, customizable ML solutions for live and streaming media.", "language": "C++", "languageColor": "#f34b7d", - "stars": "16,329", - "forks": "19,250", - "addStars": "100", + "stars": "27,720", + "forks": "5,177", + "addStars": "449", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/698003?s=40&v=4", - "name": "thinkyhead", - "url": "https://github.com/thinkyhead" + "avatar": "https://avatars.githubusercontent.com/u/42828735?s=40&v=4", + "name": "priankakariatyml", + "url": "https://github.com/priankakariatyml" }, { - "avatar": "https://avatars.githubusercontent.com/u/530024?s=40&v=4", - "name": "ellensp", - "url": "https://github.com/ellensp" + "avatar": "https://avatars.githubusercontent.com/u/19397744?s=40&v=4", + "name": "schmidt-sebastian", + "url": "https://github.com/schmidt-sebastian" }, { - "avatar": "https://avatars.githubusercontent.com/u/882374?s=40&v=4", - "name": "ErikZalm", - "url": "https://github.com/ErikZalm" + "avatar": "https://avatars.githubusercontent.com/u/42828719?s=40&v=4", + "name": "kinarr", + "url": "https://github.com/kinarr" }, { - "avatar": "https://avatars.githubusercontent.com/u/211931?s=40&v=4", - "name": "AnHardt", - "url": "https://github.com/AnHardt" + "avatar": "https://avatars.githubusercontent.com/u/98159216?s=40&v=4", + "name": "kuaashish", + "url": "https://github.com/kuaashish" }, { - "avatar": "https://avatars.githubusercontent.com/u/13375512?s=40&v=4", - "name": "thisiskeithb", - "url": "https://github.com/thisiskeithb" + "avatar": "https://avatars.githubusercontent.com/u/196272?s=40&v=4", + "name": "camillol", + "url": "https://github.com/camillol" + } + ] + }, + { + "title": "carla-simulator/carla", + "url": "https://github.com/carla-simulator/carla", + "description": "Open-source simulator for autonomous driving research.", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "11,443", + "forks": "3,710", + "addStars": "187", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4332953?s=40&v=4", + "name": "nsubiron", + "url": "https://github.com/nsubiron" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45967456?s=40&v=4", + "name": "bernatx", + "url": "https://github.com/bernatx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15848712?s=40&v=4", + "name": "marcgpuig", + "url": "https://github.com/marcgpuig" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35765780?s=40&v=4", + "name": "Axel1092", + "url": "https://github.com/Axel1092" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5548733?s=40&v=4", + "name": "DSantosO", + "url": "https://github.com/DSantosO" + } + ] + }, + { + "title": "k2-fsa/sherpa-onnx", + "url": "https://github.com/k2-fsa/sherpa-onnx", + "description": "Speech-to-text, text-to-speech, speaker diarization, and VAD using next-gen Kaldi with onnxruntime without Internet connection. Support embedded systems, Android, iOS, Raspberry Pi, RISC-V, x86_64 servers, websocket server/client, C/C++, Python, Kotlin, C#, Go, NodeJS, Java, Swift, Dart, JavaScript, Flutter, Object Pascal, Lazarus, Rust", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "3,680", + "forks": "427", + "addStars": "294", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5284924?s=40&v=4", + "name": "csukuangfj", + "url": "https://github.com/csukuangfj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11765074?s=40&v=4", + "name": "pkufool", + "url": "https://github.com/pkufool" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17765576?s=40&v=4", + "name": "EmreOzkose", + "url": "https://github.com/EmreOzkose" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50542248?s=40&v=4", + "name": "manickavela29", + "url": "https://github.com/manickavela29" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61895407?s=40&v=4", + "name": "zhaomingwork", + "url": "https://github.com/zhaomingwork" + } + ] + }, + { + "title": "doitsujin/dxvk", + "url": "https://github.com/doitsujin/dxvk", + "description": "Vulkan-based implementation of D3D8, 9, 10 and 11 for Linux / Wine", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "13,399", + "forks": "866", + "addStars": "320", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25567304?s=40&v=4", + "name": "doitsujin", + "url": "https://github.com/doitsujin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21316711?s=40&v=4", + "name": "misyltoad", + "url": "https://github.com/misyltoad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1131720?s=40&v=4", + "name": "K0bin", + "url": "https://github.com/K0bin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47954800?s=40&v=4", + "name": "Blisto91", + "url": "https://github.com/Blisto91" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6306593?s=40&v=4", + "name": "WinterSnowfall", + "url": "https://github.com/WinterSnowfall" } ] }, @@ -740,7 +814,7 @@ "description": "On-device AI across mobile, embedded and edge for PyTorch", "language": "C++", "languageColor": "#f34b7d", - "stars": "2,213", + "stars": "2,214", "forks": "368", "addStars": "249", "contributors": [ @@ -772,113 +846,76 @@ ] }, { - "title": "jrouwe/JoltPhysics", - "url": "https://github.com/jrouwe/JoltPhysics", - "description": "A multi core friendly rigid body physics and collision detection library. Written in C++. Suitable for games and VR applications. Used by Horizon Forbidden West.", + "title": "ggerganov/llama.cpp", + "url": "https://github.com/ggerganov/llama.cpp", + "description": "LLM inference in C/C++", "language": "C++", "languageColor": "#f34b7d", - "stars": "6,821", - "forks": "458", - "addStars": "221", + "stars": "68,281", + "forks": "9,797", + "addStars": "1,689", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1621693?s=40&v=4", - "name": "jrouwe", - "url": "https://github.com/jrouwe" + "avatar": "https://avatars.githubusercontent.com/u/1991296?s=40&v=4", + "name": "ggerganov", + "url": "https://github.com/ggerganov" }, { - "avatar": "https://avatars.githubusercontent.com/u/4884246?s=40&v=4", - "name": "mihe", - "url": "https://github.com/mihe" + "avatar": "https://avatars.githubusercontent.com/u/2141330?s=40&v=4", + "name": "slaren", + "url": "https://github.com/slaren" }, { - "avatar": "https://avatars.githubusercontent.com/u/21316711?s=40&v=4", - "name": "misyltoad", - "url": "https://github.com/misyltoad" + "avatar": "https://avatars.githubusercontent.com/u/18492268?s=40&v=4", + "name": "JohannesGaessler", + "url": "https://github.com/JohannesGaessler" }, { - "avatar": "https://avatars.githubusercontent.com/u/3002461?s=40&v=4", - "name": "SirLynix", - "url": "https://github.com/SirLynix" + "avatar": "https://avatars.githubusercontent.com/u/14168726?s=40&v=4", + "name": "cebtenzzre", + "url": "https://github.com/cebtenzzre" }, { - "avatar": "https://avatars.githubusercontent.com/u/8342599?s=40&v=4", - "name": "AndreaCatania", - "url": "https://github.com/AndreaCatania" - } - ] - }, - { - "title": "mamedev/mame", - "url": "https://github.com/mamedev/mame", - "description": "MAME", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "8,386", - "forks": "2,036", - "addStars": "340", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/16355444?s=40&v=4", - "name": "ajrhacker", - "url": "https://github.com/ajrhacker" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9270613?s=40&v=4", - "name": "happppp", - "url": "https://github.com/happppp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9251271?s=40&v=4", - "name": "angelosa", - "url": "https://github.com/angelosa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9260603?s=40&v=4", - "name": "rb6502", - "url": "https://github.com/rb6502" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3623496?s=40&v=4", - "name": "mmicko", - "url": "https://github.com/mmicko" + "avatar": "https://avatars.githubusercontent.com/u/7702203?s=40&v=4", + "name": "ngxson", + "url": "https://github.com/ngxson" } ] }, { - "title": "chriskohlhoff/asio", - "url": "https://github.com/chriskohlhoff/asio", - "description": "Asio C++ Library", + "title": "aria2/aria2", + "url": "https://github.com/aria2/aria2", + "description": "aria2 is a lightweight multi-protocol & multi-source, cross platform download utility operated in command-line. It supports HTTP/HTTPS, FTP, SFTP, BitTorrent and Metalink.", "language": "C++", "languageColor": "#f34b7d", - "stars": "4,976", - "forks": "1,222", - "addStars": "70", + "stars": "35,958", + "forks": "3,609", + "addStars": "504", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/462538?s=40&v=4", - "name": "chriskohlhoff", - "url": "https://github.com/chriskohlhoff" + "avatar": "https://avatars.githubusercontent.com/u/404610?s=40&v=4", + "name": "tatsuhiro-t", + "url": "https://github.com/tatsuhiro-t" }, { - "avatar": "https://avatars.githubusercontent.com/u/8020376?s=40&v=4", - "name": "klemens-morgenstern", - "url": "https://github.com/klemens-morgenstern" + "avatar": "https://avatars.githubusercontent.com/u/383665?s=40&v=4", + "name": "nmaier", + "url": "https://github.com/nmaier" }, { - "avatar": "https://avatars.githubusercontent.com/u/865914?s=40&v=4", - "name": "vinipsmaker", - "url": "https://github.com/vinipsmaker" + "avatar": "https://avatars.githubusercontent.com/u/1257373?s=40&v=4", + "name": "ITriskTI", + "url": "https://github.com/ITriskTI" }, { - "avatar": "https://avatars.githubusercontent.com/u/5967138?s=40&v=4", - "name": "MarcelRaad", - "url": "https://github.com/MarcelRaad" + "avatar": "https://avatars.githubusercontent.com/u/16373649?s=40&v=4", + "name": "kwkam", + "url": "https://github.com/kwkam" }, { - "avatar": "https://avatars.githubusercontent.com/u/42386382?s=40&v=4", - "name": "xavier2k6", - "url": "https://github.com/xavier2k6" + "avatar": "https://avatars.githubusercontent.com/u/6374087?s=40&v=4", + "name": "103yiran", + "url": "https://github.com/103yiran" } ] } diff --git a/data/monthly/c++.xml b/data/monthly/c++.xml index 159bcff56550..224d24c37c83 100644 --- a/data/monthly/c++.xml +++ b/data/monthly/c++.xml @@ -3,45 +3,7 @@ GitHub C++ Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of C++ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - meshtastic/firmware - https://github.com/meshtastic/firmware - Meshtastic device firmware - https://github.com/meshtastic/firmware - C++ - #f34b7d - 3,634 - 908 - 183 - - - https://avatars.githubusercontent.com/u/225513?s=40&v=4 - geeksville - https://github.com/geeksville - - - https://avatars.githubusercontent.com/u/9000580?s=40&v=4 - thebentern - https://github.com/thebentern - - - https://avatars.githubusercontent.com/u/25002?s=40&v=4 - caveman99 - https://github.com/caveman99 - - - https://avatars.githubusercontent.com/u/12804989?s=40&v=4 - mc-hamster - https://github.com/mc-hamster - - - https://avatars.githubusercontent.com/u/5630967?s=40&v=4 - jp-bennett - https://github.com/jp-bennett - - - + Mon, 25 Nov 2024 13:30:36 GMT FreeCAD/FreeCAD https://github.com/FreeCAD/FreeCAD @@ -49,8 +11,8 @@ https://github.com/FreeCAD/FreeCAD C++ #f34b7d - 20,945 - 4,118 + 20,964 + 4,120 1,409 @@ -80,6 +42,82 @@ + + ml-explore/mlx + https://github.com/ml-explore/mlx + MLX: An array framework for Apple silicon + https://github.com/ml-explore/mlx + C++ + #f34b7d + 17,442 + 1,010 + 553 + + + https://avatars.githubusercontent.com/u/1542805?s=40&v=4 + awni + https://github.com/awni + + + https://avatars.githubusercontent.com/u/1242043?s=40&v=4 + angeloskath + https://github.com/angeloskath + + + https://avatars.githubusercontent.com/u/60373541?s=40&v=4 + jagrit06 + https://github.com/jagrit06 + + + https://avatars.githubusercontent.com/u/12023682?s=40&v=4 + barronalex + https://github.com/barronalex + + + https://avatars.githubusercontent.com/u/639601?s=40&v=4 + zcbenz + https://github.com/zcbenz + + + + + barry-ran/QtScrcpy + https://github.com/barry-ran/QtScrcpy + Android real-time display control software + https://github.com/barry-ran/QtScrcpy + C++ + #f34b7d + 20,620 + 2,720 + 1,246 + + + https://avatars.githubusercontent.com/u/18702692?s=40&v=4 + barry-ran + https://github.com/barry-ran + + + https://avatars.githubusercontent.com/u/4998802?s=40&v=4 + yxtj + https://github.com/yxtj + + + https://avatars.githubusercontent.com/u/52984443?s=40&v=4 + Ujhhgtg + https://github.com/Ujhhgtg + + + https://avatars.githubusercontent.com/u/15852717?s=40&v=4 + FrzMtrsprt + https://github.com/FrzMtrsprt + + + https://avatars.githubusercontent.com/u/198991?s=40&v=4 + liangent + https://github.com/liangent + + + bambulab/BambuStudio https://github.com/bambulab/BambuStudio @@ -87,7 +125,7 @@ https://github.com/bambulab/BambuStudio C++ #f34b7d - 2,212 + 2,213 296 176 @@ -119,78 +157,40 @@ - topjohnwu/Magisk - https://github.com/topjohnwu/Magisk - The Magic Mask for Android - https://github.com/topjohnwu/Magisk - C++ - #f34b7d - 48,866 - 12,548 - 870 - - - https://avatars.githubusercontent.com/u/7337301?s=40&v=4 - topjohnwu - https://github.com/topjohnwu - - - https://avatars.githubusercontent.com/u/5982859?s=40&v=4 - diareuse - https://github.com/diareuse - - - https://avatars.githubusercontent.com/u/26996262?s=40&v=4 - vvb2060 - https://github.com/vvb2060 - - - https://avatars.githubusercontent.com/u/5022927?s=40&v=4 - yujincheng08 - https://github.com/yujincheng08 - - - https://avatars.githubusercontent.com/u/31466456?s=40&v=4 - canyie - https://github.com/canyie - - - - - ml-explore/mlx - https://github.com/ml-explore/mlx - MLX: An array framework for Apple silicon - https://github.com/ml-explore/mlx + meshtastic/firmware + https://github.com/meshtastic/firmware + Meshtastic device firmware + https://github.com/meshtastic/firmware C++ #f34b7d - 17,432 - 1,010 - 553 + 3,634 + 909 + 183 - https://avatars.githubusercontent.com/u/1542805?s=40&v=4 - awni - https://github.com/awni + https://avatars.githubusercontent.com/u/225513?s=40&v=4 + geeksville + https://github.com/geeksville - https://avatars.githubusercontent.com/u/1242043?s=40&v=4 - angeloskath - https://github.com/angeloskath + https://avatars.githubusercontent.com/u/9000580?s=40&v=4 + thebentern + https://github.com/thebentern - https://avatars.githubusercontent.com/u/60373541?s=40&v=4 - jagrit06 - https://github.com/jagrit06 + https://avatars.githubusercontent.com/u/25002?s=40&v=4 + caveman99 + https://github.com/caveman99 - https://avatars.githubusercontent.com/u/12023682?s=40&v=4 - barronalex - https://github.com/barronalex + https://avatars.githubusercontent.com/u/12804989?s=40&v=4 + mc-hamster + https://github.com/mc-hamster - https://avatars.githubusercontent.com/u/639601?s=40&v=4 - zcbenz - https://github.com/zcbenz + https://avatars.githubusercontent.com/u/5630967?s=40&v=4 + jp-bennett + https://github.com/jp-bennett @@ -201,8 +201,8 @@ https://github.com/shader-slang/slang C++ #f34b7d - 2,826 - 207 + 2,857 + 209 598 @@ -239,8 +239,8 @@ https://github.com/microsoft/winget-cli C++ #f34b7d - 23,349 - 1,451 + 23,352 + 1,452 266 @@ -270,44 +270,6 @@ - - k2-fsa/sherpa-onnx - https://github.com/k2-fsa/sherpa-onnx - Speech-to-text, text-to-speech, speaker diarization, and VAD using next-gen Kaldi with onnxruntime without Internet connection. Support embedded systems, Android, iOS, Raspberry Pi, RISC-V, x86_64 servers, websocket server/client, C/C++, Python, Kotlin, C#, Go, NodeJS, Java, Swift, Dart, JavaScript, Flutter, Object Pascal, Lazarus, Rust - https://github.com/k2-fsa/sherpa-onnx - C++ - #f34b7d - 3,680 - 427 - 294 - - - https://avatars.githubusercontent.com/u/5284924?s=40&v=4 - csukuangfj - https://github.com/csukuangfj - - - https://avatars.githubusercontent.com/u/11765074?s=40&v=4 - pkufool - https://github.com/pkufool - - - https://avatars.githubusercontent.com/u/17765576?s=40&v=4 - EmreOzkose - https://github.com/EmreOzkose - - - https://avatars.githubusercontent.com/u/50542248?s=40&v=4 - manickavela29 - https://github.com/manickavela29 - - - https://avatars.githubusercontent.com/u/61895407?s=40&v=4 - zhaomingwork - https://github.com/zhaomingwork - - - notepad-plus-plus/notepad-plus-plus https://github.com/notepad-plus-plus/notepad-plus-plus @@ -315,7 +277,7 @@ https://github.com/notepad-plus-plus/notepad-plus-plus C++ #f34b7d - 23,088 + 23,093 4,619 301 @@ -347,30 +309,68 @@ - espressif/arduino-esp32 - https://github.com/espressif/arduino-esp32 - Arduino core for the ESP32 - https://github.com/espressif/arduino-esp32 + topjohnwu/Magisk + https://github.com/topjohnwu/Magisk + The Magic Mask for Android + https://github.com/topjohnwu/Magisk C++ #f34b7d - 13,723 - 7,429 - 229 + 48,875 + 12,549 + 870 - https://avatars.githubusercontent.com/u/12663778?s=40&v=4 - me-no-dev - https://github.com/me-no-dev + https://avatars.githubusercontent.com/u/7337301?s=40&v=4 + topjohnwu + https://github.com/topjohnwu - https://avatars.githubusercontent.com/u/90197375?s=40&v=4 - P-R-O-C-H-Y - https://github.com/P-R-O-C-H-Y + https://avatars.githubusercontent.com/u/5982859?s=40&v=4 + diareuse + https://github.com/diareuse - https://avatars.githubusercontent.com/u/65977697?s=40&v=4 - SuGlider - https://github.com/SuGlider + https://avatars.githubusercontent.com/u/26996262?s=40&v=4 + vvb2060 + https://github.com/vvb2060 + + + https://avatars.githubusercontent.com/u/5022927?s=40&v=4 + yujincheng08 + https://github.com/yujincheng08 + + + https://avatars.githubusercontent.com/u/31466456?s=40&v=4 + canyie + https://github.com/canyie + + + + + espressif/arduino-esp32 + https://github.com/espressif/arduino-esp32 + Arduino core for the ESP32 + https://github.com/espressif/arduino-esp32 + C++ + #f34b7d + 13,727 + 7,429 + 229 + + + https://avatars.githubusercontent.com/u/12663778?s=40&v=4 + me-no-dev + https://github.com/me-no-dev + + + https://avatars.githubusercontent.com/u/90197375?s=40&v=4 + P-R-O-C-H-Y + https://github.com/P-R-O-C-H-Y + + + https://avatars.githubusercontent.com/u/65977697?s=40&v=4 + SuGlider + https://github.com/SuGlider https://avatars.githubusercontent.com/u/32426024?s=40&v=4 @@ -380,154 +380,154 @@ - opencv/opencv - https://github.com/opencv/opencv - Open Source Computer Vision Library - https://github.com/opencv/opencv + microsoft/terminal + https://github.com/microsoft/terminal + The new Windows Terminal and the original Windows console host, all in the same place! + https://github.com/microsoft/terminal C++ #f34b7d - 79,279 - 55,845 - 666 + 95,849 + 8,338 + 624 - https://avatars.githubusercontent.com/u/4981219?s=40&v=4 - alalek - https://github.com/alalek + https://avatars.githubusercontent.com/u/189190?s=40&v=4 + DHowett + https://github.com/DHowett - https://avatars.githubusercontent.com/u/2110786?s=40&v=4 - vpisarev - https://github.com/vpisarev + https://avatars.githubusercontent.com/u/18356694?s=40&v=4 + zadjii-msft + https://github.com/zadjii-msft - https://avatars.githubusercontent.com/u/2536374?s=40&v=4 - asmorkalov - https://github.com/asmorkalov + https://avatars.githubusercontent.com/u/2256941?s=40&v=4 + lhecker + https://github.com/lhecker - https://avatars.githubusercontent.com/u/3304494?s=40&v=4 - mshabunin - https://github.com/mshabunin + https://avatars.githubusercontent.com/u/18221333?s=40&v=4 + miniksa + https://github.com/miniksa - https://avatars.githubusercontent.com/u/2566854?s=40&v=4 - ilya-lavrenov - https://github.com/ilya-lavrenov + https://avatars.githubusercontent.com/u/11050425?s=40&v=4 + carlos-zamora + https://github.com/carlos-zamora - google-ai-edge/mediapipe - https://github.com/google-ai-edge/mediapipe - Cross-platform, customizable ML solutions for live and streaming media. - https://github.com/google-ai-edge/mediapipe + MarlinFirmware/Marlin + https://github.com/MarlinFirmware/Marlin + Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine. + https://github.com/MarlinFirmware/Marlin C++ #f34b7d - 27,719 - 5,177 - 449 + 16,330 + 19,252 + 100 - https://avatars.githubusercontent.com/u/42828735?s=40&v=4 - priankakariatyml - https://github.com/priankakariatyml + https://avatars.githubusercontent.com/u/698003?s=40&v=4 + thinkyhead + https://github.com/thinkyhead - https://avatars.githubusercontent.com/u/19397744?s=40&v=4 - schmidt-sebastian - https://github.com/schmidt-sebastian + https://avatars.githubusercontent.com/u/530024?s=40&v=4 + ellensp + https://github.com/ellensp - https://avatars.githubusercontent.com/u/42828719?s=40&v=4 - kinarr - https://github.com/kinarr + https://avatars.githubusercontent.com/u/882374?s=40&v=4 + ErikZalm + https://github.com/ErikZalm - https://avatars.githubusercontent.com/u/98159216?s=40&v=4 - kuaashish - https://github.com/kuaashish + https://avatars.githubusercontent.com/u/211931?s=40&v=4 + AnHardt + https://github.com/AnHardt - https://avatars.githubusercontent.com/u/196272?s=40&v=4 - camillol - https://github.com/camillol + https://avatars.githubusercontent.com/u/13375512?s=40&v=4 + thisiskeithb + https://github.com/thisiskeithb - barry-ran/QtScrcpy - https://github.com/barry-ran/QtScrcpy - Android real-time display control software - https://github.com/barry-ran/QtScrcpy + mamedev/mame + https://github.com/mamedev/mame + MAME + https://github.com/mamedev/mame C++ #f34b7d - 20,605 - 2,718 - 1,246 + 8,391 + 2,036 + 340 - https://avatars.githubusercontent.com/u/18702692?s=40&v=4 - barry-ran - https://github.com/barry-ran + https://avatars.githubusercontent.com/u/16355444?s=40&v=4 + ajrhacker + https://github.com/ajrhacker - https://avatars.githubusercontent.com/u/4998802?s=40&v=4 - yxtj - https://github.com/yxtj + https://avatars.githubusercontent.com/u/9270613?s=40&v=4 + happppp + https://github.com/happppp - https://avatars.githubusercontent.com/u/52984443?s=40&v=4 - Ujhhgtg - https://github.com/Ujhhgtg + https://avatars.githubusercontent.com/u/9251271?s=40&v=4 + angelosa + https://github.com/angelosa - https://avatars.githubusercontent.com/u/15852717?s=40&v=4 - FrzMtrsprt - https://github.com/FrzMtrsprt + https://avatars.githubusercontent.com/u/9260603?s=40&v=4 + rb6502 + https://github.com/rb6502 - https://avatars.githubusercontent.com/u/198991?s=40&v=4 - liangent - https://github.com/liangent + https://avatars.githubusercontent.com/u/3623496?s=40&v=4 + mmicko + https://github.com/mmicko - carla-simulator/carla - https://github.com/carla-simulator/carla - Open-source simulator for autonomous driving research. - https://github.com/carla-simulator/carla + opencv/opencv + https://github.com/opencv/opencv + Open Source Computer Vision Library + https://github.com/opencv/opencv C++ #f34b7d - 11,438 - 3,710 - 187 + 79,284 + 55,846 + 666 - https://avatars.githubusercontent.com/u/4332953?s=40&v=4 - nsubiron - https://github.com/nsubiron + https://avatars.githubusercontent.com/u/4981219?s=40&v=4 + alalek + https://github.com/alalek - https://avatars.githubusercontent.com/u/45967456?s=40&v=4 - bernatx - https://github.com/bernatx + https://avatars.githubusercontent.com/u/2110786?s=40&v=4 + vpisarev + https://github.com/vpisarev - https://avatars.githubusercontent.com/u/15848712?s=40&v=4 - marcgpuig - https://github.com/marcgpuig + https://avatars.githubusercontent.com/u/2536374?s=40&v=4 + asmorkalov + https://github.com/asmorkalov - https://avatars.githubusercontent.com/u/35765780?s=40&v=4 - Axel1092 - https://github.com/Axel1092 + https://avatars.githubusercontent.com/u/3304494?s=40&v=4 + mshabunin + https://github.com/mshabunin - https://avatars.githubusercontent.com/u/5548733?s=40&v=4 - DSantosO - https://github.com/DSantosO + https://avatars.githubusercontent.com/u/2566854?s=40&v=4 + ilya-lavrenov + https://github.com/ilya-lavrenov @@ -538,8 +538,8 @@ https://github.com/filecxx/FileCentipede C++ #f34b7d - 9,184 - 572 + 9,203 + 574 1,595 @@ -564,44 +564,6 @@ - - ArduPilot/ardupilot - https://github.com/ArduPilot/ardupilot - ArduPlane, ArduCopter, ArduRover, ArduSub source - https://github.com/ArduPilot/ardupilot - C++ - #f34b7d - 11,053 - 17,609 - 139 - - - https://avatars.githubusercontent.com/u/831867?s=40&v=4 - tridge - https://github.com/tridge - - - https://avatars.githubusercontent.com/u/7077857?s=40&v=4 - peterbarker - https://github.com/peterbarker - - - https://avatars.githubusercontent.com/u/1498098?s=40&v=4 - rmackay9 - https://github.com/rmackay9 - - - https://avatars.githubusercontent.com/u/33176108?s=40&v=4 - IamPete1 - https://github.com/IamPete1 - - - https://avatars.githubusercontent.com/u/31864?s=40&v=4 - lucasdemarchi - https://github.com/lucasdemarchi - - - oceanbase/oceanbase https://github.com/oceanbase/oceanbase @@ -609,7 +571,7 @@ https://github.com/oceanbase/oceanbase C++ #f34b7d - 8,450 + 8,451 1,688 114 @@ -641,40 +603,40 @@ - microsoft/terminal - https://github.com/microsoft/terminal - The new Windows Terminal and the original Windows console host, all in the same place! - https://github.com/microsoft/terminal + ArduPilot/ardupilot + https://github.com/ArduPilot/ardupilot + ArduPlane, ArduCopter, ArduRover, ArduSub source + https://github.com/ArduPilot/ardupilot C++ #f34b7d - 95,838 - 8,334 - 624 + 11,055 + 17,611 + 139 - https://avatars.githubusercontent.com/u/189190?s=40&v=4 - DHowett - https://github.com/DHowett + https://avatars.githubusercontent.com/u/831867?s=40&v=4 + tridge + https://github.com/tridge - https://avatars.githubusercontent.com/u/18356694?s=40&v=4 - zadjii-msft - https://github.com/zadjii-msft + https://avatars.githubusercontent.com/u/7077857?s=40&v=4 + peterbarker + https://github.com/peterbarker - https://avatars.githubusercontent.com/u/2256941?s=40&v=4 - lhecker - https://github.com/lhecker + https://avatars.githubusercontent.com/u/1498098?s=40&v=4 + rmackay9 + https://github.com/rmackay9 - https://avatars.githubusercontent.com/u/18221333?s=40&v=4 - miniksa - https://github.com/miniksa + https://avatars.githubusercontent.com/u/33176108?s=40&v=4 + IamPete1 + https://github.com/IamPete1 - https://avatars.githubusercontent.com/u/11050425?s=40&v=4 - carlos-zamora - https://github.com/carlos-zamora + https://avatars.githubusercontent.com/u/31864?s=40&v=4 + lucasdemarchi + https://github.com/lucasdemarchi @@ -685,8 +647,8 @@ https://github.com/dogecoin/dogecoin C++ #f34b7d - 14,665 - 2,885 + 14,669 + 2,884 219 @@ -717,40 +679,154 @@ - MarlinFirmware/Marlin - https://github.com/MarlinFirmware/Marlin - Marlin is an optimized firmware for RepRap 3D printers based on the Arduino platform. Many commercial 3D printers come with Marlin installed. Check with your vendor if you need source code for your specific machine. - https://github.com/MarlinFirmware/Marlin + google-ai-edge/mediapipe + https://github.com/google-ai-edge/mediapipe + Cross-platform, customizable ML solutions for live and streaming media. + https://github.com/google-ai-edge/mediapipe C++ #f34b7d - 16,329 - 19,250 - 100 + 27,720 + 5,177 + 449 - https://avatars.githubusercontent.com/u/698003?s=40&v=4 - thinkyhead - https://github.com/thinkyhead + https://avatars.githubusercontent.com/u/42828735?s=40&v=4 + priankakariatyml + https://github.com/priankakariatyml - https://avatars.githubusercontent.com/u/530024?s=40&v=4 - ellensp - https://github.com/ellensp + https://avatars.githubusercontent.com/u/19397744?s=40&v=4 + schmidt-sebastian + https://github.com/schmidt-sebastian - https://avatars.githubusercontent.com/u/882374?s=40&v=4 - ErikZalm - https://github.com/ErikZalm + https://avatars.githubusercontent.com/u/42828719?s=40&v=4 + kinarr + https://github.com/kinarr - https://avatars.githubusercontent.com/u/211931?s=40&v=4 - AnHardt - https://github.com/AnHardt + https://avatars.githubusercontent.com/u/98159216?s=40&v=4 + kuaashish + https://github.com/kuaashish - https://avatars.githubusercontent.com/u/13375512?s=40&v=4 - thisiskeithb - https://github.com/thisiskeithb + https://avatars.githubusercontent.com/u/196272?s=40&v=4 + camillol + https://github.com/camillol + + + + + carla-simulator/carla + https://github.com/carla-simulator/carla + Open-source simulator for autonomous driving research. + https://github.com/carla-simulator/carla + C++ + #f34b7d + 11,443 + 3,710 + 187 + + + https://avatars.githubusercontent.com/u/4332953?s=40&v=4 + nsubiron + https://github.com/nsubiron + + + https://avatars.githubusercontent.com/u/45967456?s=40&v=4 + bernatx + https://github.com/bernatx + + + https://avatars.githubusercontent.com/u/15848712?s=40&v=4 + marcgpuig + https://github.com/marcgpuig + + + https://avatars.githubusercontent.com/u/35765780?s=40&v=4 + Axel1092 + https://github.com/Axel1092 + + + https://avatars.githubusercontent.com/u/5548733?s=40&v=4 + DSantosO + https://github.com/DSantosO + + + + + k2-fsa/sherpa-onnx + https://github.com/k2-fsa/sherpa-onnx + Speech-to-text, text-to-speech, speaker diarization, and VAD using next-gen Kaldi with onnxruntime without Internet connection. Support embedded systems, Android, iOS, Raspberry Pi, RISC-V, x86_64 servers, websocket server/client, C/C++, Python, Kotlin, C#, Go, NodeJS, Java, Swift, Dart, JavaScript, Flutter, Object Pascal, Lazarus, Rust + https://github.com/k2-fsa/sherpa-onnx + C++ + #f34b7d + 3,680 + 427 + 294 + + + https://avatars.githubusercontent.com/u/5284924?s=40&v=4 + csukuangfj + https://github.com/csukuangfj + + + https://avatars.githubusercontent.com/u/11765074?s=40&v=4 + pkufool + https://github.com/pkufool + + + https://avatars.githubusercontent.com/u/17765576?s=40&v=4 + EmreOzkose + https://github.com/EmreOzkose + + + https://avatars.githubusercontent.com/u/50542248?s=40&v=4 + manickavela29 + https://github.com/manickavela29 + + + https://avatars.githubusercontent.com/u/61895407?s=40&v=4 + zhaomingwork + https://github.com/zhaomingwork + + + + + doitsujin/dxvk + https://github.com/doitsujin/dxvk + Vulkan-based implementation of D3D8, 9, 10 and 11 for Linux / Wine + https://github.com/doitsujin/dxvk + C++ + #f34b7d + 13,399 + 866 + 320 + + + https://avatars.githubusercontent.com/u/25567304?s=40&v=4 + doitsujin + https://github.com/doitsujin + + + https://avatars.githubusercontent.com/u/21316711?s=40&v=4 + misyltoad + https://github.com/misyltoad + + + https://avatars.githubusercontent.com/u/1131720?s=40&v=4 + K0bin + https://github.com/K0bin + + + https://avatars.githubusercontent.com/u/47954800?s=40&v=4 + Blisto91 + https://github.com/Blisto91 + + + https://avatars.githubusercontent.com/u/6306593?s=40&v=4 + WinterSnowfall + https://github.com/WinterSnowfall @@ -761,7 +837,7 @@ https://github.com/pytorch/executorch C++ #f34b7d - 2,213 + 2,214 368 249 @@ -793,116 +869,78 @@ - jrouwe/JoltPhysics - https://github.com/jrouwe/JoltPhysics - A multi core friendly rigid body physics and collision detection library. Written in C++. Suitable for games and VR applications. Used by Horizon Forbidden West. - https://github.com/jrouwe/JoltPhysics - C++ - #f34b7d - 6,821 - 458 - 221 - - - https://avatars.githubusercontent.com/u/1621693?s=40&v=4 - jrouwe - https://github.com/jrouwe - - - https://avatars.githubusercontent.com/u/4884246?s=40&v=4 - mihe - https://github.com/mihe - - - https://avatars.githubusercontent.com/u/21316711?s=40&v=4 - misyltoad - https://github.com/misyltoad - - - https://avatars.githubusercontent.com/u/3002461?s=40&v=4 - SirLynix - https://github.com/SirLynix - - - https://avatars.githubusercontent.com/u/8342599?s=40&v=4 - AndreaCatania - https://github.com/AndreaCatania - - - - - mamedev/mame - https://github.com/mamedev/mame - MAME - https://github.com/mamedev/mame + ggerganov/llama.cpp + https://github.com/ggerganov/llama.cpp + LLM inference in C/C++ + https://github.com/ggerganov/llama.cpp C++ #f34b7d - 8,386 - 2,036 - 340 + 68,281 + 9,797 + 1,689 - https://avatars.githubusercontent.com/u/16355444?s=40&v=4 - ajrhacker - https://github.com/ajrhacker + https://avatars.githubusercontent.com/u/1991296?s=40&v=4 + ggerganov + https://github.com/ggerganov - https://avatars.githubusercontent.com/u/9270613?s=40&v=4 - happppp - https://github.com/happppp + https://avatars.githubusercontent.com/u/2141330?s=40&v=4 + slaren + https://github.com/slaren - https://avatars.githubusercontent.com/u/9251271?s=40&v=4 - angelosa - https://github.com/angelosa + https://avatars.githubusercontent.com/u/18492268?s=40&v=4 + JohannesGaessler + https://github.com/JohannesGaessler - https://avatars.githubusercontent.com/u/9260603?s=40&v=4 - rb6502 - https://github.com/rb6502 + https://avatars.githubusercontent.com/u/14168726?s=40&v=4 + cebtenzzre + https://github.com/cebtenzzre - https://avatars.githubusercontent.com/u/3623496?s=40&v=4 - mmicko - https://github.com/mmicko + https://avatars.githubusercontent.com/u/7702203?s=40&v=4 + ngxson + https://github.com/ngxson - chriskohlhoff/asio - https://github.com/chriskohlhoff/asio - Asio C++ Library - https://github.com/chriskohlhoff/asio + aria2/aria2 + https://github.com/aria2/aria2 + aria2 is a lightweight multi-protocol & multi-source, cross platform download utility operated in command-line. It supports HTTP/HTTPS, FTP, SFTP, BitTorrent and Metalink. + https://github.com/aria2/aria2 C++ #f34b7d - 4,976 - 1,222 - 70 + 35,958 + 3,609 + 504 - https://avatars.githubusercontent.com/u/462538?s=40&v=4 - chriskohlhoff - https://github.com/chriskohlhoff + https://avatars.githubusercontent.com/u/404610?s=40&v=4 + tatsuhiro-t + https://github.com/tatsuhiro-t - https://avatars.githubusercontent.com/u/8020376?s=40&v=4 - klemens-morgenstern - https://github.com/klemens-morgenstern + https://avatars.githubusercontent.com/u/383665?s=40&v=4 + nmaier + https://github.com/nmaier - https://avatars.githubusercontent.com/u/865914?s=40&v=4 - vinipsmaker - https://github.com/vinipsmaker + https://avatars.githubusercontent.com/u/1257373?s=40&v=4 + ITriskTI + https://github.com/ITriskTI - https://avatars.githubusercontent.com/u/5967138?s=40&v=4 - MarcelRaad - https://github.com/MarcelRaad + https://avatars.githubusercontent.com/u/16373649?s=40&v=4 + kwkam + https://github.com/kwkam - https://avatars.githubusercontent.com/u/42386382?s=40&v=4 - xavier2k6 - https://github.com/xavier2k6 + https://avatars.githubusercontent.com/u/6374087?s=40&v=4 + 103yiran + https://github.com/103yiran diff --git a/data/monthly/c-objdump.json b/data/monthly/c-objdump.json index a1e7e7cf3756..edfe56c49400 100644 --- a/data/monthly/c-objdump.json +++ b/data/monthly/c-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub C-objdump Languages Monthly Trending", "description": "Monthly Trending of C-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/c-objdump.xml b/data/monthly/c-objdump.xml index fc2701d35107..bf57a3643413 100644 --- a/data/monthly/c-objdump.xml +++ b/data/monthly/c-objdump.xml @@ -3,6 +3,6 @@ GitHub C-objdump Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of C-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/c.json b/data/monthly/c.json index 54bfb49bd289..80e2178a2cd9 100644 --- a/data/monthly/c.json +++ b/data/monthly/c.json @@ -2,15 +2,52 @@ "title": "GitHub C Languages Monthly Trending", "description": "Monthly Trending of C Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "libsdl-org/SDL", + "url": "https://github.com/libsdl-org/SDL", + "description": "Simple Directmedia Layer", + "language": "C", + "languageColor": "#555555", + "stars": "10,171", + "forks": "1,858", + "addStars": "398", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", + "name": "slouken", + "url": "https://github.com/slouken" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", + "name": "icculus", + "url": "https://github.com/icculus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", + "name": "sezero", + "url": "https://github.com/sezero" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", + "name": "1bsyl", + "url": "https://github.com/1bsyl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", + "name": "madebr", + "url": "https://github.com/madebr" + } + ] + }, { "title": "tursodatabase/libsql", "url": "https://github.com/tursodatabase/libsql", "description": "libSQL is a fork of SQLite that is both Open Source, and Open Contributions.", "language": "C", "languageColor": "#555555", - "stars": "11,826", + "stars": "11,838", "forks": "294", "addStars": "1,961", "contributors": [ @@ -42,7 +79,7 @@ "description": "Embedded graphics library to create beautiful UIs for any MCU, MPU and display type.", "language": "C", "languageColor": "#555555", - "stars": "17,427", + "stars": "17,434", "forks": "3,289", "addStars": "1,005", "contributors": [ @@ -68,80 +105,6 @@ } ] }, - { - "title": "aircrack-ng/aircrack-ng", - "url": "https://github.com/aircrack-ng/aircrack-ng", - "description": "WiFi security auditing tools suite", - "language": "C", - "languageColor": "#555555", - "stars": "5,500", - "forks": "953", - "addStars": "132", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3520734?s=40&v=4", - "name": "Mister-X-", - "url": "https://github.com/Mister-X-" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73767?s=40&v=4", - "name": "jbenden", - "url": "https://github.com/jbenden" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59890178?s=40&v=4", - "name": "gemesa", - "url": "https://github.com/gemesa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1670905?s=40&v=4", - "name": "ZeroChaos-", - "url": "https://github.com/ZeroChaos-" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5159728?s=40&v=4", - "name": "jmberg", - "url": "https://github.com/jmberg" - } - ] - }, - { - "title": "libsdl-org/SDL", - "url": "https://github.com/libsdl-org/SDL", - "description": "Simple Directmedia Layer", - "language": "C", - "languageColor": "#555555", - "stars": "10,169", - "forks": "1,857", - "addStars": "398", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", - "name": "slouken", - "url": "https://github.com/slouken" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", - "name": "icculus", - "url": "https://github.com/icculus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", - "name": "sezero", - "url": "https://github.com/sezero" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", - "name": "1bsyl", - "url": "https://github.com/1bsyl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", - "name": "madebr", - "url": "https://github.com/madebr" - } - ] - }, { "title": "pr3y/Bruce", "url": "https://github.com/pr3y/Bruce", @@ -180,39 +143,39 @@ ] }, { - "title": "arendst/Tasmota", - "url": "https://github.com/arendst/Tasmota", - "description": "Alternative firmware for ESP8266 and ESP32 based devices with easy configuration using webUI, OTA updates, automation using timers or rules, expandability and entirely local control over MQTT, HTTP, Serial or KNX. Full documentation at", + "title": "aircrack-ng/aircrack-ng", + "url": "https://github.com/aircrack-ng/aircrack-ng", + "description": "WiFi security auditing tools suite", "language": "C", "languageColor": "#555555", - "stars": "22,243", - "forks": "4,814", - "addStars": "155", + "stars": "5,504", + "forks": "954", + "addStars": "132", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11044339?s=40&v=4", - "name": "arendst", - "url": "https://github.com/arendst" + "avatar": "https://avatars.githubusercontent.com/u/3520734?s=40&v=4", + "name": "Mister-X-", + "url": "https://github.com/Mister-X-" }, { - "avatar": "https://avatars.githubusercontent.com/u/49731213?s=40&v=4", - "name": "s-hadinger", - "url": "https://github.com/s-hadinger" + "avatar": "https://avatars.githubusercontent.com/u/73767?s=40&v=4", + "name": "jbenden", + "url": "https://github.com/jbenden" }, { - "avatar": "https://avatars.githubusercontent.com/u/24528715?s=40&v=4", - "name": "Jason2866", - "url": "https://github.com/Jason2866" + "avatar": "https://avatars.githubusercontent.com/u/59890178?s=40&v=4", + "name": "gemesa", + "url": "https://github.com/gemesa" }, { - "avatar": "https://avatars.githubusercontent.com/u/35405447?s=40&v=4", - "name": "ascillato", - "url": "https://github.com/ascillato" + "avatar": "https://avatars.githubusercontent.com/u/1670905?s=40&v=4", + "name": "ZeroChaos-", + "url": "https://github.com/ZeroChaos-" }, { - "avatar": "https://avatars.githubusercontent.com/u/11647075?s=40&v=4", - "name": "gemu2015", - "url": "https://github.com/gemu2015" + "avatar": "https://avatars.githubusercontent.com/u/5159728?s=40&v=4", + "name": "jmberg", + "url": "https://github.com/jmberg" } ] }, @@ -222,7 +185,7 @@ "description": "A professional cross-platform SSH/Sftp/Shell/Telnet/Serial terminal.", "language": "C", "languageColor": "#555555", - "stars": "23,653", + "stars": "23,657", "forks": "1,812", "addStars": "649", "contributors": [ @@ -245,7 +208,7 @@ "language": "C", "languageColor": "#555555", "stars": "13,470", - "forks": "2,116", + "forks": "2,117", "addStars": "137", "contributors": [ { @@ -276,39 +239,39 @@ ] }, { - "title": "Genymobile/scrcpy", - "url": "https://github.com/Genymobile/scrcpy", - "description": "Display and control your Android device", + "title": "arendst/Tasmota", + "url": "https://github.com/arendst/Tasmota", + "description": "Alternative firmware for ESP8266 and ESP32 based devices with easy configuration using webUI, OTA updates, automation using timers or rules, expandability and entirely local control over MQTT, HTTP, Serial or KNX. Full documentation at", "language": "C", "languageColor": "#555555", - "stars": "112,588", - "forks": "10,767", - "addStars": "1,867", + "stars": "22,243", + "forks": "4,814", + "addStars": "155", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/543275?s=40&v=4", - "name": "rom1v", - "url": "https://github.com/rom1v" + "avatar": "https://avatars.githubusercontent.com/u/11044339?s=40&v=4", + "name": "arendst", + "url": "https://github.com/arendst" }, { - "avatar": "https://avatars.githubusercontent.com/u/1330321?s=40&v=4", - "name": "yume-chan", - "url": "https://github.com/yume-chan" + "avatar": "https://avatars.githubusercontent.com/u/49731213?s=40&v=4", + "name": "s-hadinger", + "url": "https://github.com/s-hadinger" }, { - "avatar": "https://avatars.githubusercontent.com/u/5494432?s=40&v=4", - "name": "npes87184", - "url": "https://github.com/npes87184" + "avatar": "https://avatars.githubusercontent.com/u/24528715?s=40&v=4", + "name": "Jason2866", + "url": "https://github.com/Jason2866" }, { - "avatar": "https://avatars.githubusercontent.com/u/639467?s=40&v=4", - "name": "brunoais", - "url": "https://github.com/brunoais" + "avatar": "https://avatars.githubusercontent.com/u/35405447?s=40&v=4", + "name": "ascillato", + "url": "https://github.com/ascillato" }, { - "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", - "name": "rootkea", - "url": "https://github.com/rootkea" + "avatar": "https://avatars.githubusercontent.com/u/11647075?s=40&v=4", + "name": "gemu2015", + "url": "https://github.com/gemu2015" } ] }, @@ -318,8 +281,8 @@ "description": "Lean's LEDE source", "language": "C", "languageColor": "#555555", - "stars": "29,918", - "forks": "19,544", + "stars": "29,917", + "forks": "19,545", "addStars": "269", "contributors": [ { @@ -350,39 +313,76 @@ ] }, { - "title": "pgvector/pgvector", - "url": "https://github.com/pgvector/pgvector", - "description": "Open-source vector similarity search for Postgres", + "title": "Genymobile/scrcpy", + "url": "https://github.com/Genymobile/scrcpy", + "description": "Display and control your Android device", "language": "C", "languageColor": "#555555", - "stars": "12,715", - "forks": "595", - "addStars": "456", + "stars": "112,618", + "forks": "10,768", + "addStars": "1,867", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/220358?s=40&v=4", - "name": "ankane", - "url": "https://github.com/ankane" + "avatar": "https://avatars.githubusercontent.com/u/543275?s=40&v=4", + "name": "rom1v", + "url": "https://github.com/rom1v" }, { - "avatar": "https://avatars.githubusercontent.com/u/191602?s=40&v=4", - "name": "hlinnaka", - "url": "https://github.com/hlinnaka" + "avatar": "https://avatars.githubusercontent.com/u/1330321?s=40&v=4", + "name": "yume-chan", + "url": "https://github.com/yume-chan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1694?s=40&v=4", - "name": "jkatz", - "url": "https://github.com/jkatz" + "avatar": "https://avatars.githubusercontent.com/u/5494432?s=40&v=4", + "name": "npes87184", + "url": "https://github.com/npes87184" }, { - "avatar": "https://avatars.githubusercontent.com/u/4647374?s=40&v=4", - "name": "Ngalstyan4", - "url": "https://github.com/Ngalstyan4" + "avatar": "https://avatars.githubusercontent.com/u/639467?s=40&v=4", + "name": "brunoais", + "url": "https://github.com/brunoais" }, { - "avatar": "https://avatars.githubusercontent.com/u/4902937?s=40&v=4", - "name": "fanfuxiaoran", - "url": "https://github.com/fanfuxiaoran" + "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", + "name": "rootkea", + "url": "https://github.com/rootkea" + } + ] + }, + { + "title": "xmrig/xmrig", + "url": "https://github.com/xmrig/xmrig", + "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", + "language": "C", + "languageColor": "#555555", + "stars": "8,801", + "forks": "3,464", + "addStars": "108", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", + "name": "xmrig", + "url": "https://github.com/xmrig" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", + "name": "SChernykh", + "url": "https://github.com/SChernykh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", + "name": "Spudz76", + "url": "https://github.com/Spudz76" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", + "name": "Foudge", + "url": "https://github.com/Foudge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", + "name": "pdxwebdev", + "url": "https://github.com/pdxwebdev" } ] }, @@ -392,7 +392,7 @@ "description": "Klipper is a 3d-printer firmware", "language": "C", "languageColor": "#555555", - "stars": "9,565", + "stars": "9,566", "forks": "5,337", "addStars": "144", "contributors": [ @@ -424,39 +424,39 @@ ] }, { - "title": "xmrig/xmrig", - "url": "https://github.com/xmrig/xmrig", - "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", + "title": "pgvector/pgvector", + "url": "https://github.com/pgvector/pgvector", + "description": "Open-source vector similarity search for Postgres", "language": "C", "languageColor": "#555555", - "stars": "8,793", - "forks": "3,462", - "addStars": "108", + "stars": "12,720", + "forks": "597", + "addStars": "456", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", - "name": "xmrig", - "url": "https://github.com/xmrig" + "avatar": "https://avatars.githubusercontent.com/u/220358?s=40&v=4", + "name": "ankane", + "url": "https://github.com/ankane" }, { - "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", - "name": "SChernykh", - "url": "https://github.com/SChernykh" + "avatar": "https://avatars.githubusercontent.com/u/191602?s=40&v=4", + "name": "hlinnaka", + "url": "https://github.com/hlinnaka" }, { - "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", - "name": "Spudz76", - "url": "https://github.com/Spudz76" + "avatar": "https://avatars.githubusercontent.com/u/1694?s=40&v=4", + "name": "jkatz", + "url": "https://github.com/jkatz" }, { - "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", - "name": "Foudge", - "url": "https://github.com/Foudge" + "avatar": "https://avatars.githubusercontent.com/u/4647374?s=40&v=4", + "name": "Ngalstyan4", + "url": "https://github.com/Ngalstyan4" }, { - "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", - "name": "pdxwebdev", - "url": "https://github.com/pdxwebdev" + "avatar": "https://avatars.githubusercontent.com/u/4902937?s=40&v=4", + "name": "fanfuxiaoran", + "url": "https://github.com/fanfuxiaoran" } ] }, @@ -497,75 +497,6 @@ } ] }, - { - "title": "raysan5/raylib", - "url": "https://github.com/raysan5/raylib", - "description": "A simple and easy-to-use library to enjoy videogames programming", - "language": "C", - "languageColor": "#555555", - "stars": "22,903", - "forks": "2,290", - "addStars": "642", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", - "name": "raysan5", - "url": "https://github.com/raysan5" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5204368?s=40&v=4", - "name": "a3f", - "url": "https://github.com/a3f" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10688349?s=40&v=4", - "name": "victorfisac", - "url": "https://github.com/victorfisac" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/322174?s=40&v=4", - "name": "JeffM2501", - "url": "https://github.com/JeffM2501" - } - ] - }, - { - "title": "FelixKratz/SketchyBar", - "url": "https://github.com/FelixKratz/SketchyBar", - "description": "A highly customizable macOS status bar replacement", - "language": "C", - "languageColor": "#555555", - "stars": "6,523", - "forks": "95", - "addStars": "495", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/22680421?s=40&v=4", - "name": "FelixKratz", - "url": "https://github.com/FelixKratz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3392199?s=40&v=4", - "name": "cmacrae", - "url": "https://github.com/cmacrae" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2715638?s=40&v=4", - "name": "somdoron", - "url": "https://github.com/somdoron" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/37021?s=40&v=4", - "name": "jraregris", - "url": "https://github.com/jraregris" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33912936?s=40&v=4", - "name": "errose28", - "url": "https://github.com/errose28" - } - ] - }, { "title": "iNavFlight/inav", "url": "https://github.com/iNavFlight/inav", @@ -573,7 +504,7 @@ "language": "C", "languageColor": "#555555", "stars": "3,207", - "forks": "1,488", + "forks": "1,489", "addStars": "60", "contributors": [ { @@ -604,39 +535,34 @@ ] }, { - "title": "FreeRTOS/FreeRTOS-Kernel", - "url": "https://github.com/FreeRTOS/FreeRTOS-Kernel", - "description": "FreeRTOS kernel files only, submoduled into https://github.com/FreeRTOS/FreeRTOS and various other repos.", + "title": "raysan5/raylib", + "url": "https://github.com/raysan5/raylib", + "description": "A simple and easy-to-use library to enjoy videogames programming", "language": "C", "languageColor": "#555555", - "stars": "2,818", - "forks": "1,138", - "addStars": "86", + "stars": "22,908", + "forks": "2,289", + "addStars": "642", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3073890?s=40&v=4", - "name": "RichardBarry", - "url": "https://github.com/RichardBarry" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33462878?s=40&v=4", - "name": "aggarg", - "url": "https://github.com/aggarg" + "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", + "name": "raysan5", + "url": "https://github.com/raysan5" }, { - "avatar": "https://avatars.githubusercontent.com/u/118818625?s=40&v=4", - "name": "kar-rahul-aws", - "url": "https://github.com/kar-rahul-aws" + "avatar": "https://avatars.githubusercontent.com/u/5204368?s=40&v=4", + "name": "a3f", + "url": "https://github.com/a3f" }, { - "avatar": "https://avatars.githubusercontent.com/u/61685396?s=40&v=4", - "name": "chinglee-iot", - "url": "https://github.com/chinglee-iot" + "avatar": "https://avatars.githubusercontent.com/u/10688349?s=40&v=4", + "name": "victorfisac", + "url": "https://github.com/victorfisac" }, { - "avatar": "https://avatars.githubusercontent.com/u/24749346?s=40&v=4", - "name": "Skptak", - "url": "https://github.com/Skptak" + "avatar": "https://avatars.githubusercontent.com/u/322174?s=40&v=4", + "name": "JeffM2501", + "url": "https://github.com/JeffM2501" } ] }, @@ -646,7 +572,7 @@ "description": "提供一个人人会用的的路由、NAS系统 (目前活跃的分支是 istoreos-22.03)", "language": "C", "languageColor": "#555555", - "stars": "5,100", + "stars": "5,105", "forks": "535", "addStars": "207", "contributors": [ @@ -677,50 +603,13 @@ } ] }, - { - "title": "u-boot/u-boot", - "url": "https://github.com/u-boot/u-boot", - "description": "\"Das U-Boot\" Source Tree", - "language": "C", - "languageColor": "#555555", - "stars": "4,044", - "forks": "3,668", - "addStars": "75", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1708748?s=40&v=4", - "name": "sjg20", - "url": "https://github.com/sjg20" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/508192?s=40&v=4", - "name": "trini", - "url": "https://github.com/trini" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2747104?s=40&v=4", - "name": "xypron", - "url": "https://github.com/xypron" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3415389?s=40&v=4", - "name": "wdenx", - "url": "https://github.com/wdenx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/781636?s=40&v=4", - "name": "masahir0y", - "url": "https://github.com/masahir0y" - } - ] - }, { "title": "openwrt/openwrt", "url": "https://github.com/openwrt/openwrt", "description": "This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git.", "language": "C", "languageColor": "#555555", - "stars": "20,456", + "stars": "20,463", "forks": "10,545", "addStars": "414", "contributors": [ @@ -750,6 +639,117 @@ "url": "https://github.com/nbd168" } ] + }, + { + "title": "FelixKratz/SketchyBar", + "url": "https://github.com/FelixKratz/SketchyBar", + "description": "A highly customizable macOS status bar replacement", + "language": "C", + "languageColor": "#555555", + "stars": "6,529", + "forks": "95", + "addStars": "495", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/22680421?s=40&v=4", + "name": "FelixKratz", + "url": "https://github.com/FelixKratz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3392199?s=40&v=4", + "name": "cmacrae", + "url": "https://github.com/cmacrae" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2715638?s=40&v=4", + "name": "somdoron", + "url": "https://github.com/somdoron" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37021?s=40&v=4", + "name": "jraregris", + "url": "https://github.com/jraregris" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33912936?s=40&v=4", + "name": "errose28", + "url": "https://github.com/errose28" + } + ] + }, + { + "title": "nothings/stb", + "url": "https://github.com/nothings/stb", + "description": "stb single-file public domain libraries for C/C++", + "language": "C", + "languageColor": "#555555", + "stars": "27,039", + "forks": "7,721", + "addStars": "346", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7525026?s=40&v=4", + "name": "nothings", + "url": "https://github.com/nothings" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1077496?s=40&v=4", + "name": "rygorous", + "url": "https://github.com/rygorous" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/815310?s=40&v=4", + "name": "BSVino", + "url": "https://github.com/BSVino" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8225057?s=40&v=4", + "name": "ocornut", + "url": "https://github.com/ocornut" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7214365?s=40&v=4", + "name": "rwhitworth", + "url": "https://github.com/rwhitworth" + } + ] + }, + { + "title": "FreeRTOS/FreeRTOS-Kernel", + "url": "https://github.com/FreeRTOS/FreeRTOS-Kernel", + "description": "FreeRTOS kernel files only, submoduled into https://github.com/FreeRTOS/FreeRTOS and various other repos.", + "language": "C", + "languageColor": "#555555", + "stars": "2,819", + "forks": "1,138", + "addStars": "86", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3073890?s=40&v=4", + "name": "RichardBarry", + "url": "https://github.com/RichardBarry" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33462878?s=40&v=4", + "name": "aggarg", + "url": "https://github.com/aggarg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/118818625?s=40&v=4", + "name": "kar-rahul-aws", + "url": "https://github.com/kar-rahul-aws" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61685396?s=40&v=4", + "name": "chinglee-iot", + "url": "https://github.com/chinglee-iot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24749346?s=40&v=4", + "name": "Skptak", + "url": "https://github.com/Skptak" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/c.xml b/data/monthly/c.xml index c4b74aa1eae3..0645deae841c 100644 --- a/data/monthly/c.xml +++ b/data/monthly/c.xml @@ -3,7 +3,45 @@ GitHub C Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of C Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + libsdl-org/SDL + https://github.com/libsdl-org/SDL + Simple Directmedia Layer + https://github.com/libsdl-org/SDL + C + #555555 + 10,171 + 1,858 + 398 + + + https://avatars.githubusercontent.com/u/2100061?s=40&v=4 + slouken + https://github.com/slouken + + + https://avatars.githubusercontent.com/u/673562?s=40&v=4 + icculus + https://github.com/icculus + + + https://avatars.githubusercontent.com/u/4222725?s=40&v=4 + sezero + https://github.com/sezero + + + https://avatars.githubusercontent.com/u/818728?s=40&v=4 + 1bsyl + https://github.com/1bsyl + + + https://avatars.githubusercontent.com/u/4138939?s=40&v=4 + madebr + https://github.com/madebr + + + tursodatabase/libsql https://github.com/tursodatabase/libsql @@ -11,7 +49,7 @@ https://github.com/tursodatabase/libsql C #555555 - 11,826 + 11,838 294 1,961 @@ -44,7 +82,7 @@ https://github.com/lvgl/lvgl C #555555 - 17,427 + 17,434 3,289 1,005 @@ -70,82 +108,6 @@ - - aircrack-ng/aircrack-ng - https://github.com/aircrack-ng/aircrack-ng - WiFi security auditing tools suite - https://github.com/aircrack-ng/aircrack-ng - C - #555555 - 5,500 - 953 - 132 - - - https://avatars.githubusercontent.com/u/3520734?s=40&v=4 - Mister-X- - https://github.com/Mister-X- - - - https://avatars.githubusercontent.com/u/73767?s=40&v=4 - jbenden - https://github.com/jbenden - - - https://avatars.githubusercontent.com/u/59890178?s=40&v=4 - gemesa - https://github.com/gemesa - - - https://avatars.githubusercontent.com/u/1670905?s=40&v=4 - ZeroChaos- - https://github.com/ZeroChaos- - - - https://avatars.githubusercontent.com/u/5159728?s=40&v=4 - jmberg - https://github.com/jmberg - - - - - libsdl-org/SDL - https://github.com/libsdl-org/SDL - Simple Directmedia Layer - https://github.com/libsdl-org/SDL - C - #555555 - 10,169 - 1,857 - 398 - - - https://avatars.githubusercontent.com/u/2100061?s=40&v=4 - slouken - https://github.com/slouken - - - https://avatars.githubusercontent.com/u/673562?s=40&v=4 - icculus - https://github.com/icculus - - - https://avatars.githubusercontent.com/u/4222725?s=40&v=4 - sezero - https://github.com/sezero - - - https://avatars.githubusercontent.com/u/818728?s=40&v=4 - 1bsyl - https://github.com/1bsyl - - - https://avatars.githubusercontent.com/u/4138939?s=40&v=4 - madebr - https://github.com/madebr - - - pr3y/Bruce https://github.com/pr3y/Bruce @@ -185,40 +147,40 @@ - arendst/Tasmota - https://github.com/arendst/Tasmota - Alternative firmware for ESP8266 and ESP32 based devices with easy configuration using webUI, OTA updates, automation using timers or rules, expandability and entirely local control over MQTT, HTTP, Serial or KNX. Full documentation at - https://github.com/arendst/Tasmota + aircrack-ng/aircrack-ng + https://github.com/aircrack-ng/aircrack-ng + WiFi security auditing tools suite + https://github.com/aircrack-ng/aircrack-ng C #555555 - 22,243 - 4,814 - 155 + 5,504 + 954 + 132 - https://avatars.githubusercontent.com/u/11044339?s=40&v=4 - arendst - https://github.com/arendst + https://avatars.githubusercontent.com/u/3520734?s=40&v=4 + Mister-X- + https://github.com/Mister-X- - https://avatars.githubusercontent.com/u/49731213?s=40&v=4 - s-hadinger - https://github.com/s-hadinger + https://avatars.githubusercontent.com/u/73767?s=40&v=4 + jbenden + https://github.com/jbenden - https://avatars.githubusercontent.com/u/24528715?s=40&v=4 - Jason2866 - https://github.com/Jason2866 + https://avatars.githubusercontent.com/u/59890178?s=40&v=4 + gemesa + https://github.com/gemesa - https://avatars.githubusercontent.com/u/35405447?s=40&v=4 - ascillato - https://github.com/ascillato + https://avatars.githubusercontent.com/u/1670905?s=40&v=4 + ZeroChaos- + https://github.com/ZeroChaos- - https://avatars.githubusercontent.com/u/11647075?s=40&v=4 - gemu2015 - https://github.com/gemu2015 + https://avatars.githubusercontent.com/u/5159728?s=40&v=4 + jmberg + https://github.com/jmberg @@ -229,7 +191,7 @@ https://github.com/kingToolbox/WindTerm C #555555 - 23,653 + 23,657 1,812 649 @@ -253,7 +215,7 @@ C #555555 13,470 - 2,116 + 2,117 137 @@ -284,40 +246,40 @@ - Genymobile/scrcpy - https://github.com/Genymobile/scrcpy - Display and control your Android device - https://github.com/Genymobile/scrcpy + arendst/Tasmota + https://github.com/arendst/Tasmota + Alternative firmware for ESP8266 and ESP32 based devices with easy configuration using webUI, OTA updates, automation using timers or rules, expandability and entirely local control over MQTT, HTTP, Serial or KNX. Full documentation at + https://github.com/arendst/Tasmota C #555555 - 112,588 - 10,767 - 1,867 + 22,243 + 4,814 + 155 - https://avatars.githubusercontent.com/u/543275?s=40&v=4 - rom1v - https://github.com/rom1v + https://avatars.githubusercontent.com/u/11044339?s=40&v=4 + arendst + https://github.com/arendst - https://avatars.githubusercontent.com/u/1330321?s=40&v=4 - yume-chan - https://github.com/yume-chan + https://avatars.githubusercontent.com/u/49731213?s=40&v=4 + s-hadinger + https://github.com/s-hadinger - https://avatars.githubusercontent.com/u/5494432?s=40&v=4 - npes87184 - https://github.com/npes87184 + https://avatars.githubusercontent.com/u/24528715?s=40&v=4 + Jason2866 + https://github.com/Jason2866 - https://avatars.githubusercontent.com/u/639467?s=40&v=4 - brunoais - https://github.com/brunoais + https://avatars.githubusercontent.com/u/35405447?s=40&v=4 + ascillato + https://github.com/ascillato - https://avatars.githubusercontent.com/u/2694559?s=40&v=4 - rootkea - https://github.com/rootkea + https://avatars.githubusercontent.com/u/11647075?s=40&v=4 + gemu2015 + https://github.com/gemu2015 @@ -328,8 +290,8 @@ https://github.com/coolsnowwolf/lede C #555555 - 29,918 - 19,544 + 29,917 + 19,545 269 @@ -360,66 +322,104 @@ - pgvector/pgvector - https://github.com/pgvector/pgvector - Open-source vector similarity search for Postgres - https://github.com/pgvector/pgvector + Genymobile/scrcpy + https://github.com/Genymobile/scrcpy + Display and control your Android device + https://github.com/Genymobile/scrcpy C #555555 - 12,715 - 595 - 456 + 112,618 + 10,768 + 1,867 - https://avatars.githubusercontent.com/u/220358?s=40&v=4 - ankane - https://github.com/ankane + https://avatars.githubusercontent.com/u/543275?s=40&v=4 + rom1v + https://github.com/rom1v - https://avatars.githubusercontent.com/u/191602?s=40&v=4 - hlinnaka - https://github.com/hlinnaka + https://avatars.githubusercontent.com/u/1330321?s=40&v=4 + yume-chan + https://github.com/yume-chan - https://avatars.githubusercontent.com/u/1694?s=40&v=4 - jkatz - https://github.com/jkatz + https://avatars.githubusercontent.com/u/5494432?s=40&v=4 + npes87184 + https://github.com/npes87184 - https://avatars.githubusercontent.com/u/4647374?s=40&v=4 - Ngalstyan4 - https://github.com/Ngalstyan4 + https://avatars.githubusercontent.com/u/639467?s=40&v=4 + brunoais + https://github.com/brunoais - https://avatars.githubusercontent.com/u/4902937?s=40&v=4 - fanfuxiaoran - https://github.com/fanfuxiaoran + https://avatars.githubusercontent.com/u/2694559?s=40&v=4 + rootkea + https://github.com/rootkea - Klipper3d/klipper - https://github.com/Klipper3d/klipper - Klipper is a 3d-printer firmware - https://github.com/Klipper3d/klipper + xmrig/xmrig + https://github.com/xmrig/xmrig + RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark + https://github.com/xmrig/xmrig C #555555 - 9,565 - 5,337 - 144 + 8,801 + 3,464 + 108 - https://avatars.githubusercontent.com/u/3004890?s=40&v=4 - KevinOConnor - https://github.com/KevinOConnor + https://avatars.githubusercontent.com/u/27528955?s=40&v=4 + xmrig + https://github.com/xmrig - https://avatars.githubusercontent.com/u/9563098?s=40&v=4 - Arksine - https://github.com/Arksine + https://avatars.githubusercontent.com/u/15806605?s=40&v=4 + SChernykh + https://github.com/SChernykh - https://avatars.githubusercontent.com/u/53491797?s=40&v=4 + https://avatars.githubusercontent.com/u/2391234?s=40&v=4 + Spudz76 + https://github.com/Spudz76 + + + https://avatars.githubusercontent.com/u/8947059?s=40&v=4 + Foudge + https://github.com/Foudge + + + https://avatars.githubusercontent.com/u/490176?s=40&v=4 + pdxwebdev + https://github.com/pdxwebdev + + + + + Klipper3d/klipper + https://github.com/Klipper3d/klipper + Klipper is a 3d-printer firmware + https://github.com/Klipper3d/klipper + C + #555555 + 9,566 + 5,337 + 144 + + + https://avatars.githubusercontent.com/u/3004890?s=40&v=4 + KevinOConnor + https://github.com/KevinOConnor + + + https://avatars.githubusercontent.com/u/9563098?s=40&v=4 + Arksine + https://github.com/Arksine + + + https://avatars.githubusercontent.com/u/53491797?s=40&v=4 dmbutyugin https://github.com/dmbutyugin @@ -436,40 +436,40 @@ - xmrig/xmrig - https://github.com/xmrig/xmrig - RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark - https://github.com/xmrig/xmrig + pgvector/pgvector + https://github.com/pgvector/pgvector + Open-source vector similarity search for Postgres + https://github.com/pgvector/pgvector C #555555 - 8,793 - 3,462 - 108 + 12,720 + 597 + 456 - https://avatars.githubusercontent.com/u/27528955?s=40&v=4 - xmrig - https://github.com/xmrig + https://avatars.githubusercontent.com/u/220358?s=40&v=4 + ankane + https://github.com/ankane - https://avatars.githubusercontent.com/u/15806605?s=40&v=4 - SChernykh - https://github.com/SChernykh + https://avatars.githubusercontent.com/u/191602?s=40&v=4 + hlinnaka + https://github.com/hlinnaka - https://avatars.githubusercontent.com/u/2391234?s=40&v=4 - Spudz76 - https://github.com/Spudz76 + https://avatars.githubusercontent.com/u/1694?s=40&v=4 + jkatz + https://github.com/jkatz - https://avatars.githubusercontent.com/u/8947059?s=40&v=4 - Foudge - https://github.com/Foudge + https://avatars.githubusercontent.com/u/4647374?s=40&v=4 + Ngalstyan4 + https://github.com/Ngalstyan4 - https://avatars.githubusercontent.com/u/490176?s=40&v=4 - pdxwebdev - https://github.com/pdxwebdev + https://avatars.githubusercontent.com/u/4902937?s=40&v=4 + fanfuxiaoran + https://github.com/fanfuxiaoran @@ -511,77 +511,6 @@ - - raysan5/raylib - https://github.com/raysan5/raylib - A simple and easy-to-use library to enjoy videogames programming - https://github.com/raysan5/raylib - C - #555555 - 22,903 - 2,290 - 642 - - - https://avatars.githubusercontent.com/u/5766837?s=40&v=4 - raysan5 - https://github.com/raysan5 - - - https://avatars.githubusercontent.com/u/5204368?s=40&v=4 - a3f - https://github.com/a3f - - - https://avatars.githubusercontent.com/u/10688349?s=40&v=4 - victorfisac - https://github.com/victorfisac - - - https://avatars.githubusercontent.com/u/322174?s=40&v=4 - JeffM2501 - https://github.com/JeffM2501 - - - - - FelixKratz/SketchyBar - https://github.com/FelixKratz/SketchyBar - A highly customizable macOS status bar replacement - https://github.com/FelixKratz/SketchyBar - C - #555555 - 6,523 - 95 - 495 - - - https://avatars.githubusercontent.com/u/22680421?s=40&v=4 - FelixKratz - https://github.com/FelixKratz - - - https://avatars.githubusercontent.com/u/3392199?s=40&v=4 - cmacrae - https://github.com/cmacrae - - - https://avatars.githubusercontent.com/u/2715638?s=40&v=4 - somdoron - https://github.com/somdoron - - - https://avatars.githubusercontent.com/u/37021?s=40&v=4 - jraregris - https://github.com/jraregris - - - https://avatars.githubusercontent.com/u/33912936?s=40&v=4 - errose28 - https://github.com/errose28 - - - iNavFlight/inav https://github.com/iNavFlight/inav @@ -590,7 +519,7 @@ C #555555 3,207 - 1,488 + 1,489 60 @@ -621,40 +550,35 @@ - FreeRTOS/FreeRTOS-Kernel - https://github.com/FreeRTOS/FreeRTOS-Kernel - FreeRTOS kernel files only, submoduled into https://github.com/FreeRTOS/FreeRTOS and various other repos. - https://github.com/FreeRTOS/FreeRTOS-Kernel + raysan5/raylib + https://github.com/raysan5/raylib + A simple and easy-to-use library to enjoy videogames programming + https://github.com/raysan5/raylib C #555555 - 2,818 - 1,138 - 86 + 22,908 + 2,289 + 642 - https://avatars.githubusercontent.com/u/3073890?s=40&v=4 - RichardBarry - https://github.com/RichardBarry - - - https://avatars.githubusercontent.com/u/33462878?s=40&v=4 - aggarg - https://github.com/aggarg + https://avatars.githubusercontent.com/u/5766837?s=40&v=4 + raysan5 + https://github.com/raysan5 - https://avatars.githubusercontent.com/u/118818625?s=40&v=4 - kar-rahul-aws - https://github.com/kar-rahul-aws + https://avatars.githubusercontent.com/u/5204368?s=40&v=4 + a3f + https://github.com/a3f - https://avatars.githubusercontent.com/u/61685396?s=40&v=4 - chinglee-iot - https://github.com/chinglee-iot + https://avatars.githubusercontent.com/u/10688349?s=40&v=4 + victorfisac + https://github.com/victorfisac - https://avatars.githubusercontent.com/u/24749346?s=40&v=4 - Skptak - https://github.com/Skptak + https://avatars.githubusercontent.com/u/322174?s=40&v=4 + JeffM2501 + https://github.com/JeffM2501 @@ -665,7 +589,7 @@ https://github.com/istoreos/istoreos C #555555 - 5,100 + 5,105 535 207 @@ -696,44 +620,6 @@ - - u-boot/u-boot - https://github.com/u-boot/u-boot - "Das U-Boot" Source Tree - https://github.com/u-boot/u-boot - C - #555555 - 4,044 - 3,668 - 75 - - - https://avatars.githubusercontent.com/u/1708748?s=40&v=4 - sjg20 - https://github.com/sjg20 - - - https://avatars.githubusercontent.com/u/508192?s=40&v=4 - trini - https://github.com/trini - - - https://avatars.githubusercontent.com/u/2747104?s=40&v=4 - xypron - https://github.com/xypron - - - https://avatars.githubusercontent.com/u/3415389?s=40&v=4 - wdenx - https://github.com/wdenx - - - https://avatars.githubusercontent.com/u/781636?s=40&v=4 - masahir0y - https://github.com/masahir0y - - - openwrt/openwrt https://github.com/openwrt/openwrt @@ -741,7 +627,7 @@ https://github.com/openwrt/openwrt C #555555 - 20,456 + 20,463 10,545 414 @@ -772,5 +658,119 @@ + + FelixKratz/SketchyBar + https://github.com/FelixKratz/SketchyBar + A highly customizable macOS status bar replacement + https://github.com/FelixKratz/SketchyBar + C + #555555 + 6,529 + 95 + 495 + + + https://avatars.githubusercontent.com/u/22680421?s=40&v=4 + FelixKratz + https://github.com/FelixKratz + + + https://avatars.githubusercontent.com/u/3392199?s=40&v=4 + cmacrae + https://github.com/cmacrae + + + https://avatars.githubusercontent.com/u/2715638?s=40&v=4 + somdoron + https://github.com/somdoron + + + https://avatars.githubusercontent.com/u/37021?s=40&v=4 + jraregris + https://github.com/jraregris + + + https://avatars.githubusercontent.com/u/33912936?s=40&v=4 + errose28 + https://github.com/errose28 + + + + + nothings/stb + https://github.com/nothings/stb + stb single-file public domain libraries for C/C++ + https://github.com/nothings/stb + C + #555555 + 27,039 + 7,721 + 346 + + + https://avatars.githubusercontent.com/u/7525026?s=40&v=4 + nothings + https://github.com/nothings + + + https://avatars.githubusercontent.com/u/1077496?s=40&v=4 + rygorous + https://github.com/rygorous + + + https://avatars.githubusercontent.com/u/815310?s=40&v=4 + BSVino + https://github.com/BSVino + + + https://avatars.githubusercontent.com/u/8225057?s=40&v=4 + ocornut + https://github.com/ocornut + + + https://avatars.githubusercontent.com/u/7214365?s=40&v=4 + rwhitworth + https://github.com/rwhitworth + + + + + FreeRTOS/FreeRTOS-Kernel + https://github.com/FreeRTOS/FreeRTOS-Kernel + FreeRTOS kernel files only, submoduled into https://github.com/FreeRTOS/FreeRTOS and various other repos. + https://github.com/FreeRTOS/FreeRTOS-Kernel + C + #555555 + 2,819 + 1,138 + 86 + + + https://avatars.githubusercontent.com/u/3073890?s=40&v=4 + RichardBarry + https://github.com/RichardBarry + + + https://avatars.githubusercontent.com/u/33462878?s=40&v=4 + aggarg + https://github.com/aggarg + + + https://avatars.githubusercontent.com/u/118818625?s=40&v=4 + kar-rahul-aws + https://github.com/kar-rahul-aws + + + https://avatars.githubusercontent.com/u/61685396?s=40&v=4 + chinglee-iot + https://github.com/chinglee-iot + + + https://avatars.githubusercontent.com/u/24749346?s=40&v=4 + Skptak + https://github.com/Skptak + + + \ No newline at end of file diff --git a/data/monthly/c2hs-haskell.json b/data/monthly/c2hs-haskell.json index 35b5b24292b9..d5e9a67f25c6 100644 --- a/data/monthly/c2hs-haskell.json +++ b/data/monthly/c2hs-haskell.json @@ -2,6 +2,6 @@ "title": "GitHub C2hs-haskell Languages Monthly Trending", "description": "Monthly Trending of C2hs-haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/c2hs-haskell.xml b/data/monthly/c2hs-haskell.xml index e4be39e4d7b5..a8dc10006303 100644 --- a/data/monthly/c2hs-haskell.xml +++ b/data/monthly/c2hs-haskell.xml @@ -3,6 +3,6 @@ GitHub C2hs-haskell Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of C2hs-haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cabal-config.json b/data/monthly/cabal-config.json index 28d60aa0c66d..db6434c890d8 100644 --- a/data/monthly/cabal-config.json +++ b/data/monthly/cabal-config.json @@ -2,6 +2,6 @@ "title": "GitHub Cabal-config Languages Monthly Trending", "description": "Monthly Trending of Cabal-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cabal-config.xml b/data/monthly/cabal-config.xml index 12f883651b75..a72a8fab8131 100644 --- a/data/monthly/cabal-config.xml +++ b/data/monthly/cabal-config.xml @@ -3,6 +3,6 @@ GitHub Cabal-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cabal-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/caddyfile.json b/data/monthly/caddyfile.json index cfe15e2d326a..18ffc1603ced 100644 --- a/data/monthly/caddyfile.json +++ b/data/monthly/caddyfile.json @@ -2,6 +2,6 @@ "title": "GitHub Caddyfile Languages Monthly Trending", "description": "Monthly Trending of Caddyfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/caddyfile.xml b/data/monthly/caddyfile.xml index 7e69bdc9cca7..4dc64e2df418 100644 --- a/data/monthly/caddyfile.xml +++ b/data/monthly/caddyfile.xml @@ -3,6 +3,6 @@ GitHub Caddyfile Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Caddyfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cadence.json b/data/monthly/cadence.json index 5347c6242954..f82d3e19753f 100644 --- a/data/monthly/cadence.json +++ b/data/monthly/cadence.json @@ -2,6 +2,6 @@ "title": "GitHub Cadence Languages Monthly Trending", "description": "Monthly Trending of Cadence Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cadence.xml b/data/monthly/cadence.xml index dc1813400ba9..f8817eab4c24 100644 --- a/data/monthly/cadence.xml +++ b/data/monthly/cadence.xml @@ -3,6 +3,6 @@ GitHub Cadence Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cadence Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cairo.json b/data/monthly/cairo.json index d997616a2d67..08683474b393 100644 --- a/data/monthly/cairo.json +++ b/data/monthly/cairo.json @@ -2,7 +2,7 @@ "title": "GitHub Cairo Languages Monthly Trending", "description": "Monthly Trending of Cairo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "kkrt-labs/kakarot", diff --git a/data/monthly/cairo.xml b/data/monthly/cairo.xml index 6319075ffd53..dbb97b32b7cf 100644 --- a/data/monthly/cairo.xml +++ b/data/monthly/cairo.xml @@ -3,7 +3,7 @@ GitHub Cairo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cairo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT kkrt-labs/kakarot https://github.com/kkrt-labs/kakarot diff --git a/data/monthly/cameligo.json b/data/monthly/cameligo.json index 458398216751..5234ff4e88dd 100644 --- a/data/monthly/cameligo.json +++ b/data/monthly/cameligo.json @@ -2,6 +2,6 @@ "title": "GitHub Cameligo Languages Monthly Trending", "description": "Monthly Trending of Cameligo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cameligo.xml b/data/monthly/cameligo.xml index 4a29955afe0e..21c494b53961 100644 --- a/data/monthly/cameligo.xml +++ b/data/monthly/cameligo.xml @@ -3,6 +3,6 @@ GitHub Cameligo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cameligo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cap'n-proto.json b/data/monthly/cap'n-proto.json index 711d8505d6d3..99997112d5da 100644 --- a/data/monthly/cap'n-proto.json +++ b/data/monthly/cap'n-proto.json @@ -2,6 +2,6 @@ "title": "GitHub Cap'n-proto Languages Monthly Trending", "description": "Monthly Trending of Cap'n-proto Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cap'n-proto.xml b/data/monthly/cap'n-proto.xml index fd754f7b338a..7a850722e49f 100644 --- a/data/monthly/cap'n-proto.xml +++ b/data/monthly/cap'n-proto.xml @@ -3,6 +3,6 @@ GitHub Cap'n-proto Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cap'n-proto Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cap-cds.json b/data/monthly/cap-cds.json index 3c216e31a356..9352ddfb7b75 100644 --- a/data/monthly/cap-cds.json +++ b/data/monthly/cap-cds.json @@ -2,7 +2,7 @@ "title": "GitHub Cap-cds Languages Monthly Trending", "description": "Monthly Trending of Cap-cds Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "SAP-samples/fiori-elements-feature-showcase", diff --git a/data/monthly/cap-cds.xml b/data/monthly/cap-cds.xml index 6eb29c6e2026..ee980aa6ac49 100644 --- a/data/monthly/cap-cds.xml +++ b/data/monthly/cap-cds.xml @@ -3,7 +3,7 @@ GitHub Cap-cds Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cap-cds Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT SAP-samples/fiori-elements-feature-showcase https://github.com/SAP-samples/fiori-elements-feature-showcase diff --git a/data/monthly/cartocss.json b/data/monthly/cartocss.json index bac8322981d8..9a6babe58220 100644 --- a/data/monthly/cartocss.json +++ b/data/monthly/cartocss.json @@ -2,7 +2,7 @@ "title": "GitHub Cartocss Languages Monthly Trending", "description": "Monthly Trending of Cartocss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gravitystorm/openstreetmap-carto", diff --git a/data/monthly/cartocss.xml b/data/monthly/cartocss.xml index ce4d67a4ed73..f9e22418b43d 100644 --- a/data/monthly/cartocss.xml +++ b/data/monthly/cartocss.xml @@ -3,7 +3,7 @@ GitHub Cartocss Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cartocss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gravitystorm/openstreetmap-carto https://github.com/gravitystorm/openstreetmap-carto diff --git a/data/monthly/ceylon.json b/data/monthly/ceylon.json index 909f3de12ff3..6352965521dd 100644 --- a/data/monthly/ceylon.json +++ b/data/monthly/ceylon.json @@ -2,6 +2,6 @@ "title": "GitHub Ceylon Languages Monthly Trending", "description": "Monthly Trending of Ceylon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ceylon.xml b/data/monthly/ceylon.xml index 5c743f10f9a0..c6ac7b1c3dbe 100644 --- a/data/monthly/ceylon.xml +++ b/data/monthly/ceylon.xml @@ -3,6 +3,6 @@ GitHub Ceylon Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ceylon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/chapel.json b/data/monthly/chapel.json index ec8f17bb7147..e5c32d601dd0 100644 --- a/data/monthly/chapel.json +++ b/data/monthly/chapel.json @@ -2,6 +2,6 @@ "title": "GitHub Chapel Languages Monthly Trending", "description": "Monthly Trending of Chapel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/chapel.xml b/data/monthly/chapel.xml index 4f3b8e269f7c..2d8fa2a2ee4f 100644 --- a/data/monthly/chapel.xml +++ b/data/monthly/chapel.xml @@ -3,6 +3,6 @@ GitHub Chapel Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Chapel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/charity.json b/data/monthly/charity.json index 1d260721419a..715fa1201f50 100644 --- a/data/monthly/charity.json +++ b/data/monthly/charity.json @@ -2,6 +2,6 @@ "title": "GitHub Charity Languages Monthly Trending", "description": "Monthly Trending of Charity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/charity.xml b/data/monthly/charity.xml index ada5fad28de9..f78e04fef2e8 100644 --- a/data/monthly/charity.xml +++ b/data/monthly/charity.xml @@ -3,6 +3,6 @@ GitHub Charity Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Charity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/checksums.json b/data/monthly/checksums.json index 0292d0b729c5..ce059fe2da83 100644 --- a/data/monthly/checksums.json +++ b/data/monthly/checksums.json @@ -2,6 +2,6 @@ "title": "GitHub Checksums Languages Monthly Trending", "description": "Monthly Trending of Checksums Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/checksums.xml b/data/monthly/checksums.xml index 5f42b6470b3f..65bdd991cbd2 100644 --- a/data/monthly/checksums.xml +++ b/data/monthly/checksums.xml @@ -3,6 +3,6 @@ GitHub Checksums Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Checksums Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/chuck.json b/data/monthly/chuck.json index dd5db8698495..db41157c372c 100644 --- a/data/monthly/chuck.json +++ b/data/monthly/chuck.json @@ -2,6 +2,6 @@ "title": "GitHub Chuck Languages Monthly Trending", "description": "Monthly Trending of Chuck Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/chuck.xml b/data/monthly/chuck.xml index 594cd1920ea5..a0268418b304 100644 --- a/data/monthly/chuck.xml +++ b/data/monthly/chuck.xml @@ -3,6 +3,6 @@ GitHub Chuck Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Chuck Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cil.json b/data/monthly/cil.json index 7092efd5448e..4b77cc905e6f 100644 --- a/data/monthly/cil.json +++ b/data/monthly/cil.json @@ -2,6 +2,6 @@ "title": "GitHub Cil Languages Monthly Trending", "description": "Monthly Trending of Cil Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cil.xml b/data/monthly/cil.xml index aab1382d1d25..41231862c369 100644 --- a/data/monthly/cil.xml +++ b/data/monthly/cil.xml @@ -3,6 +3,6 @@ GitHub Cil Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cil Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/circom.json b/data/monthly/circom.json index 9d66435447d0..94dcc357fca5 100644 --- a/data/monthly/circom.json +++ b/data/monthly/circom.json @@ -2,44 +2,6 @@ "title": "GitHub Circom Languages Monthly Trending", "description": "Monthly Trending of Circom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "iden3/circomlib", - "url": "https://github.com/iden3/circomlib", - "description": "Library of basic circuits for circom", - "language": "Circom", - "languageColor": "#707575", - "stars": "623", - "forks": "213", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4180156?s=40&v=4", - "name": "jbaylina", - "url": "https://github.com/jbaylina" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3520024?s=40&v=4", - "name": "kobigurk", - "url": "https://github.com/kobigurk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34064782?s=40&v=4", - "name": "alrubio", - "url": "https://github.com/alrubio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17317030?s=40&v=4", - "name": "arnaucube", - "url": "https://github.com/arnaucube" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4456749?s=40&v=4", - "name": "brickpop", - "url": "https://github.com/brickpop" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/monthly/circom.xml b/data/monthly/circom.xml index 04b754720d2b..9c96e18de263 100644 --- a/data/monthly/circom.xml +++ b/data/monthly/circom.xml @@ -3,44 +3,6 @@ GitHub Circom Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Circom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - iden3/circomlib - https://github.com/iden3/circomlib - Library of basic circuits for circom - https://github.com/iden3/circomlib - Circom - #707575 - 623 - 213 - 10 - - - https://avatars.githubusercontent.com/u/4180156?s=40&v=4 - jbaylina - https://github.com/jbaylina - - - https://avatars.githubusercontent.com/u/3520024?s=40&v=4 - kobigurk - https://github.com/kobigurk - - - https://avatars.githubusercontent.com/u/34064782?s=40&v=4 - alrubio - https://github.com/alrubio - - - https://avatars.githubusercontent.com/u/17317030?s=40&v=4 - arnaucube - https://github.com/arnaucube - - - https://avatars.githubusercontent.com/u/4456749?s=40&v=4 - brickpop - https://github.com/brickpop - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cirru.json b/data/monthly/cirru.json index dcae0747bcaa..dd37ba67929e 100644 --- a/data/monthly/cirru.json +++ b/data/monthly/cirru.json @@ -2,6 +2,6 @@ "title": "GitHub Cirru Languages Monthly Trending", "description": "Monthly Trending of Cirru Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cirru.xml b/data/monthly/cirru.xml index 4c2474955d17..d4c551481fd7 100644 --- a/data/monthly/cirru.xml +++ b/data/monthly/cirru.xml @@ -3,6 +3,6 @@ GitHub Cirru Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cirru Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/clarion.json b/data/monthly/clarion.json index f1d6a7a3aa1d..89accd8b38fd 100644 --- a/data/monthly/clarion.json +++ b/data/monthly/clarion.json @@ -2,6 +2,6 @@ "title": "GitHub Clarion Languages Monthly Trending", "description": "Monthly Trending of Clarion Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/clarion.xml b/data/monthly/clarion.xml index 8834f7cb274d..8bcc3c0c4ed7 100644 --- a/data/monthly/clarion.xml +++ b/data/monthly/clarion.xml @@ -3,6 +3,6 @@ GitHub Clarion Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Clarion Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/clarity.json b/data/monthly/clarity.json index af273c8f3ba2..b25d07d07c03 100644 --- a/data/monthly/clarity.json +++ b/data/monthly/clarity.json @@ -2,6 +2,6 @@ "title": "GitHub Clarity Languages Monthly Trending", "description": "Monthly Trending of Clarity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/clarity.xml b/data/monthly/clarity.xml index 6a11ca1ed45a..2e8bd106d678 100644 --- a/data/monthly/clarity.xml +++ b/data/monthly/clarity.xml @@ -3,6 +3,6 @@ GitHub Clarity Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Clarity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/classic-asp.json b/data/monthly/classic-asp.json index 7cca22118e30..0172004aae1c 100644 --- a/data/monthly/classic-asp.json +++ b/data/monthly/classic-asp.json @@ -2,6 +2,6 @@ "title": "GitHub Classic-asp Languages Monthly Trending", "description": "Monthly Trending of Classic-asp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/classic-asp.xml b/data/monthly/classic-asp.xml index 6fa8d0da23d9..e4855688d932 100644 --- a/data/monthly/classic-asp.xml +++ b/data/monthly/classic-asp.xml @@ -3,6 +3,6 @@ GitHub Classic-asp Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Classic-asp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/clean.json b/data/monthly/clean.json index 0b6a8c297d5f..bee010562db7 100644 --- a/data/monthly/clean.json +++ b/data/monthly/clean.json @@ -2,6 +2,6 @@ "title": "GitHub Clean Languages Monthly Trending", "description": "Monthly Trending of Clean Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/clean.xml b/data/monthly/clean.xml index 5598a6b8b88f..472c0f80a387 100644 --- a/data/monthly/clean.xml +++ b/data/monthly/clean.xml @@ -3,6 +3,6 @@ GitHub Clean Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Clean Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/click.json b/data/monthly/click.json index 6f17b805b34b..9bc4713c21b6 100644 --- a/data/monthly/click.json +++ b/data/monthly/click.json @@ -2,6 +2,6 @@ "title": "GitHub Click Languages Monthly Trending", "description": "Monthly Trending of Click Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/click.xml b/data/monthly/click.xml index a58230b7d424..fcad88c95ab9 100644 --- a/data/monthly/click.xml +++ b/data/monthly/click.xml @@ -3,6 +3,6 @@ GitHub Click Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Click Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/clips.json b/data/monthly/clips.json index 6223fdc12467..191d87ece568 100644 --- a/data/monthly/clips.json +++ b/data/monthly/clips.json @@ -2,6 +2,6 @@ "title": "GitHub Clips Languages Monthly Trending", "description": "Monthly Trending of Clips Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/clips.xml b/data/monthly/clips.xml index b552a294a259..1888d1de4cfd 100644 --- a/data/monthly/clips.xml +++ b/data/monthly/clips.xml @@ -3,6 +3,6 @@ GitHub Clips Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Clips Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/clojure.json b/data/monthly/clojure.json index da20e7e44c63..686f0e064906 100644 --- a/data/monthly/clojure.json +++ b/data/monthly/clojure.json @@ -2,7 +2,7 @@ "title": "GitHub Clojure Languages Monthly Trending", "description": "Monthly Trending of Clojure Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "logseq/logseq", @@ -10,8 +10,8 @@ "description": "A privacy-first, open-source platform for knowledge management and collaboration. Download link: http://github.com/logseq/logseq/releases. roadmap: http://trello.com/b/8txSM12G/roadmap", "language": "Clojure", "languageColor": "#db5855", - "stars": "33,193", - "forks": "1,940", + "stars": "33,199", + "forks": "1,941", "addStars": "646", "contributors": [ { @@ -41,13 +41,50 @@ } ] }, + { + "title": "metabase/metabase", + "url": "https://github.com/metabase/metabase", + "description": "The simplest, fastest way to get business intelligence and analytics to everyone in your company 😋", + "language": "Clojure", + "languageColor": "#db5855", + "stars": "38,890", + "forks": "5,168", + "addStars": "424", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1455846?s=40&v=4", + "name": "camsaul", + "url": "https://github.com/camsaul" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18193?s=40&v=4", + "name": "tlrobinson", + "url": "https://github.com/tlrobinson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1987787?s=40&v=4", + "name": "agilliland", + "url": "https://github.com/agilliland" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31325167?s=40&v=4", + "name": "nemanjaglumac", + "url": "https://github.com/nemanjaglumac" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5248953?s=40&v=4", + "name": "kdoh", + "url": "https://github.com/kdoh" + } + ] + }, { "title": "tonsky/FiraCode", "url": "https://github.com/tonsky/FiraCode", "description": "Free monospaced font with programming ligatures", "language": "Clojure", "languageColor": "#db5855", - "stars": "77,385", + "stars": "77,388", "forks": "3,106", "addStars": "395", "contributors": [ @@ -78,50 +115,13 @@ } ] }, - { - "title": "metabase/metabase", - "url": "https://github.com/metabase/metabase", - "description": "The simplest, fastest way to get business intelligence and analytics to everyone in your company 😋", - "language": "Clojure", - "languageColor": "#db5855", - "stars": "38,884", - "forks": "5,167", - "addStars": "424", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1455846?s=40&v=4", - "name": "camsaul", - "url": "https://github.com/camsaul" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18193?s=40&v=4", - "name": "tlrobinson", - "url": "https://github.com/tlrobinson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1987787?s=40&v=4", - "name": "agilliland", - "url": "https://github.com/agilliland" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31325167?s=40&v=4", - "name": "nemanjaglumac", - "url": "https://github.com/nemanjaglumac" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5248953?s=40&v=4", - "name": "kdoh", - "url": "https://github.com/kdoh" - } - ] - }, { "title": "penpot/penpot", "url": "https://github.com/penpot/penpot", "description": "Penpot: The open-source design tool for design and code collaboration", "language": "Clojure", "languageColor": "#db5855", - "stars": "33,895", + "stars": "33,898", "forks": "1,715", "addStars": "667", "contributors": [ @@ -195,7 +195,7 @@ "description": "A framework for distributed systems verification, with fault injection", "language": "Clojure", "languageColor": "#db5855", - "stars": "6,841", + "stars": "6,842", "forks": "718", "addStars": "48", "contributors": [ @@ -226,43 +226,6 @@ } ] }, - { - "title": "elastic/stream2es", - "url": "https://github.com/elastic/stream2es", - "description": "Stream data into ES (Wikipedia, Twitter, stdin, or other ESes)", - "language": "Clojure", - "languageColor": "#db5855", - "stars": "356", - "forks": "60", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6202?s=40&v=4", - "name": "drewr", - "url": "https://github.com/drewr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/868236?s=40&v=4", - "name": "Murhaf", - "url": "https://github.com/Murhaf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/973334?s=40&v=4", - "name": "s1monw", - "url": "https://github.com/s1monw" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19060?s=40&v=4", - "name": "dakrone", - "url": "https://github.com/dakrone" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1639862?s=40&v=4", - "name": "BrunoBonacci", - "url": "https://github.com/BrunoBonacci" - } - ] - }, { "title": "elastic/es2unix", "url": "https://github.com/elastic/es2unix", @@ -296,71 +259,39 @@ ] }, { - "title": "nubank/clj-github", - "url": "https://github.com/nubank/clj-github", - "description": "A Clojure library for interacting with the github developer API", + "title": "elastic/stream2es", + "url": "https://github.com/elastic/stream2es", + "description": "Stream data into ES (Wikipedia, Twitter, stdin, or other ESes)", "language": "Clojure", "languageColor": "#db5855", - "stars": "66", - "forks": "4", - "addStars": "0", + "stars": "356", + "forks": "60", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/94817?s=40&v=4", - "name": "philomates", - "url": "https://github.com/philomates" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/458881?s=40&v=4", - "name": "rfhayashi", - "url": "https://github.com/rfhayashi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10342519?s=40&v=4", - "name": "franpilot", - "url": "https://github.com/franpilot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1164892?s=40&v=4", - "name": "andrepoleza", - "url": "https://github.com/andrepoleza" + "avatar": "https://avatars.githubusercontent.com/u/6202?s=40&v=4", + "name": "drewr", + "url": "https://github.com/drewr" }, { - "avatar": "https://avatars.githubusercontent.com/u/52660?s=40&v=4", - "name": "hlship", - "url": "https://github.com/hlship" - } - ] - }, - { - "title": "nubank/workspaces", - "url": "https://github.com/nubank/workspaces", - "description": "Live development environment for Clojurescript", - "language": "Clojure", - "languageColor": "#db5855", - "stars": "507", - "forks": "23", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25736?s=40&v=4", - "name": "wilkerlucio", - "url": "https://github.com/wilkerlucio" + "avatar": "https://avatars.githubusercontent.com/u/868236?s=40&v=4", + "name": "Murhaf", + "url": "https://github.com/Murhaf" }, { - "avatar": "https://avatars.githubusercontent.com/u/4316501?s=40&v=4", - "name": "felipethome", - "url": "https://github.com/felipethome" + "avatar": "https://avatars.githubusercontent.com/u/973334?s=40&v=4", + "name": "s1monw", + "url": "https://github.com/s1monw" }, { - "avatar": "https://avatars.githubusercontent.com/u/879911?s=40&v=4", - "name": "IsabelaY", - "url": "https://github.com/IsabelaY" + "avatar": "https://avatars.githubusercontent.com/u/19060?s=40&v=4", + "name": "dakrone", + "url": "https://github.com/dakrone" }, { - "avatar": "https://avatars.githubusercontent.com/u/7820865?s=40&v=4", - "name": "ericdallo", - "url": "https://github.com/ericdallo" + "avatar": "https://avatars.githubusercontent.com/u/1639862?s=40&v=4", + "name": "BrunoBonacci", + "url": "https://github.com/BrunoBonacci" } ] }, diff --git a/data/monthly/clojure.xml b/data/monthly/clojure.xml index 65313a6ea392..b788ee27bdc8 100644 --- a/data/monthly/clojure.xml +++ b/data/monthly/clojure.xml @@ -3,7 +3,7 @@ GitHub Clojure Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Clojure Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT logseq/logseq https://github.com/logseq/logseq @@ -11,8 +11,8 @@ https://github.com/logseq/logseq Clojure #db5855 - 33,193 - 1,940 + 33,199 + 1,941 646 @@ -42,6 +42,44 @@ + + metabase/metabase + https://github.com/metabase/metabase + The simplest, fastest way to get business intelligence and analytics to everyone in your company 😋 + https://github.com/metabase/metabase + Clojure + #db5855 + 38,890 + 5,168 + 424 + + + https://avatars.githubusercontent.com/u/1455846?s=40&v=4 + camsaul + https://github.com/camsaul + + + https://avatars.githubusercontent.com/u/18193?s=40&v=4 + tlrobinson + https://github.com/tlrobinson + + + https://avatars.githubusercontent.com/u/1987787?s=40&v=4 + agilliland + https://github.com/agilliland + + + https://avatars.githubusercontent.com/u/31325167?s=40&v=4 + nemanjaglumac + https://github.com/nemanjaglumac + + + https://avatars.githubusercontent.com/u/5248953?s=40&v=4 + kdoh + https://github.com/kdoh + + + tonsky/FiraCode https://github.com/tonsky/FiraCode @@ -49,7 +87,7 @@ https://github.com/tonsky/FiraCode Clojure #db5855 - 77,385 + 77,388 3,106 395 @@ -80,44 +118,6 @@ - - metabase/metabase - https://github.com/metabase/metabase - The simplest, fastest way to get business intelligence and analytics to everyone in your company 😋 - https://github.com/metabase/metabase - Clojure - #db5855 - 38,884 - 5,167 - 424 - - - https://avatars.githubusercontent.com/u/1455846?s=40&v=4 - camsaul - https://github.com/camsaul - - - https://avatars.githubusercontent.com/u/18193?s=40&v=4 - tlrobinson - https://github.com/tlrobinson - - - https://avatars.githubusercontent.com/u/1987787?s=40&v=4 - agilliland - https://github.com/agilliland - - - https://avatars.githubusercontent.com/u/31325167?s=40&v=4 - nemanjaglumac - https://github.com/nemanjaglumac - - - https://avatars.githubusercontent.com/u/5248953?s=40&v=4 - kdoh - https://github.com/kdoh - - - penpot/penpot https://github.com/penpot/penpot @@ -125,7 +125,7 @@ https://github.com/penpot/penpot Clojure #db5855 - 33,895 + 33,898 1,715 667 @@ -201,7 +201,7 @@ https://github.com/jepsen-io/jepsen Clojure #db5855 - 6,841 + 6,842 718 48 @@ -232,44 +232,6 @@ - - elastic/stream2es - https://github.com/elastic/stream2es - Stream data into ES (Wikipedia, Twitter, stdin, or other ESes) - https://github.com/elastic/stream2es - Clojure - #db5855 - 356 - 60 - 1 - - - https://avatars.githubusercontent.com/u/6202?s=40&v=4 - drewr - https://github.com/drewr - - - https://avatars.githubusercontent.com/u/868236?s=40&v=4 - Murhaf - https://github.com/Murhaf - - - https://avatars.githubusercontent.com/u/973334?s=40&v=4 - s1monw - https://github.com/s1monw - - - https://avatars.githubusercontent.com/u/19060?s=40&v=4 - dakrone - https://github.com/dakrone - - - https://avatars.githubusercontent.com/u/1639862?s=40&v=4 - BrunoBonacci - https://github.com/BrunoBonacci - - - elastic/es2unix https://github.com/elastic/es2unix @@ -304,73 +266,40 @@ - nubank/clj-github - https://github.com/nubank/clj-github - A Clojure library for interacting with the github developer API - https://github.com/nubank/clj-github + elastic/stream2es + https://github.com/elastic/stream2es + Stream data into ES (Wikipedia, Twitter, stdin, or other ESes) + https://github.com/elastic/stream2es Clojure #db5855 - 66 - 4 - 0 + 356 + 60 + 1 - https://avatars.githubusercontent.com/u/94817?s=40&v=4 - philomates - https://github.com/philomates - - - https://avatars.githubusercontent.com/u/458881?s=40&v=4 - rfhayashi - https://github.com/rfhayashi - - - https://avatars.githubusercontent.com/u/10342519?s=40&v=4 - franpilot - https://github.com/franpilot - - - https://avatars.githubusercontent.com/u/1164892?s=40&v=4 - andrepoleza - https://github.com/andrepoleza + https://avatars.githubusercontent.com/u/6202?s=40&v=4 + drewr + https://github.com/drewr - https://avatars.githubusercontent.com/u/52660?s=40&v=4 - hlship - https://github.com/hlship - - - - - nubank/workspaces - https://github.com/nubank/workspaces - Live development environment for Clojurescript - https://github.com/nubank/workspaces - Clojure - #db5855 - 507 - 23 - 0 - - - https://avatars.githubusercontent.com/u/25736?s=40&v=4 - wilkerlucio - https://github.com/wilkerlucio + https://avatars.githubusercontent.com/u/868236?s=40&v=4 + Murhaf + https://github.com/Murhaf - https://avatars.githubusercontent.com/u/4316501?s=40&v=4 - felipethome - https://github.com/felipethome + https://avatars.githubusercontent.com/u/973334?s=40&v=4 + s1monw + https://github.com/s1monw - https://avatars.githubusercontent.com/u/879911?s=40&v=4 - IsabelaY - https://github.com/IsabelaY + https://avatars.githubusercontent.com/u/19060?s=40&v=4 + dakrone + https://github.com/dakrone - https://avatars.githubusercontent.com/u/7820865?s=40&v=4 - ericdallo - https://github.com/ericdallo + https://avatars.githubusercontent.com/u/1639862?s=40&v=4 + BrunoBonacci + https://github.com/BrunoBonacci diff --git a/data/monthly/closure-templates.json b/data/monthly/closure-templates.json index 6c24d52ef838..8f0cbfc2cabb 100644 --- a/data/monthly/closure-templates.json +++ b/data/monthly/closure-templates.json @@ -2,6 +2,6 @@ "title": "GitHub Closure-templates Languages Monthly Trending", "description": "Monthly Trending of Closure-templates Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/closure-templates.xml b/data/monthly/closure-templates.xml index aafbb407960a..07f2f2bdbf5b 100644 --- a/data/monthly/closure-templates.xml +++ b/data/monthly/closure-templates.xml @@ -3,6 +3,6 @@ GitHub Closure-templates Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Closure-templates Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cloud-firestore-security-rules.json b/data/monthly/cloud-firestore-security-rules.json index c2b86096dd91..c7035b9b10a1 100644 --- a/data/monthly/cloud-firestore-security-rules.json +++ b/data/monthly/cloud-firestore-security-rules.json @@ -2,6 +2,6 @@ "title": "GitHub Cloud-firestore-security-rules Languages Monthly Trending", "description": "Monthly Trending of Cloud-firestore-security-rules Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cloud-firestore-security-rules.xml b/data/monthly/cloud-firestore-security-rules.xml index 68a4c727ceee..eb9212345487 100644 --- a/data/monthly/cloud-firestore-security-rules.xml +++ b/data/monthly/cloud-firestore-security-rules.xml @@ -3,6 +3,6 @@ GitHub Cloud-firestore-security-rules Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cloud-firestore-security-rules Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cmake.json b/data/monthly/cmake.json index 18f7798015e4..c3de1980e4cc 100644 --- a/data/monthly/cmake.json +++ b/data/monthly/cmake.json @@ -2,7 +2,7 @@ "title": "GitHub Cmake Languages Monthly Trending", "description": "Monthly Trending of Cmake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/vcpkg", @@ -10,8 +10,8 @@ "description": "C++ Library Manager for Windows, Linux, and MacOS", "language": "CMake", "languageColor": "#DA3434", - "stars": "23,330", - "forks": "6,445", + "stars": "23,332", + "forks": "6,447", "addStars": "319", "contributors": [ { @@ -47,8 +47,8 @@ "description": "Standard Open Arm 100", "language": "CMake", "languageColor": "#DA3434", - "stars": "403", - "forks": "28", + "stars": "405", + "forks": "29", "addStars": "196", "contributors": [ { @@ -73,13 +73,50 @@ } ] }, + { + "title": "TheLartians/ModernCppStarter", + "url": "https://github.com/TheLartians/ModernCppStarter", + "description": "🚀 Kick-start your C++! A template for modern C++ projects using CMake, CI, code coverage, clang-format, reproducible dependency management and much more.", + "language": "CMake", + "languageColor": "#DA3434", + "stars": "4,498", + "forks": "390", + "addStars": "83", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4437447?s=40&v=4", + "name": "TheLartians", + "url": "https://github.com/TheLartians" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63265?s=40&v=4", + "name": "hazelnusse", + "url": "https://github.com/hazelnusse" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1833050?s=40&v=4", + "name": "ClausKlein", + "url": "https://github.com/ClausKlein" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/814322?s=40&v=4", + "name": "vsoch", + "url": "https://github.com/vsoch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1736896?s=40&v=4", + "name": "friendlyanon", + "url": "https://github.com/friendlyanon" + } + ] + }, { "title": "corrosion-rs/corrosion", "url": "https://github.com/corrosion-rs/corrosion", "description": "Marrying Rust and CMake - Easy Rust and C/C++ Integration!", "language": "CMake", "languageColor": "#DA3434", - "stars": "1,101", + "stars": "1,102", "forks": "106", "addStars": "22", "contributors": [ @@ -147,43 +184,6 @@ } ] }, - { - "title": "TheLartians/ModernCppStarter", - "url": "https://github.com/TheLartians/ModernCppStarter", - "description": "🚀 Kick-start your C++! A template for modern C++ projects using CMake, CI, code coverage, clang-format, reproducible dependency management and much more.", - "language": "CMake", - "languageColor": "#DA3434", - "stars": "4,498", - "forks": "389", - "addStars": "83", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4437447?s=40&v=4", - "name": "TheLartians", - "url": "https://github.com/TheLartians" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/63265?s=40&v=4", - "name": "hazelnusse", - "url": "https://github.com/hazelnusse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1833050?s=40&v=4", - "name": "ClausKlein", - "url": "https://github.com/ClausKlein" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/814322?s=40&v=4", - "name": "vsoch", - "url": "https://github.com/vsoch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1736896?s=40&v=4", - "name": "friendlyanon", - "url": "https://github.com/friendlyanon" - } - ] - }, { "title": "leetal/ios-cmake", "url": "https://github.com/leetal/ios-cmake", @@ -221,70 +221,6 @@ } ] }, - { - "title": "shinchiro/mpv-winbuild-cmake", - "url": "https://github.com/shinchiro/mpv-winbuild-cmake", - "description": "CMake-based MinGW-w64 Cross Toolchain", - "language": "CMake", - "languageColor": "#DA3434", - "stars": "661", - "forks": "118", - "addStars": "45", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9623615?s=40&v=4", - "name": "shinchiro", - "url": "https://github.com/shinchiro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/652892?s=40&v=4", - "name": "mia-0", - "url": "https://github.com/mia-0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/144242044?s=40&v=4", - "name": "Andarwinux", - "url": "https://github.com/Andarwinux" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11155705?s=40&v=4", - "name": "zhongfly", - "url": "https://github.com/zhongfly" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17702502?s=40&v=4", - "name": "myfreeer", - "url": "https://github.com/myfreeer" - } - ] - }, - { - "title": "ros-controls/control_msgs", - "url": "https://github.com/ros-controls/control_msgs", - "description": "contains base messages and actions useful for controlling robots", - "language": "CMake", - "languageColor": "#DA3434", - "stars": "53", - "forks": "64", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3524577?s=40&v=4", - "name": "bmagyar", - "url": "https://github.com/bmagyar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3367244?s=40&v=4", - "name": "christophfroehlich", - "url": "https://github.com/christophfroehlich" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1918204?s=40&v=4", - "name": "destogl", - "url": "https://github.com/destogl" - } - ] - }, { "title": "ros-planning/navigation_msgs", "url": "https://github.com/ros-planning/navigation_msgs", @@ -323,39 +259,29 @@ ] }, { - "title": "GEOS-ESM/GEOSgcm", - "url": "https://github.com/GEOS-ESM/GEOSgcm", - "description": "GEOS Earth System Model GEOSgcm Fixture", + "title": "ros-controls/control_msgs", + "url": "https://github.com/ros-controls/control_msgs", + "description": "contains base messages and actions useful for controlling robots", "language": "CMake", "languageColor": "#DA3434", - "stars": "36", - "forks": "13", - "addStars": "1", + "stars": "53", + "forks": "64", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/53346946?s=40&v=4", - "name": "sdrabenh", - "url": "https://github.com/sdrabenh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2982494?s=40&v=4", - "name": "mathomp4", - "url": "https://github.com/mathomp4" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18061653?s=40&v=4", - "name": "sanAkel", - "url": "https://github.com/sanAkel" + "avatar": "https://avatars.githubusercontent.com/u/3524577?s=40&v=4", + "name": "bmagyar", + "url": "https://github.com/bmagyar" }, { - "avatar": "https://avatars.githubusercontent.com/u/36893871?s=40&v=4", - "name": "bena-nasa", - "url": "https://github.com/bena-nasa" + "avatar": "https://avatars.githubusercontent.com/u/3367244?s=40&v=4", + "name": "christophfroehlich", + "url": "https://github.com/christophfroehlich" }, { - "avatar": "https://avatars.githubusercontent.com/u/47391100?s=40&v=4", - "name": "adarmenov", - "url": "https://github.com/adarmenov" + "avatar": "https://avatars.githubusercontent.com/u/1918204?s=40&v=4", + "name": "destogl", + "url": "https://github.com/destogl" } ] }, @@ -365,7 +291,7 @@ "description": "CMake for C++ Best Practices", "language": "CMake", "languageColor": "#DA3434", - "stars": "1,151", + "stars": "1,152", "forks": "123", "addStars": "43", "contributors": [ @@ -397,76 +323,39 @@ ] }, { - "title": "TheLartians/PackageProject.cmake", - "url": "https://github.com/TheLartians/PackageProject.cmake", - "description": "🏛️ Help other developers use your project. A CMake script for packaging C/C++ projects for simple project installation while employing best-practices for maximum compatibility.", - "language": "CMake", - "languageColor": "#DA3434", - "stars": "110", - "forks": "15", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4437447?s=40&v=4", - "name": "TheLartians", - "url": "https://github.com/TheLartians" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1833050?s=40&v=4", - "name": "ClausKlein", - "url": "https://github.com/ClausKlein" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1736896?s=40&v=4", - "name": "friendlyanon", - "url": "https://github.com/friendlyanon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3985750?s=40&v=4", - "name": "jan-moeller", - "url": "https://github.com/jan-moeller" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4847926?s=40&v=4", - "name": "schtobia", - "url": "https://github.com/schtobia" - } - ] - }, - { - "title": "gazebosim/gz-cmake", - "url": "https://github.com/gazebosim/gz-cmake", - "description": "A set of CMake modules that are used by the C++-based Gazebo projects.", + "title": "GEOS-ESM/GEOSgcm", + "url": "https://github.com/GEOS-ESM/GEOSgcm", + "description": "GEOS Earth System Model GEOSgcm Fixture", "language": "CMake", "languageColor": "#DA3434", - "stars": "27", - "forks": "30", - "addStars": "2", + "stars": "36", + "forks": "13", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3650755?s=40&v=4", - "name": "scpeters", - "url": "https://github.com/scpeters" + "avatar": "https://avatars.githubusercontent.com/u/53346946?s=40&v=4", + "name": "sdrabenh", + "url": "https://github.com/sdrabenh" }, { - "avatar": "https://avatars.githubusercontent.com/u/1307217?s=40&v=4", - "name": "mxgrey", - "url": "https://github.com/mxgrey" + "avatar": "https://avatars.githubusercontent.com/u/2982494?s=40&v=4", + "name": "mathomp4", + "url": "https://github.com/mathomp4" }, { - "avatar": "https://avatars.githubusercontent.com/u/1587438?s=40&v=4", - "name": "nkoenig", - "url": "https://github.com/nkoenig" + "avatar": "https://avatars.githubusercontent.com/u/18061653?s=40&v=4", + "name": "sanAkel", + "url": "https://github.com/sanAkel" }, { - "avatar": "https://avatars.githubusercontent.com/u/2098802?s=40&v=4", - "name": "j-rivero", - "url": "https://github.com/j-rivero" + "avatar": "https://avatars.githubusercontent.com/u/36893871?s=40&v=4", + "name": "bena-nasa", + "url": "https://github.com/bena-nasa" }, { - "avatar": "https://avatars.githubusercontent.com/u/4000684?s=40&v=4", - "name": "iche033", - "url": "https://github.com/iche033" + "avatar": "https://avatars.githubusercontent.com/u/47391100?s=40&v=4", + "name": "adarmenov", + "url": "https://github.com/adarmenov" } ] } diff --git a/data/monthly/cmake.xml b/data/monthly/cmake.xml index 19197f318180..8ea687cd7454 100644 --- a/data/monthly/cmake.xml +++ b/data/monthly/cmake.xml @@ -3,7 +3,7 @@ GitHub Cmake Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cmake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/vcpkg https://github.com/microsoft/vcpkg @@ -11,8 +11,8 @@ https://github.com/microsoft/vcpkg CMake #DA3434 - 23,330 - 6,445 + 23,332 + 6,447 319 @@ -49,8 +49,8 @@ https://github.com/TheRobotStudio/SO-ARM100 CMake #DA3434 - 403 - 28 + 405 + 29 196 @@ -75,6 +75,44 @@ + + TheLartians/ModernCppStarter + https://github.com/TheLartians/ModernCppStarter + 🚀 Kick-start your C++! A template for modern C++ projects using CMake, CI, code coverage, clang-format, reproducible dependency management and much more. + https://github.com/TheLartians/ModernCppStarter + CMake + #DA3434 + 4,498 + 390 + 83 + + + https://avatars.githubusercontent.com/u/4437447?s=40&v=4 + TheLartians + https://github.com/TheLartians + + + https://avatars.githubusercontent.com/u/63265?s=40&v=4 + hazelnusse + https://github.com/hazelnusse + + + https://avatars.githubusercontent.com/u/1833050?s=40&v=4 + ClausKlein + https://github.com/ClausKlein + + + https://avatars.githubusercontent.com/u/814322?s=40&v=4 + vsoch + https://github.com/vsoch + + + https://avatars.githubusercontent.com/u/1736896?s=40&v=4 + friendlyanon + https://github.com/friendlyanon + + + corrosion-rs/corrosion https://github.com/corrosion-rs/corrosion @@ -82,7 +120,7 @@ https://github.com/corrosion-rs/corrosion CMake #DA3434 - 1,101 + 1,102 106 22 @@ -151,44 +189,6 @@ - - TheLartians/ModernCppStarter - https://github.com/TheLartians/ModernCppStarter - 🚀 Kick-start your C++! A template for modern C++ projects using CMake, CI, code coverage, clang-format, reproducible dependency management and much more. - https://github.com/TheLartians/ModernCppStarter - CMake - #DA3434 - 4,498 - 389 - 83 - - - https://avatars.githubusercontent.com/u/4437447?s=40&v=4 - TheLartians - https://github.com/TheLartians - - - https://avatars.githubusercontent.com/u/63265?s=40&v=4 - hazelnusse - https://github.com/hazelnusse - - - https://avatars.githubusercontent.com/u/1833050?s=40&v=4 - ClausKlein - https://github.com/ClausKlein - - - https://avatars.githubusercontent.com/u/814322?s=40&v=4 - vsoch - https://github.com/vsoch - - - https://avatars.githubusercontent.com/u/1736896?s=40&v=4 - friendlyanon - https://github.com/friendlyanon - - - leetal/ios-cmake https://github.com/leetal/ios-cmake @@ -227,72 +227,6 @@ - - shinchiro/mpv-winbuild-cmake - https://github.com/shinchiro/mpv-winbuild-cmake - CMake-based MinGW-w64 Cross Toolchain - https://github.com/shinchiro/mpv-winbuild-cmake - CMake - #DA3434 - 661 - 118 - 45 - - - https://avatars.githubusercontent.com/u/9623615?s=40&v=4 - shinchiro - https://github.com/shinchiro - - - https://avatars.githubusercontent.com/u/652892?s=40&v=4 - mia-0 - https://github.com/mia-0 - - - https://avatars.githubusercontent.com/u/144242044?s=40&v=4 - Andarwinux - https://github.com/Andarwinux - - - https://avatars.githubusercontent.com/u/11155705?s=40&v=4 - zhongfly - https://github.com/zhongfly - - - https://avatars.githubusercontent.com/u/17702502?s=40&v=4 - myfreeer - https://github.com/myfreeer - - - - - ros-controls/control_msgs - https://github.com/ros-controls/control_msgs - contains base messages and actions useful for controlling robots - https://github.com/ros-controls/control_msgs - CMake - #DA3434 - 53 - 64 - 3 - - - https://avatars.githubusercontent.com/u/3524577?s=40&v=4 - bmagyar - https://github.com/bmagyar - - - https://avatars.githubusercontent.com/u/3367244?s=40&v=4 - christophfroehlich - https://github.com/christophfroehlich - - - https://avatars.githubusercontent.com/u/1918204?s=40&v=4 - destogl - https://github.com/destogl - - - ros-planning/navigation_msgs https://github.com/ros-planning/navigation_msgs @@ -332,40 +266,30 @@ - GEOS-ESM/GEOSgcm - https://github.com/GEOS-ESM/GEOSgcm - GEOS Earth System Model GEOSgcm Fixture - https://github.com/GEOS-ESM/GEOSgcm + ros-controls/control_msgs + https://github.com/ros-controls/control_msgs + contains base messages and actions useful for controlling robots + https://github.com/ros-controls/control_msgs CMake #DA3434 - 36 - 13 - 1 + 53 + 64 + 3 - https://avatars.githubusercontent.com/u/53346946?s=40&v=4 - sdrabenh - https://github.com/sdrabenh - - - https://avatars.githubusercontent.com/u/2982494?s=40&v=4 - mathomp4 - https://github.com/mathomp4 - - - https://avatars.githubusercontent.com/u/18061653?s=40&v=4 - sanAkel - https://github.com/sanAkel + https://avatars.githubusercontent.com/u/3524577?s=40&v=4 + bmagyar + https://github.com/bmagyar - https://avatars.githubusercontent.com/u/36893871?s=40&v=4 - bena-nasa - https://github.com/bena-nasa + https://avatars.githubusercontent.com/u/3367244?s=40&v=4 + christophfroehlich + https://github.com/christophfroehlich - https://avatars.githubusercontent.com/u/47391100?s=40&v=4 - adarmenov - https://github.com/adarmenov + https://avatars.githubusercontent.com/u/1918204?s=40&v=4 + destogl + https://github.com/destogl @@ -376,7 +300,7 @@ https://github.com/cpp-best-practices/cmake_template CMake #DA3434 - 1,151 + 1,152 123 43 @@ -408,78 +332,40 @@ - TheLartians/PackageProject.cmake - https://github.com/TheLartians/PackageProject.cmake - 🏛️ Help other developers use your project. A CMake script for packaging C/C++ projects for simple project installation while employing best-practices for maximum compatibility. - https://github.com/TheLartians/PackageProject.cmake - CMake - #DA3434 - 110 - 15 - 7 - - - https://avatars.githubusercontent.com/u/4437447?s=40&v=4 - TheLartians - https://github.com/TheLartians - - - https://avatars.githubusercontent.com/u/1833050?s=40&v=4 - ClausKlein - https://github.com/ClausKlein - - - https://avatars.githubusercontent.com/u/1736896?s=40&v=4 - friendlyanon - https://github.com/friendlyanon - - - https://avatars.githubusercontent.com/u/3985750?s=40&v=4 - jan-moeller - https://github.com/jan-moeller - - - https://avatars.githubusercontent.com/u/4847926?s=40&v=4 - schtobia - https://github.com/schtobia - - - - - gazebosim/gz-cmake - https://github.com/gazebosim/gz-cmake - A set of CMake modules that are used by the C++-based Gazebo projects. - https://github.com/gazebosim/gz-cmake + GEOS-ESM/GEOSgcm + https://github.com/GEOS-ESM/GEOSgcm + GEOS Earth System Model GEOSgcm Fixture + https://github.com/GEOS-ESM/GEOSgcm CMake #DA3434 - 27 - 30 - 2 + 36 + 13 + 1 - https://avatars.githubusercontent.com/u/3650755?s=40&v=4 - scpeters - https://github.com/scpeters + https://avatars.githubusercontent.com/u/53346946?s=40&v=4 + sdrabenh + https://github.com/sdrabenh - https://avatars.githubusercontent.com/u/1307217?s=40&v=4 - mxgrey - https://github.com/mxgrey + https://avatars.githubusercontent.com/u/2982494?s=40&v=4 + mathomp4 + https://github.com/mathomp4 - https://avatars.githubusercontent.com/u/1587438?s=40&v=4 - nkoenig - https://github.com/nkoenig + https://avatars.githubusercontent.com/u/18061653?s=40&v=4 + sanAkel + https://github.com/sanAkel - https://avatars.githubusercontent.com/u/2098802?s=40&v=4 - j-rivero - https://github.com/j-rivero + https://avatars.githubusercontent.com/u/36893871?s=40&v=4 + bena-nasa + https://github.com/bena-nasa - https://avatars.githubusercontent.com/u/4000684?s=40&v=4 - iche033 - https://github.com/iche033 + https://avatars.githubusercontent.com/u/47391100?s=40&v=4 + adarmenov + https://github.com/adarmenov diff --git a/data/monthly/cobol.json b/data/monthly/cobol.json index cafa3ce0deb5..9894cd775a8f 100644 --- a/data/monthly/cobol.json +++ b/data/monthly/cobol.json @@ -2,6 +2,6 @@ "title": "GitHub Cobol Languages Monthly Trending", "description": "Monthly Trending of Cobol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cobol.xml b/data/monthly/cobol.xml index 8e677b484c1a..9eaee72b127a 100644 --- a/data/monthly/cobol.xml +++ b/data/monthly/cobol.xml @@ -3,6 +3,6 @@ GitHub Cobol Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cobol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/codeowners.json b/data/monthly/codeowners.json index 789a173c53b5..eda13f48be06 100644 --- a/data/monthly/codeowners.json +++ b/data/monthly/codeowners.json @@ -2,6 +2,6 @@ "title": "GitHub Codeowners Languages Monthly Trending", "description": "Monthly Trending of Codeowners Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/codeowners.xml b/data/monthly/codeowners.xml index 2640918a68be..7ce39469de3a 100644 --- a/data/monthly/codeowners.xml +++ b/data/monthly/codeowners.xml @@ -3,6 +3,6 @@ GitHub Codeowners Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Codeowners Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/codeql.json b/data/monthly/codeql.json index 965a892b692e..2c51269f318d 100644 --- a/data/monthly/codeql.json +++ b/data/monthly/codeql.json @@ -2,7 +2,7 @@ "title": "GitHub Codeql Languages Monthly Trending", "description": "Monthly Trending of Codeql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "github/codeql", @@ -10,7 +10,7 @@ "description": "CodeQL: the libraries and queries that power security researchers around the world, as well as code scanning in GitHub Advanced Security", "language": "CodeQL", "languageColor": "#140f46", - "stars": "7,725", + "stars": "7,726", "forks": "1,553", "addStars": "133", "contributors": [ diff --git a/data/monthly/codeql.xml b/data/monthly/codeql.xml index 2c9f99cf87fe..fc2696ff6bfe 100644 --- a/data/monthly/codeql.xml +++ b/data/monthly/codeql.xml @@ -3,7 +3,7 @@ GitHub Codeql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Codeql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT github/codeql https://github.com/github/codeql @@ -11,7 +11,7 @@ https://github.com/github/codeql CodeQL #140f46 - 7,725 + 7,726 1,553 133 diff --git a/data/monthly/coffeescript.json b/data/monthly/coffeescript.json index 108d9b0d9270..4675f5b55b46 100644 --- a/data/monthly/coffeescript.json +++ b/data/monthly/coffeescript.json @@ -2,7 +2,7 @@ "title": "GitHub Coffeescript Languages Monthly Trending", "description": "Monthly Trending of Coffeescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "lucassus/angular-coffee-seed", @@ -69,7 +69,7 @@ "description": "XML to JavaScript object converter.", "language": "CoffeeScript", "languageColor": "#244776", - "stars": "4,905", + "stars": "4,906", "forks": "606", "addStars": "22", "contributors": [ @@ -106,8 +106,8 @@ "description": "Manage and switch between multiple proxies quickly & easily.", "language": "CoffeeScript", "languageColor": "#244776", - "stars": "21,404", - "forks": "3,207", + "stars": "21,405", + "forks": "3,206", "addStars": "123", "contributors": [ { diff --git a/data/monthly/coffeescript.xml b/data/monthly/coffeescript.xml index 68b78c538c28..524a2dc4086d 100644 --- a/data/monthly/coffeescript.xml +++ b/data/monthly/coffeescript.xml @@ -3,7 +3,7 @@ GitHub Coffeescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Coffeescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT lucassus/angular-coffee-seed https://github.com/lucassus/angular-coffee-seed @@ -72,7 +72,7 @@ https://github.com/Leonidas-from-XIV/node-xml2js CoffeeScript #244776 - 4,905 + 4,906 606 22 @@ -110,8 +110,8 @@ https://github.com/FelisCatus/SwitchyOmega CoffeeScript #244776 - 21,404 - 3,207 + 21,405 + 3,206 123 diff --git a/data/monthly/coldfusion-cfc.json b/data/monthly/coldfusion-cfc.json index ca0533e06981..b8cbbe2626b1 100644 --- a/data/monthly/coldfusion-cfc.json +++ b/data/monthly/coldfusion-cfc.json @@ -2,6 +2,6 @@ "title": "GitHub Coldfusion-cfc Languages Monthly Trending", "description": "Monthly Trending of Coldfusion-cfc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/coldfusion-cfc.xml b/data/monthly/coldfusion-cfc.xml index 7fe2d2299ea5..8d373f1cf555 100644 --- a/data/monthly/coldfusion-cfc.xml +++ b/data/monthly/coldfusion-cfc.xml @@ -3,6 +3,6 @@ GitHub Coldfusion-cfc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Coldfusion-cfc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/coldfusion.json b/data/monthly/coldfusion.json index 40a01a73c9c4..09bfb7ab6c1c 100644 --- a/data/monthly/coldfusion.json +++ b/data/monthly/coldfusion.json @@ -2,6 +2,6 @@ "title": "GitHub Coldfusion Languages Monthly Trending", "description": "Monthly Trending of Coldfusion Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/coldfusion.xml b/data/monthly/coldfusion.xml index 7dace4e44355..1dd5c40dd61a 100644 --- a/data/monthly/coldfusion.xml +++ b/data/monthly/coldfusion.xml @@ -3,6 +3,6 @@ GitHub Coldfusion Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Coldfusion Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/collada.json b/data/monthly/collada.json index 14db9e37c063..201b740266d4 100644 --- a/data/monthly/collada.json +++ b/data/monthly/collada.json @@ -2,6 +2,6 @@ "title": "GitHub Collada Languages Monthly Trending", "description": "Monthly Trending of Collada Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/collada.xml b/data/monthly/collada.xml index 64974abb591d..055f698693ae 100644 --- a/data/monthly/collada.xml +++ b/data/monthly/collada.xml @@ -3,6 +3,6 @@ GitHub Collada Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Collada Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/common-lisp.json b/data/monthly/common-lisp.json index 5f610d2aaf15..cacf43b22065 100644 --- a/data/monthly/common-lisp.json +++ b/data/monthly/common-lisp.json @@ -2,7 +2,7 @@ "title": "GitHub Common-lisp Languages Monthly Trending", "description": "Monthly Trending of Common-lisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "atlas-engineer/nyxt", diff --git a/data/monthly/common-lisp.xml b/data/monthly/common-lisp.xml index aef20c8c3080..28d0330efd70 100644 --- a/data/monthly/common-lisp.xml +++ b/data/monthly/common-lisp.xml @@ -3,7 +3,7 @@ GitHub Common-lisp Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Common-lisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT atlas-engineer/nyxt https://github.com/atlas-engineer/nyxt diff --git a/data/monthly/common-workflow-language.json b/data/monthly/common-workflow-language.json index bb3f4dae57f2..ee15acd4d927 100644 --- a/data/monthly/common-workflow-language.json +++ b/data/monthly/common-workflow-language.json @@ -2,6 +2,6 @@ "title": "GitHub Common-workflow-language Languages Monthly Trending", "description": "Monthly Trending of Common-workflow-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/common-workflow-language.xml b/data/monthly/common-workflow-language.xml index 87e286dbb2cd..4877fa86e64e 100644 --- a/data/monthly/common-workflow-language.xml +++ b/data/monthly/common-workflow-language.xml @@ -3,6 +3,6 @@ GitHub Common-workflow-language Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Common-workflow-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/component-pascal.json b/data/monthly/component-pascal.json index c3d88ae4eaa8..51a8f89ec5b1 100644 --- a/data/monthly/component-pascal.json +++ b/data/monthly/component-pascal.json @@ -2,6 +2,6 @@ "title": "GitHub Component-pascal Languages Monthly Trending", "description": "Monthly Trending of Component-pascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/component-pascal.xml b/data/monthly/component-pascal.xml index ee4dcc79d3ac..019f1256240f 100644 --- a/data/monthly/component-pascal.xml +++ b/data/monthly/component-pascal.xml @@ -3,6 +3,6 @@ GitHub Component-pascal Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Component-pascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/conll-u.json b/data/monthly/conll-u.json index 6e9363ffe175..3374266e16cd 100644 --- a/data/monthly/conll-u.json +++ b/data/monthly/conll-u.json @@ -2,6 +2,6 @@ "title": "GitHub Conll-u Languages Monthly Trending", "description": "Monthly Trending of Conll-u Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/conll-u.xml b/data/monthly/conll-u.xml index 0e1defa7e948..5cdf3f1dd247 100644 --- a/data/monthly/conll-u.xml +++ b/data/monthly/conll-u.xml @@ -3,6 +3,6 @@ GitHub Conll-u Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Conll-u Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cool.json b/data/monthly/cool.json index 3f87eaa923fd..a290e276cfb8 100644 --- a/data/monthly/cool.json +++ b/data/monthly/cool.json @@ -2,6 +2,6 @@ "title": "GitHub Cool Languages Monthly Trending", "description": "Monthly Trending of Cool Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cool.xml b/data/monthly/cool.xml index 1ec13cb6e0db..6934b2b276e6 100644 --- a/data/monthly/cool.xml +++ b/data/monthly/cool.xml @@ -3,6 +3,6 @@ GitHub Cool Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cool Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/coq.json b/data/monthly/coq.json index 74a01f2703f5..9b1564e8eb76 100644 --- a/data/monthly/coq.json +++ b/data/monthly/coq.json @@ -2,7 +2,7 @@ "title": "GitHub Coq Languages Monthly Trending", "description": "Monthly Trending of Coq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "mit-plv/fiat-crypto", diff --git a/data/monthly/coq.xml b/data/monthly/coq.xml index cc8cfb035915..ecafad3b0850 100644 --- a/data/monthly/coq.xml +++ b/data/monthly/coq.xml @@ -3,7 +3,7 @@ GitHub Coq Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Coq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT mit-plv/fiat-crypto https://github.com/mit-plv/fiat-crypto diff --git a/data/monthly/cpp-objdump.json b/data/monthly/cpp-objdump.json index a86903f61b52..624c9b7775de 100644 --- a/data/monthly/cpp-objdump.json +++ b/data/monthly/cpp-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub Cpp-objdump Languages Monthly Trending", "description": "Monthly Trending of Cpp-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cpp-objdump.xml b/data/monthly/cpp-objdump.xml index 9e842e07260b..d9829c05d7ce 100644 --- a/data/monthly/cpp-objdump.xml +++ b/data/monthly/cpp-objdump.xml @@ -3,6 +3,6 @@ GitHub Cpp-objdump Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cpp-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/creole.json b/data/monthly/creole.json index 44d9232e4aa7..dfbe67e59d78 100644 --- a/data/monthly/creole.json +++ b/data/monthly/creole.json @@ -2,6 +2,6 @@ "title": "GitHub Creole Languages Monthly Trending", "description": "Monthly Trending of Creole Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/creole.xml b/data/monthly/creole.xml index e1065d57e5d1..1151ea2b125a 100644 --- a/data/monthly/creole.xml +++ b/data/monthly/creole.xml @@ -3,6 +3,6 @@ GitHub Creole Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Creole Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/crontab.json b/data/monthly/crontab.json index 440f4a250789..41cda2d52594 100644 --- a/data/monthly/crontab.json +++ b/data/monthly/crontab.json @@ -2,6 +2,6 @@ "title": "GitHub Crontab Languages Monthly Trending", "description": "Monthly Trending of Crontab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/crontab.xml b/data/monthly/crontab.xml index 8e17e5d321e5..b69ed979b896 100644 --- a/data/monthly/crontab.xml +++ b/data/monthly/crontab.xml @@ -3,6 +3,6 @@ GitHub Crontab Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Crontab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/crystal.json b/data/monthly/crystal.json index 8eb19d91b75c..34a06a0bfd24 100644 --- a/data/monthly/crystal.json +++ b/data/monthly/crystal.json @@ -2,7 +2,7 @@ "title": "GitHub Crystal Languages Monthly Trending", "description": "Monthly Trending of Crystal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "iv-org/invidious", @@ -10,7 +10,7 @@ "description": "Invidious is an alternative front-end to YouTube", "language": "Crystal", "languageColor": "#000100", - "stars": "16,456", + "stars": "16,458", "forks": "1,852", "addStars": "169", "contributors": [ @@ -84,7 +84,7 @@ "description": "The Crystal Programming Language", "language": "Crystal", "languageColor": "#000100", - "stars": "19,496", + "stars": "19,497", "forks": "1,620", "addStars": "96", "contributors": [ diff --git a/data/monthly/crystal.xml b/data/monthly/crystal.xml index 8b09a4b6c8c2..b059d91139ba 100644 --- a/data/monthly/crystal.xml +++ b/data/monthly/crystal.xml @@ -3,7 +3,7 @@ GitHub Crystal Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Crystal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT iv-org/invidious https://github.com/iv-org/invidious @@ -11,7 +11,7 @@ https://github.com/iv-org/invidious Crystal #000100 - 16,456 + 16,458 1,852 169 @@ -87,7 +87,7 @@ https://github.com/crystal-lang/crystal Crystal #000100 - 19,496 + 19,497 1,620 96 diff --git a/data/monthly/cson.json b/data/monthly/cson.json index c903819566a9..19c72f2f0f5c 100644 --- a/data/monthly/cson.json +++ b/data/monthly/cson.json @@ -2,6 +2,6 @@ "title": "GitHub Cson Languages Monthly Trending", "description": "Monthly Trending of Cson Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cson.xml b/data/monthly/cson.xml index d12bd98df9da..40dbe93ed4d5 100644 --- a/data/monthly/cson.xml +++ b/data/monthly/cson.xml @@ -3,6 +3,6 @@ GitHub Cson Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cson Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/csound-document.json b/data/monthly/csound-document.json index e19d901c4095..0d9a3be4af68 100644 --- a/data/monthly/csound-document.json +++ b/data/monthly/csound-document.json @@ -2,6 +2,6 @@ "title": "GitHub Csound-document Languages Monthly Trending", "description": "Monthly Trending of Csound-document Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/csound-document.xml b/data/monthly/csound-document.xml index 1ae81ea7eec9..053b5b0a6101 100644 --- a/data/monthly/csound-document.xml +++ b/data/monthly/csound-document.xml @@ -3,6 +3,6 @@ GitHub Csound-document Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Csound-document Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/csound-score.json b/data/monthly/csound-score.json index afe411417144..fff6f3820354 100644 --- a/data/monthly/csound-score.json +++ b/data/monthly/csound-score.json @@ -2,6 +2,6 @@ "title": "GitHub Csound-score Languages Monthly Trending", "description": "Monthly Trending of Csound-score Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/csound-score.xml b/data/monthly/csound-score.xml index b905998b4d07..102d171809f4 100644 --- a/data/monthly/csound-score.xml +++ b/data/monthly/csound-score.xml @@ -3,6 +3,6 @@ GitHub Csound-score Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Csound-score Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/csound.json b/data/monthly/csound.json index 425a15938a3f..5bb82c2bf92f 100644 --- a/data/monthly/csound.json +++ b/data/monthly/csound.json @@ -2,6 +2,6 @@ "title": "GitHub Csound Languages Monthly Trending", "description": "Monthly Trending of Csound Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/csound.xml b/data/monthly/csound.xml index ce5e99ca9f54..298a84624510 100644 --- a/data/monthly/csound.xml +++ b/data/monthly/csound.xml @@ -3,6 +3,6 @@ GitHub Csound Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Csound Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/css.json b/data/monthly/css.json index 77e5ad103dcd..b23064432783 100644 --- a/data/monthly/css.json +++ b/data/monthly/css.json @@ -2,7 +2,7 @@ "title": "GitHub Css Languages Monthly Trending", "description": "Monthly Trending of Css Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "primefaces/primeng", @@ -10,7 +10,7 @@ "description": "The Most Complete Angular UI Component Library", "language": "CSS", "languageColor": "#563d7c", - "stars": "10,596", + "stars": "10,602", "forks": "4,620", "addStars": "243", "contributors": [ @@ -41,50 +41,13 @@ } ] }, - { - "title": "aws-samples/amplify-next-template", - "url": "https://github.com/aws-samples/amplify-next-template", - "description": "This is a Next.js starter for building a fullstack app with AWS Amplify.", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "94", - "forks": "2,982", - "addStars": "19", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/38470430?s=40&v=4", - "name": "Jay2113", - "url": "https://github.com/Jay2113" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2429410?s=40&v=4", - "name": "swaminator", - "url": "https://github.com/swaminator" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87995712?s=40&v=4", - "name": "ykethan", - "url": "https://github.com/ykethan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5033303?s=40&v=4", - "name": "josefaidt", - "url": "https://github.com/josefaidt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4989523?s=40&v=4", - "name": "renebrandel", - "url": "https://github.com/renebrandel" - } - ] - }, { "title": "jgthms/bulma", "url": "https://github.com/jgthms/bulma", "description": "Modern CSS framework based on Flexbox", "language": "CSS", "languageColor": "#563d7c", - "stars": "49,390", + "stars": "49,391", "forks": "3,954", "addStars": "154", "contributors": [ @@ -116,39 +79,39 @@ ] }, { - "title": "missing-semester-cn/missing-semester-cn.github.io", - "url": "https://github.com/missing-semester-cn/missing-semester-cn.github.io", - "description": "the CS missing semester Chinese version", + "title": "aws-samples/amplify-next-template", + "url": "https://github.com/aws-samples/amplify-next-template", + "description": "This is a Next.js starter for building a fullstack app with AWS Amplify.", "language": "CSS", "languageColor": "#563d7c", - "stars": "6,281", - "forks": "992", - "addStars": "102", + "stars": "94", + "forks": "2,986", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3370445?s=40&v=4", - "name": "hanxiaomax", - "url": "https://github.com/hanxiaomax" + "avatar": "https://avatars.githubusercontent.com/u/38470430?s=40&v=4", + "name": "Jay2113", + "url": "https://github.com/Jay2113" }, { - "avatar": "https://avatars.githubusercontent.com/u/3526486?s=40&v=4", - "name": "anishathalye", - "url": "https://github.com/anishathalye" + "avatar": "https://avatars.githubusercontent.com/u/2429410?s=40&v=4", + "name": "swaminator", + "url": "https://github.com/swaminator" }, { - "avatar": "https://avatars.githubusercontent.com/u/3844846?s=40&v=4", - "name": "JJGO", - "url": "https://github.com/JJGO" + "avatar": "https://avatars.githubusercontent.com/u/87995712?s=40&v=4", + "name": "ykethan", + "url": "https://github.com/ykethan" }, { - "avatar": "https://avatars.githubusercontent.com/u/176295?s=40&v=4", - "name": "jonhoo", - "url": "https://github.com/jonhoo" + "avatar": "https://avatars.githubusercontent.com/u/5033303?s=40&v=4", + "name": "josefaidt", + "url": "https://github.com/josefaidt" }, { - "avatar": "https://avatars.githubusercontent.com/u/48511594?s=40&v=4", - "name": "AA1HSHH", - "url": "https://github.com/AA1HSHH" + "avatar": "https://avatars.githubusercontent.com/u/4989523?s=40&v=4", + "name": "renebrandel", + "url": "https://github.com/renebrandel" } ] }, @@ -158,7 +121,7 @@ "description": "A collection of advanced CSS styles to create realistic-looking effects for the faces of Pokemon cards.", "language": "CSS", "languageColor": "#563d7c", - "stars": "5,534", + "stars": "5,536", "forks": "515", "addStars": "117", "contributors": [ @@ -226,6 +189,43 @@ } ] }, + { + "title": "missing-semester-cn/missing-semester-cn.github.io", + "url": "https://github.com/missing-semester-cn/missing-semester-cn.github.io", + "description": "the CS missing semester Chinese version", + "language": "CSS", + "languageColor": "#563d7c", + "stars": "6,282", + "forks": "993", + "addStars": "102", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3370445?s=40&v=4", + "name": "hanxiaomax", + "url": "https://github.com/hanxiaomax" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3526486?s=40&v=4", + "name": "anishathalye", + "url": "https://github.com/anishathalye" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3844846?s=40&v=4", + "name": "JJGO", + "url": "https://github.com/JJGO" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/176295?s=40&v=4", + "name": "jonhoo", + "url": "https://github.com/jonhoo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48511594?s=40&v=4", + "name": "AA1HSHH", + "url": "https://github.com/AA1HSHH" + } + ] + }, { "title": "spring-projects/spring-petclinic", "url": "https://github.com/spring-projects/spring-petclinic", @@ -233,7 +233,7 @@ "language": "CSS", "languageColor": "#563d7c", "stars": "7,784", - "forks": "23,946", + "forks": "23,948", "addStars": "116", "contributors": [ { @@ -263,13 +263,87 @@ } ] }, + { + "title": "thewhiteh4t/seeker", + "url": "https://github.com/thewhiteh4t/seeker", + "description": "Accurately Locate Smartphones using Social Engineering", + "language": "CSS", + "languageColor": "#563d7c", + "stars": "7,229", + "forks": "1,727", + "addStars": "173", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/36354846?s=40&v=4", + "name": "thewhiteh4t", + "url": "https://github.com/thewhiteh4t" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11071770?s=40&v=4", + "name": "Resousse", + "url": "https://github.com/Resousse" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89315818?s=40&v=4", + "name": "a7maadf", + "url": "https://github.com/a7maadf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32103950?s=40&v=4", + "name": "MasterDevX", + "url": "https://github.com/MasterDevX" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30162978?s=40&v=4", + "name": "thehappydinoa", + "url": "https://github.com/thehappydinoa" + } + ] + }, + { + "title": "imfing/hextra", + "url": "https://github.com/imfing/hextra", + "description": "🔯 Modern, batteries-included Hugo theme for creating beautiful doc, blog and static websites", + "language": "CSS", + "languageColor": "#563d7c", + "stars": "739", + "forks": "177", + "addStars": "71", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5097752?s=40&v=4", + "name": "imfing", + "url": "https://github.com/imfing" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20981566?s=40&v=4", + "name": "iju707", + "url": "https://github.com/iju707" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52619598?s=40&v=4", + "name": "zigmd", + "url": "https://github.com/zigmd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/91950505?s=40&v=4", + "name": "naranyinyun", + "url": "https://github.com/naranyinyun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/122173059?s=40&v=4", + "name": "hugo-sid", + "url": "https://github.com/hugo-sid" + } + ] + }, { "title": "animate-css/animate.css", "url": "https://github.com/animate-css/animate.css", "description": "🍿 A cross-browser library of CSS animations. As easy to use as an easy thing.", "language": "CSS", "languageColor": "#563d7c", - "stars": "80,898", + "stars": "80,900", "forks": "16,235", "addStars": "235", "contributors": [ @@ -301,7 +375,7 @@ "description": "A simple, retro theme for Hugo", "language": "CSS", "languageColor": "#563d7c", - "stars": "2,102", + "stars": "2,103", "forks": "759", "addStars": "64", "contributors": [ @@ -327,50 +401,13 @@ } ] }, - { - "title": "thewhiteh4t/seeker", - "url": "https://github.com/thewhiteh4t/seeker", - "description": "Accurately Locate Smartphones using Social Engineering", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "7,229", - "forks": "1,727", - "addStars": "173", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/36354846?s=40&v=4", - "name": "thewhiteh4t", - "url": "https://github.com/thewhiteh4t" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11071770?s=40&v=4", - "name": "Resousse", - "url": "https://github.com/Resousse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89315818?s=40&v=4", - "name": "a7maadf", - "url": "https://github.com/a7maadf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32103950?s=40&v=4", - "name": "MasterDevX", - "url": "https://github.com/MasterDevX" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30162978?s=40&v=4", - "name": "thehappydinoa", - "url": "https://github.com/thehappydinoa" - } - ] - }, { "title": "IBM/plex", "url": "https://github.com/IBM/plex", "description": "The package of IBM’s typeface, IBM Plex.", "language": "CSS", "languageColor": "#563d7c", - "stars": "9,702", + "stars": "9,703", "forks": "576", "addStars": "84", "contributors": [ @@ -401,43 +438,6 @@ } ] }, - { - "title": "imfing/hextra", - "url": "https://github.com/imfing/hextra", - "description": "🔯 Modern, batteries-included Hugo theme for creating beautiful doc, blog and static websites", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "739", - "forks": "177", - "addStars": "71", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5097752?s=40&v=4", - "name": "imfing", - "url": "https://github.com/imfing" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20981566?s=40&v=4", - "name": "iju707", - "url": "https://github.com/iju707" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52619598?s=40&v=4", - "name": "zigmd", - "url": "https://github.com/zigmd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/91950505?s=40&v=4", - "name": "naranyinyun", - "url": "https://github.com/naranyinyun" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/122173059?s=40&v=4", - "name": "hugo-sid", - "url": "https://github.com/hugo-sid" - } - ] - }, { "title": "lipis/flag-icons", "url": "https://github.com/lipis/flag-icons", @@ -466,7 +466,7 @@ "description": "A design system for building faithful recreations of old UIs", "language": "CSS", "languageColor": "#563d7c", - "stars": "9,662", + "stars": "9,663", "forks": "311", "addStars": "593", "contributors": [ diff --git a/data/monthly/css.xml b/data/monthly/css.xml index 889f35ace50b..ddeecea38b9c 100644 --- a/data/monthly/css.xml +++ b/data/monthly/css.xml @@ -3,7 +3,7 @@ GitHub Css Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Css Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT primefaces/primeng https://github.com/primefaces/primeng @@ -11,7 +11,7 @@ https://github.com/primefaces/primeng CSS #563d7c - 10,596 + 10,602 4,620 243 @@ -42,44 +42,6 @@ - - aws-samples/amplify-next-template - https://github.com/aws-samples/amplify-next-template - This is a Next.js starter for building a fullstack app with AWS Amplify. - https://github.com/aws-samples/amplify-next-template - CSS - #563d7c - 94 - 2,982 - 19 - - - https://avatars.githubusercontent.com/u/38470430?s=40&v=4 - Jay2113 - https://github.com/Jay2113 - - - https://avatars.githubusercontent.com/u/2429410?s=40&v=4 - swaminator - https://github.com/swaminator - - - https://avatars.githubusercontent.com/u/87995712?s=40&v=4 - ykethan - https://github.com/ykethan - - - https://avatars.githubusercontent.com/u/5033303?s=40&v=4 - josefaidt - https://github.com/josefaidt - - - https://avatars.githubusercontent.com/u/4989523?s=40&v=4 - renebrandel - https://github.com/renebrandel - - - jgthms/bulma https://github.com/jgthms/bulma @@ -87,7 +49,7 @@ https://github.com/jgthms/bulma CSS #563d7c - 49,390 + 49,391 3,954 154 @@ -119,40 +81,40 @@ - missing-semester-cn/missing-semester-cn.github.io - https://github.com/missing-semester-cn/missing-semester-cn.github.io - the CS missing semester Chinese version - https://github.com/missing-semester-cn/missing-semester-cn.github.io + aws-samples/amplify-next-template + https://github.com/aws-samples/amplify-next-template + This is a Next.js starter for building a fullstack app with AWS Amplify. + https://github.com/aws-samples/amplify-next-template CSS #563d7c - 6,281 - 992 - 102 + 94 + 2,986 + 19 - https://avatars.githubusercontent.com/u/3370445?s=40&v=4 - hanxiaomax - https://github.com/hanxiaomax + https://avatars.githubusercontent.com/u/38470430?s=40&v=4 + Jay2113 + https://github.com/Jay2113 - https://avatars.githubusercontent.com/u/3526486?s=40&v=4 - anishathalye - https://github.com/anishathalye + https://avatars.githubusercontent.com/u/2429410?s=40&v=4 + swaminator + https://github.com/swaminator - https://avatars.githubusercontent.com/u/3844846?s=40&v=4 - JJGO - https://github.com/JJGO + https://avatars.githubusercontent.com/u/87995712?s=40&v=4 + ykethan + https://github.com/ykethan - https://avatars.githubusercontent.com/u/176295?s=40&v=4 - jonhoo - https://github.com/jonhoo + https://avatars.githubusercontent.com/u/5033303?s=40&v=4 + josefaidt + https://github.com/josefaidt - https://avatars.githubusercontent.com/u/48511594?s=40&v=4 - AA1HSHH - https://github.com/AA1HSHH + https://avatars.githubusercontent.com/u/4989523?s=40&v=4 + renebrandel + https://github.com/renebrandel @@ -163,7 +125,7 @@ https://github.com/simeydotme/pokemon-cards-css CSS #563d7c - 5,534 + 5,536 515 117 @@ -232,6 +194,44 @@ + + missing-semester-cn/missing-semester-cn.github.io + https://github.com/missing-semester-cn/missing-semester-cn.github.io + the CS missing semester Chinese version + https://github.com/missing-semester-cn/missing-semester-cn.github.io + CSS + #563d7c + 6,282 + 993 + 102 + + + https://avatars.githubusercontent.com/u/3370445?s=40&v=4 + hanxiaomax + https://github.com/hanxiaomax + + + https://avatars.githubusercontent.com/u/3526486?s=40&v=4 + anishathalye + https://github.com/anishathalye + + + https://avatars.githubusercontent.com/u/3844846?s=40&v=4 + JJGO + https://github.com/JJGO + + + https://avatars.githubusercontent.com/u/176295?s=40&v=4 + jonhoo + https://github.com/jonhoo + + + https://avatars.githubusercontent.com/u/48511594?s=40&v=4 + AA1HSHH + https://github.com/AA1HSHH + + + spring-projects/spring-petclinic https://github.com/spring-projects/spring-petclinic @@ -240,7 +240,7 @@ CSS #563d7c 7,784 - 23,946 + 23,948 116 @@ -270,6 +270,82 @@ + + thewhiteh4t/seeker + https://github.com/thewhiteh4t/seeker + Accurately Locate Smartphones using Social Engineering + https://github.com/thewhiteh4t/seeker + CSS + #563d7c + 7,229 + 1,727 + 173 + + + https://avatars.githubusercontent.com/u/36354846?s=40&v=4 + thewhiteh4t + https://github.com/thewhiteh4t + + + https://avatars.githubusercontent.com/u/11071770?s=40&v=4 + Resousse + https://github.com/Resousse + + + https://avatars.githubusercontent.com/u/89315818?s=40&v=4 + a7maadf + https://github.com/a7maadf + + + https://avatars.githubusercontent.com/u/32103950?s=40&v=4 + MasterDevX + https://github.com/MasterDevX + + + https://avatars.githubusercontent.com/u/30162978?s=40&v=4 + thehappydinoa + https://github.com/thehappydinoa + + + + + imfing/hextra + https://github.com/imfing/hextra + 🔯 Modern, batteries-included Hugo theme for creating beautiful doc, blog and static websites + https://github.com/imfing/hextra + CSS + #563d7c + 739 + 177 + 71 + + + https://avatars.githubusercontent.com/u/5097752?s=40&v=4 + imfing + https://github.com/imfing + + + https://avatars.githubusercontent.com/u/20981566?s=40&v=4 + iju707 + https://github.com/iju707 + + + https://avatars.githubusercontent.com/u/52619598?s=40&v=4 + zigmd + https://github.com/zigmd + + + https://avatars.githubusercontent.com/u/91950505?s=40&v=4 + naranyinyun + https://github.com/naranyinyun + + + https://avatars.githubusercontent.com/u/122173059?s=40&v=4 + hugo-sid + https://github.com/hugo-sid + + + animate-css/animate.css https://github.com/animate-css/animate.css @@ -277,7 +353,7 @@ https://github.com/animate-css/animate.css CSS #563d7c - 80,898 + 80,900 16,235 235 @@ -310,7 +386,7 @@ https://github.com/panr/hugo-theme-terminal CSS #563d7c - 2,102 + 2,103 759 64 @@ -336,44 +412,6 @@ - - thewhiteh4t/seeker - https://github.com/thewhiteh4t/seeker - Accurately Locate Smartphones using Social Engineering - https://github.com/thewhiteh4t/seeker - CSS - #563d7c - 7,229 - 1,727 - 173 - - - https://avatars.githubusercontent.com/u/36354846?s=40&v=4 - thewhiteh4t - https://github.com/thewhiteh4t - - - https://avatars.githubusercontent.com/u/11071770?s=40&v=4 - Resousse - https://github.com/Resousse - - - https://avatars.githubusercontent.com/u/89315818?s=40&v=4 - a7maadf - https://github.com/a7maadf - - - https://avatars.githubusercontent.com/u/32103950?s=40&v=4 - MasterDevX - https://github.com/MasterDevX - - - https://avatars.githubusercontent.com/u/30162978?s=40&v=4 - thehappydinoa - https://github.com/thehappydinoa - - - IBM/plex https://github.com/IBM/plex @@ -381,7 +419,7 @@ https://github.com/IBM/plex CSS #563d7c - 9,702 + 9,703 576 84 @@ -412,44 +450,6 @@ - - imfing/hextra - https://github.com/imfing/hextra - 🔯 Modern, batteries-included Hugo theme for creating beautiful doc, blog and static websites - https://github.com/imfing/hextra - CSS - #563d7c - 739 - 177 - 71 - - - https://avatars.githubusercontent.com/u/5097752?s=40&v=4 - imfing - https://github.com/imfing - - - https://avatars.githubusercontent.com/u/20981566?s=40&v=4 - iju707 - https://github.com/iju707 - - - https://avatars.githubusercontent.com/u/52619598?s=40&v=4 - zigmd - https://github.com/zigmd - - - https://avatars.githubusercontent.com/u/91950505?s=40&v=4 - naranyinyun - https://github.com/naranyinyun - - - https://avatars.githubusercontent.com/u/122173059?s=40&v=4 - hugo-sid - https://github.com/hugo-sid - - - lipis/flag-icons https://github.com/lipis/flag-icons @@ -480,7 +480,7 @@ https://github.com/jdan/98.css CSS #563d7c - 9,662 + 9,663 311 593 diff --git a/data/monthly/csv.json b/data/monthly/csv.json index 4ca134aac2ba..175479cc9837 100644 --- a/data/monthly/csv.json +++ b/data/monthly/csv.json @@ -2,6 +2,6 @@ "title": "GitHub Csv Languages Monthly Trending", "description": "Monthly Trending of Csv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/csv.xml b/data/monthly/csv.xml index 564be67f0fb1..043715af8d8d 100644 --- a/data/monthly/csv.xml +++ b/data/monthly/csv.xml @@ -3,6 +3,6 @@ GitHub Csv Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Csv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cuda.json b/data/monthly/cuda.json index 8ce5ca3c0b3b..2d92f3c6c1a4 100644 --- a/data/monthly/cuda.json +++ b/data/monthly/cuda.json @@ -2,7 +2,7 @@ "title": "GitHub Cuda Languages Monthly Trending", "description": "Monthly Trending of Cuda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "HazyResearch/ThunderKittens", @@ -10,7 +10,7 @@ "description": "Tile primitives for speedy kernels", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "1,669", + "stars": "1,671", "forks": "71", "addStars": "123", "contributors": [ @@ -47,8 +47,8 @@ "description": "FlashInfer: Kernel Library for LLM Serving", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "1,463", - "forks": "143", + "stars": "1,466", + "forks": "144", "addStars": "138", "contributors": [ { @@ -254,7 +254,7 @@ "description": "LLM training in simple, raw C/CUDA", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "24,536", + "stars": "24,542", "forks": "2,780", "addStars": "373", "contributors": [ @@ -291,7 +291,7 @@ "description": "NCCL Tests", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "902", + "stars": "904", "forks": "241", "addStars": "33", "contributors": [ @@ -402,7 +402,7 @@ "description": "CUDA accelerated rasterization of gaussian splatting", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "2,258", + "stars": "2,262", "forks": "289", "addStars": "140", "contributors": [ @@ -476,7 +476,7 @@ "description": "cuGraph - RAPIDS Graph Analytics Library", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "1,760", + "stars": "1,761", "forks": "304", "addStars": "58", "contributors": [ diff --git a/data/monthly/cuda.xml b/data/monthly/cuda.xml index 997ae6ac7905..0bab9c0a899b 100644 --- a/data/monthly/cuda.xml +++ b/data/monthly/cuda.xml @@ -3,7 +3,7 @@ GitHub Cuda Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cuda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT HazyResearch/ThunderKittens https://github.com/HazyResearch/ThunderKittens @@ -11,7 +11,7 @@ https://github.com/HazyResearch/ThunderKittens Cuda #3A4E3A - 1,669 + 1,671 71 123 @@ -49,8 +49,8 @@ https://github.com/flashinfer-ai/flashinfer Cuda #3A4E3A - 1,463 - 143 + 1,466 + 144 138 @@ -262,7 +262,7 @@ https://github.com/karpathy/llm.c Cuda #3A4E3A - 24,536 + 24,542 2,780 373 @@ -300,7 +300,7 @@ https://github.com/NVIDIA/nccl-tests Cuda #3A4E3A - 902 + 904 241 33 @@ -414,7 +414,7 @@ https://github.com/nerfstudio-project/gsplat Cuda #3A4E3A - 2,258 + 2,262 289 140 @@ -490,7 +490,7 @@ https://github.com/rapidsai/cugraph Cuda #3A4E3A - 1,760 + 1,761 304 58 diff --git a/data/monthly/cue-sheet.json b/data/monthly/cue-sheet.json index 139433f34ffc..fe961fe67443 100644 --- a/data/monthly/cue-sheet.json +++ b/data/monthly/cue-sheet.json @@ -2,6 +2,6 @@ "title": "GitHub Cue-sheet Languages Monthly Trending", "description": "Monthly Trending of Cue-sheet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cue-sheet.xml b/data/monthly/cue-sheet.xml index 1d67a953bbb3..2afba37eb112 100644 --- a/data/monthly/cue-sheet.xml +++ b/data/monthly/cue-sheet.xml @@ -3,6 +3,6 @@ GitHub Cue-sheet Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cue-sheet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cue.json b/data/monthly/cue.json index 2657c40d4e5d..255215c0a529 100644 --- a/data/monthly/cue.json +++ b/data/monthly/cue.json @@ -2,6 +2,6 @@ "title": "GitHub Cue Languages Monthly Trending", "description": "Monthly Trending of Cue Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cue.xml b/data/monthly/cue.xml index 9cabf512ad72..dc5bcc5673ec 100644 --- a/data/monthly/cue.xml +++ b/data/monthly/cue.xml @@ -3,6 +3,6 @@ GitHub Cue Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cue Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/curl-config.json b/data/monthly/curl-config.json index d90c020fd218..1380fac902c2 100644 --- a/data/monthly/curl-config.json +++ b/data/monthly/curl-config.json @@ -2,6 +2,6 @@ "title": "GitHub Curl-config Languages Monthly Trending", "description": "Monthly Trending of Curl-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/curl-config.xml b/data/monthly/curl-config.xml index 193a42bd21a6..75a266886843 100644 --- a/data/monthly/curl-config.xml +++ b/data/monthly/curl-config.xml @@ -3,6 +3,6 @@ GitHub Curl-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Curl-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/curry.json b/data/monthly/curry.json index 2c26e0bf7b38..227f2f746652 100644 --- a/data/monthly/curry.json +++ b/data/monthly/curry.json @@ -2,6 +2,6 @@ "title": "GitHub Curry Languages Monthly Trending", "description": "Monthly Trending of Curry Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/curry.xml b/data/monthly/curry.xml index e555a9bed097..4250bab95493 100644 --- a/data/monthly/curry.xml +++ b/data/monthly/curry.xml @@ -3,6 +3,6 @@ GitHub Curry Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Curry Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cweb.json b/data/monthly/cweb.json index e87f9cd81944..be0d9ffbef5d 100644 --- a/data/monthly/cweb.json +++ b/data/monthly/cweb.json @@ -2,6 +2,6 @@ "title": "GitHub Cweb Languages Monthly Trending", "description": "Monthly Trending of Cweb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cweb.xml b/data/monthly/cweb.xml index 732c051af6c9..f1b0ab4ddd77 100644 --- a/data/monthly/cweb.xml +++ b/data/monthly/cweb.xml @@ -3,6 +3,6 @@ GitHub Cweb Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cweb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cycript.json b/data/monthly/cycript.json index 77cfc76ce971..6212e6009aa3 100644 --- a/data/monthly/cycript.json +++ b/data/monthly/cycript.json @@ -2,6 +2,6 @@ "title": "GitHub Cycript Languages Monthly Trending", "description": "Monthly Trending of Cycript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cycript.xml b/data/monthly/cycript.xml index cadec9df3512..e59b70d7c9ec 100644 --- a/data/monthly/cycript.xml +++ b/data/monthly/cycript.xml @@ -3,6 +3,6 @@ GitHub Cycript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cycript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cypher.json b/data/monthly/cypher.json index e6458dfaa975..54d2e1232562 100644 --- a/data/monthly/cypher.json +++ b/data/monthly/cypher.json @@ -2,6 +2,6 @@ "title": "GitHub Cypher Languages Monthly Trending", "description": "Monthly Trending of Cypher Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/cypher.xml b/data/monthly/cypher.xml index 94919404d68c..40834de9fd1b 100644 --- a/data/monthly/cypher.xml +++ b/data/monthly/cypher.xml @@ -3,6 +3,6 @@ GitHub Cypher Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cypher Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/cython.json b/data/monthly/cython.json index e6f3806067c0..cf669e6d66f9 100644 --- a/data/monthly/cython.json +++ b/data/monthly/cython.json @@ -2,7 +2,7 @@ "title": "GitHub Cython Languages Monthly Trending", "description": "Monthly Trending of Cython Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "TA-Lib/ta-lib-python", @@ -10,7 +10,7 @@ "description": "Python wrapper for TA-Lib (http://ta-lib.org/).", "language": "Cython", "languageColor": "#fedf5b", - "stars": "9,774", + "stars": "9,778", "forks": "1,772", "addStars": "135", "contributors": [ @@ -47,7 +47,7 @@ "description": "MuJoCo is a physics engine for detailed, efficient rigid body simulations with contacts. mujoco-py allows using MuJoCo from Python 3.", "language": "Cython", "languageColor": "#fedf5b", - "stars": "2,880", + "stars": "2,882", "forks": "813", "addStars": "14", "contributors": [ diff --git a/data/monthly/cython.xml b/data/monthly/cython.xml index 4c90fca974fc..c5e8aff1c89a 100644 --- a/data/monthly/cython.xml +++ b/data/monthly/cython.xml @@ -3,7 +3,7 @@ GitHub Cython Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Cython Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT TA-Lib/ta-lib-python https://github.com/TA-Lib/ta-lib-python @@ -11,7 +11,7 @@ https://github.com/TA-Lib/ta-lib-python Cython #fedf5b - 9,774 + 9,778 1,772 135 @@ -49,7 +49,7 @@ https://github.com/openai/mujoco-py Cython #fedf5b - 2,880 + 2,882 813 14 diff --git a/data/monthly/d-objdump.json b/data/monthly/d-objdump.json index f8d0ac5f8007..9a6e6bb8092c 100644 --- a/data/monthly/d-objdump.json +++ b/data/monthly/d-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub D-objdump Languages Monthly Trending", "description": "Monthly Trending of D-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/d-objdump.xml b/data/monthly/d-objdump.xml index 26b4822cb0ec..337c92cb67b9 100644 --- a/data/monthly/d-objdump.xml +++ b/data/monthly/d-objdump.xml @@ -3,6 +3,6 @@ GitHub D-objdump Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of D-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/d.json b/data/monthly/d.json index f78abc1af3e0..4a8e9be754ad 100644 --- a/data/monthly/d.json +++ b/data/monthly/d.json @@ -2,7 +2,7 @@ "title": "GitHub D Languages Monthly Trending", "description": "Monthly Trending of D Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Dadoum/anisette-v3-server", @@ -47,7 +47,7 @@ "description": "OneDrive Client for Linux", "language": "D", "languageColor": "#ba595e", - "stars": "10,182", + "stars": "10,183", "forks": "866", "addStars": "159", "contributors": [ @@ -84,7 +84,7 @@ "description": "A tiling terminal emulator for Linux using GTK+ 3", "language": "D", "languageColor": "#ba595e", - "stars": "5,423", + "stars": "5,424", "forks": "292", "addStars": "18", "contributors": [ diff --git a/data/monthly/d.xml b/data/monthly/d.xml index be32bd4d88e4..082c9eb24d81 100644 --- a/data/monthly/d.xml +++ b/data/monthly/d.xml @@ -3,7 +3,7 @@ GitHub D Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of D Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Dadoum/anisette-v3-server https://github.com/Dadoum/anisette-v3-server @@ -49,7 +49,7 @@ https://github.com/abraunegg/onedrive D #ba595e - 10,182 + 10,183 866 159 @@ -87,7 +87,7 @@ https://github.com/gnunn1/tilix D #ba595e - 5,423 + 5,424 292 18 diff --git a/data/monthly/d2.json b/data/monthly/d2.json index 58f83e13ac0c..6b77dab6aebc 100644 --- a/data/monthly/d2.json +++ b/data/monthly/d2.json @@ -2,6 +2,6 @@ "title": "GitHub D2 Languages Monthly Trending", "description": "Monthly Trending of D2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/d2.xml b/data/monthly/d2.xml index 5bb3ee142a26..1d7d5354fcf6 100644 --- a/data/monthly/d2.xml +++ b/data/monthly/d2.xml @@ -3,6 +3,6 @@ GitHub D2 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of D2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dafny.json b/data/monthly/dafny.json index 2435b35e80b6..7294c6f9b75e 100644 --- a/data/monthly/dafny.json +++ b/data/monthly/dafny.json @@ -2,7 +2,7 @@ "title": "GitHub Dafny Languages Monthly Trending", "description": "Monthly Trending of Dafny Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "aws/aws-encryption-sdk-dafny", diff --git a/data/monthly/dafny.xml b/data/monthly/dafny.xml index ee1f3f49fb1a..a4bc811bea5f 100644 --- a/data/monthly/dafny.xml +++ b/data/monthly/dafny.xml @@ -3,7 +3,7 @@ GitHub Dafny Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dafny Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT aws/aws-encryption-sdk-dafny https://github.com/aws/aws-encryption-sdk-dafny diff --git a/data/monthly/darcs-patch.json b/data/monthly/darcs-patch.json index a6bbe8dfbd17..a8868fdf5714 100644 --- a/data/monthly/darcs-patch.json +++ b/data/monthly/darcs-patch.json @@ -2,6 +2,6 @@ "title": "GitHub Darcs-patch Languages Monthly Trending", "description": "Monthly Trending of Darcs-patch Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/darcs-patch.xml b/data/monthly/darcs-patch.xml index 056136c34a01..2ba255662502 100644 --- a/data/monthly/darcs-patch.xml +++ b/data/monthly/darcs-patch.xml @@ -3,6 +3,6 @@ GitHub Darcs-patch Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Darcs-patch Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dart.json b/data/monthly/dart.json index 33c6b67c0b76..26cc02ba11a7 100644 --- a/data/monthly/dart.json +++ b/data/monthly/dart.json @@ -2,52 +2,15 @@ "title": "GitHub Dart Languages Monthly Trending", "description": "Monthly Trending of Dart Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "flutter/flutter", - "url": "https://github.com/flutter/flutter", - "description": "Flutter makes it easy and fast to build beautiful apps for mobile and beyond", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "166,663", - "forks": "27,604", - "addStars": "1,349", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/42042535?s=40&v=4", - "name": "engine-flutter-autoroll", - "url": "https://github.com/engine-flutter-autoroll" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/112007?s=40&v=4", - "name": "abarth", - "url": "https://github.com/abarth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8975114?s=40&v=4", - "name": "jonahwilliams", - "url": "https://github.com/jonahwilliams" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/551196?s=40&v=4", - "name": "Hixie", - "url": "https://github.com/Hixie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/682784?s=40&v=4", - "name": "jmagman", - "url": "https://github.com/jmagman" - } - ] - }, { "title": "ReVanced/revanced-manager", "url": "https://github.com/ReVanced/revanced-manager", "description": "💊 Application to use ReVanced on Android", "language": "Dart", "languageColor": "#00B4AB", - "stars": "18,376", + "stars": "18,377", "forks": "749", "addStars": "553", "contributors": [ @@ -84,8 +47,8 @@ "description": "PiliPala 是使用Flutter开发的BiliBili第三方客户端,感谢使用。", "language": "Dart", "languageColor": "#00B4AB", - "stars": "7,503", - "forks": "309", + "stars": "7,510", + "forks": "310", "addStars": "1,090", "contributors": [ { @@ -116,39 +79,71 @@ ] }, { - "title": "firebase/flutterfire", - "url": "https://github.com/firebase/flutterfire", - "description": "🔥 A collection of Firebase plugins for Flutter apps.", + "title": "flutter/flutter", + "url": "https://github.com/flutter/flutter", + "description": "Flutter makes it easy and fast to build beautiful apps for mobile and beyond", "language": "Dart", "languageColor": "#00B4AB", - "stars": "8,730", - "forks": "3,976", - "addStars": "59", + "stars": "166,667", + "forks": "27,605", + "addStars": "1,349", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16018629?s=40&v=4", - "name": "russellwheatley", - "url": "https://github.com/russellwheatley" + "avatar": "https://avatars.githubusercontent.com/u/42042535?s=40&v=4", + "name": "engine-flutter-autoroll", + "url": "https://github.com/engine-flutter-autoroll" }, { - "avatar": "https://avatars.githubusercontent.com/u/5347038?s=40&v=4", - "name": "Salakar", - "url": "https://github.com/Salakar" + "avatar": "https://avatars.githubusercontent.com/u/112007?s=40&v=4", + "name": "abarth", + "url": "https://github.com/abarth" }, { - "avatar": "https://avatars.githubusercontent.com/u/3680002?s=40&v=4", - "name": "Lyokone", - "url": "https://github.com/Lyokone" + "avatar": "https://avatars.githubusercontent.com/u/8975114?s=40&v=4", + "name": "jonahwilliams", + "url": "https://github.com/jonahwilliams" }, { - "avatar": "https://avatars.githubusercontent.com/u/394889?s=40&v=4", - "name": "collinjackson", - "url": "https://github.com/collinjackson" + "avatar": "https://avatars.githubusercontent.com/u/551196?s=40&v=4", + "name": "Hixie", + "url": "https://github.com/Hixie" }, { - "avatar": "https://avatars.githubusercontent.com/u/20165741?s=40&v=4", - "name": "rrousselGit", - "url": "https://github.com/rrousselGit" + "avatar": "https://avatars.githubusercontent.com/u/682784?s=40&v=4", + "name": "jmagman", + "url": "https://github.com/jmagman" + } + ] + }, + { + "title": "flutter/samples", + "url": "https://github.com/flutter/samples", + "description": "A collection of Flutter examples and demos", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "17,352", + "forks": "7,542", + "addStars": "164", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/30503?s=40&v=4", + "name": "domesticmouse", + "url": "https://github.com/domesticmouse" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/969662?s=40&v=4", + "name": "RedBrogdon", + "url": "https://github.com/RedBrogdon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1145719?s=40&v=4", + "name": "johnpryan", + "url": "https://github.com/johnpryan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18372958?s=40&v=4", + "name": "parlough", + "url": "https://github.com/parlough" } ] }, @@ -158,8 +153,8 @@ "description": "Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "17,733", - "forks": "1,589", + "stars": "17,736", + "forks": "1,590", "addStars": "1,129", "contributors": [ { @@ -189,6 +184,43 @@ } ] }, + { + "title": "firebase/flutterfire", + "url": "https://github.com/firebase/flutterfire", + "description": "🔥 A collection of Firebase plugins for Flutter apps.", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "8,730", + "forks": "3,976", + "addStars": "59", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/16018629?s=40&v=4", + "name": "russellwheatley", + "url": "https://github.com/russellwheatley" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5347038?s=40&v=4", + "name": "Salakar", + "url": "https://github.com/Salakar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3680002?s=40&v=4", + "name": "Lyokone", + "url": "https://github.com/Lyokone" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/394889?s=40&v=4", + "name": "collinjackson", + "url": "https://github.com/collinjackson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20165741?s=40&v=4", + "name": "rrousselGit", + "url": "https://github.com/rrousselGit" + } + ] + }, { "title": "flutter/packages", "url": "https://github.com/flutter/packages", @@ -196,7 +228,7 @@ "language": "Dart", "languageColor": "#00B4AB", "stars": "4,421", - "forks": "2,921", + "forks": "2,924", "addStars": "80", "contributors": [ { @@ -221,45 +253,13 @@ } ] }, - { - "title": "flutter/samples", - "url": "https://github.com/flutter/samples", - "description": "A collection of Flutter examples and demos", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "17,350", - "forks": "7,541", - "addStars": "164", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/30503?s=40&v=4", - "name": "domesticmouse", - "url": "https://github.com/domesticmouse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/969662?s=40&v=4", - "name": "RedBrogdon", - "url": "https://github.com/RedBrogdon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1145719?s=40&v=4", - "name": "johnpryan", - "url": "https://github.com/johnpryan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18372958?s=40&v=4", - "name": "parlough", - "url": "https://github.com/parlough" - } - ] - }, { "title": "anandnet/Harmony-Music", "url": "https://github.com/anandnet/Harmony-Music", "description": "A cross platform App for streaming Music", "language": "Dart", "languageColor": "#00B4AB", - "stars": "973", + "stars": "975", "forks": "74", "addStars": "158", "contributors": [ @@ -328,8 +328,8 @@ "description": "💸 An app created to help users manage a budget and purchases", "language": "Dart", "languageColor": "#00B4AB", - "stars": "1,887", - "forks": "252", + "stars": "1,889", + "forks": "251", "addStars": "177", "contributors": [ { @@ -350,8 +350,8 @@ "description": "An open-source cross-platform alternative to AirDrop", "language": "Dart", "languageColor": "#00B4AB", - "stars": "53,243", - "forks": "2,866", + "stars": "53,272", + "forks": "2,871", "addStars": "4,203", "contributors": [ { @@ -387,7 +387,7 @@ "description": "A cross-platform manga app made for e-hentai & exhentai by Flutter", "language": "Dart", "languageColor": "#00B4AB", - "stars": "3,073", + "stars": "3,072", "forks": "77", "addStars": "205", "contributors": [ @@ -456,8 +456,8 @@ "description": "A multi-platform proxy client based on ClashMeta,simple and easy to use, open-source and ad-free.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "11,172", - "forks": "649", + "stars": "11,186", + "forks": "650", "addStars": "1,373", "contributors": [ { @@ -477,28 +477,6 @@ } ] }, - { - "title": "DJDoubleD/refreezer", - "url": "https://github.com/DJDoubleD/refreezer", - "description": "An alternative Deezer music streaming & downloading client, based on Freezer.", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "285", - "forks": "9", - "addStars": "74", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/34967020?s=40&v=4", - "name": "DJDoubleD", - "url": "https://github.com/DJDoubleD" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51877146?s=40&v=4", - "name": "bw8686", - "url": "https://github.com/bw8686" - } - ] - }, { "title": "JideGuru/FlutterEbookApp", "url": "https://github.com/JideGuru/FlutterEbookApp", @@ -506,7 +484,7 @@ "language": "Dart", "languageColor": "#00B4AB", "stars": "3,073", - "forks": "877", + "forks": "878", "addStars": "52", "contributors": [ { @@ -536,50 +514,13 @@ } ] }, - { - "title": "toly1994328/FlutterUnit", - "url": "https://github.com/toly1994328/FlutterUnit", - "description": "All Platform Flutter Experience App", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "7,965", - "forks": "1,288", - "addStars": "102", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/26687012?s=40&v=4", - "name": "toly1994328", - "url": "https://github.com/toly1994328" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87525862?s=40&v=4", - "name": "acsweets", - "url": "https://github.com/acsweets" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2888674?s=40&v=4", - "name": "yrom", - "url": "https://github.com/yrom" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15721565?s=40&v=4", - "name": "dingjianjaja", - "url": "https://github.com/dingjianjaja" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/153727250?s=40&v=4", - "name": "starsweets", - "url": "https://github.com/starsweets" - } - ] - }, { "title": "saber-notes/saber", "url": "https://github.com/saber-notes/saber", "description": "The cross-platform open-source app built for handwriting", "language": "Dart", "languageColor": "#00B4AB", - "stars": "2,306", + "stars": "2,307", "forks": "152", "addStars": "150", "contributors": [ @@ -610,13 +551,35 @@ } ] }, + { + "title": "DJDoubleD/refreezer", + "url": "https://github.com/DJDoubleD/refreezer", + "description": "An alternative Deezer music streaming & downloading client, based on Freezer.", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "285", + "forks": "9", + "addStars": "74", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/34967020?s=40&v=4", + "name": "DJDoubleD", + "url": "https://github.com/DJDoubleD" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/51877146?s=40&v=4", + "name": "bw8686", + "url": "https://github.com/bw8686" + } + ] + }, { "title": "KRTirtho/spotube", "url": "https://github.com/KRTirtho/spotube", "description": "🎧 Open source Spotify client that doesn't require Premium nor uses Electron! Available for both desktop & mobile!", "language": "Dart", "languageColor": "#00B4AB", - "stars": "30,832", + "stars": "30,834", "forks": "1,275", "addStars": "865", "contributors": [ @@ -680,71 +643,98 @@ ] }, { - "title": "lollipopkit/flutter_server_box", - "url": "https://github.com/lollipopkit/flutter_server_box", - "description": "ServerBox - server status & toolbox", + "title": "toly1994328/FlutterUnit", + "url": "https://github.com/toly1994328/FlutterUnit", + "description": "All Platform Flutter Experience App", "language": "Dart", "languageColor": "#00B4AB", - "stars": "4,880", - "forks": "309", - "addStars": "312", + "stars": "7,966", + "forks": "1,288", + "addStars": "102", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10864310?s=40&v=4", - "name": "lollipopkit", - "url": "https://github.com/lollipopkit" + "avatar": "https://avatars.githubusercontent.com/u/26687012?s=40&v=4", + "name": "toly1994328", + "url": "https://github.com/toly1994328" }, { - "avatar": "https://avatars.githubusercontent.com/u/7395735?s=40&v=4", - "name": "PaperCube", - "url": "https://github.com/PaperCube" + "avatar": "https://avatars.githubusercontent.com/u/87525862?s=40&v=4", + "name": "acsweets", + "url": "https://github.com/acsweets" }, { - "avatar": "https://avatars.githubusercontent.com/u/71180087?s=40&v=4", - "name": "Integral-Tech", - "url": "https://github.com/Integral-Tech" + "avatar": "https://avatars.githubusercontent.com/u/2888674?s=40&v=4", + "name": "yrom", + "url": "https://github.com/yrom" }, { - "avatar": "https://avatars.githubusercontent.com/u/72285529?s=40&v=4", - "name": "No06", - "url": "https://github.com/No06" + "avatar": "https://avatars.githubusercontent.com/u/15721565?s=40&v=4", + "name": "dingjianjaja", + "url": "https://github.com/dingjianjaja" }, { - "avatar": "https://avatars.githubusercontent.com/u/77213338?s=40&v=4", - "name": "its-tom", - "url": "https://github.com/its-tom" + "avatar": "https://avatars.githubusercontent.com/u/153727250?s=40&v=4", + "name": "starsweets", + "url": "https://github.com/starsweets" } ] }, { - "title": "fluttercommunity/plus_plugins", - "url": "https://github.com/fluttercommunity/plus_plugins", - "description": "Flutter Community Plus Plugins", + "title": "abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard", + "url": "https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard", + "description": "Responsive Admin Panel or Dashboard using Flutter", "language": "Dart", "languageColor": "#00B4AB", - "stars": "1,623", - "forks": "983", - "addStars": "19", + "stars": "6,738", + "forks": "1,921", + "addStars": "47", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/42710380?s=40&v=4", + "name": "abuanwar072", + "url": "https://github.com/abuanwar072" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38634459?s=40&v=4", + "name": "RounakTadvi", + "url": "https://github.com/RounakTadvi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1250858?s=40&v=4", + "name": "simon1tan", + "url": "https://github.com/simon1tan" + } + ] + }, + { + "title": "deckerst/aves", + "url": "https://github.com/deckerst/aves", + "description": "Aves is a gallery and metadata explorer app, built for Android with Flutter.", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "2,786", + "forks": "106", + "addStars": "193", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13467769?s=40&v=4", - "name": "vbuberen", - "url": "https://github.com/vbuberen" + "avatar": "https://avatars.githubusercontent.com/u/13898333?s=40&v=4", + "name": "deckerst", + "url": "https://github.com/deckerst" }, { - "avatar": "https://avatars.githubusercontent.com/u/2494376?s=40&v=4", - "name": "miquelbeltran", - "url": "https://github.com/miquelbeltran" + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" }, { - "avatar": "https://avatars.githubusercontent.com/u/140617?s=40&v=4", - "name": "jpnurmi", - "url": "https://github.com/jpnurmi" + "avatar": "https://avatars.githubusercontent.com/u/67607997?s=40&v=4", + "name": "rehork", + "url": "https://github.com/rehork" }, { - "avatar": "https://avatars.githubusercontent.com/u/1325451?s=40&v=4", - "name": "mhadaily", - "url": "https://github.com/mhadaily" + "avatar": "https://avatars.githubusercontent.com/u/47475676?s=40&v=4", + "name": "Linerly", + "url": "https://github.com/Linerly" } ] } diff --git a/data/monthly/dart.xml b/data/monthly/dart.xml index ee709f0516c9..e2a4034f0fb2 100644 --- a/data/monthly/dart.xml +++ b/data/monthly/dart.xml @@ -3,45 +3,7 @@ GitHub Dart Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dart Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - flutter/flutter - https://github.com/flutter/flutter - Flutter makes it easy and fast to build beautiful apps for mobile and beyond - https://github.com/flutter/flutter - Dart - #00B4AB - 166,663 - 27,604 - 1,349 - - - https://avatars.githubusercontent.com/u/42042535?s=40&v=4 - engine-flutter-autoroll - https://github.com/engine-flutter-autoroll - - - https://avatars.githubusercontent.com/u/112007?s=40&v=4 - abarth - https://github.com/abarth - - - https://avatars.githubusercontent.com/u/8975114?s=40&v=4 - jonahwilliams - https://github.com/jonahwilliams - - - https://avatars.githubusercontent.com/u/551196?s=40&v=4 - Hixie - https://github.com/Hixie - - - https://avatars.githubusercontent.com/u/682784?s=40&v=4 - jmagman - https://github.com/jmagman - - - + Mon, 25 Nov 2024 13:30:36 GMT ReVanced/revanced-manager https://github.com/ReVanced/revanced-manager @@ -49,7 +11,7 @@ https://github.com/ReVanced/revanced-manager Dart #00B4AB - 18,376 + 18,377 749 553 @@ -87,8 +49,8 @@ https://github.com/guozhigq/pilipala Dart #00B4AB - 7,503 - 309 + 7,510 + 310 1,090 @@ -119,40 +81,73 @@ - firebase/flutterfire - https://github.com/firebase/flutterfire - 🔥 A collection of Firebase plugins for Flutter apps. - https://github.com/firebase/flutterfire + flutter/flutter + https://github.com/flutter/flutter + Flutter makes it easy and fast to build beautiful apps for mobile and beyond + https://github.com/flutter/flutter Dart #00B4AB - 8,730 - 3,976 - 59 + 166,667 + 27,605 + 1,349 - https://avatars.githubusercontent.com/u/16018629?s=40&v=4 - russellwheatley - https://github.com/russellwheatley + https://avatars.githubusercontent.com/u/42042535?s=40&v=4 + engine-flutter-autoroll + https://github.com/engine-flutter-autoroll - https://avatars.githubusercontent.com/u/5347038?s=40&v=4 - Salakar - https://github.com/Salakar + https://avatars.githubusercontent.com/u/112007?s=40&v=4 + abarth + https://github.com/abarth - https://avatars.githubusercontent.com/u/3680002?s=40&v=4 - Lyokone - https://github.com/Lyokone + https://avatars.githubusercontent.com/u/8975114?s=40&v=4 + jonahwilliams + https://github.com/jonahwilliams - https://avatars.githubusercontent.com/u/394889?s=40&v=4 - collinjackson - https://github.com/collinjackson + https://avatars.githubusercontent.com/u/551196?s=40&v=4 + Hixie + https://github.com/Hixie - https://avatars.githubusercontent.com/u/20165741?s=40&v=4 - rrousselGit - https://github.com/rrousselGit + https://avatars.githubusercontent.com/u/682784?s=40&v=4 + jmagman + https://github.com/jmagman + + + + + flutter/samples + https://github.com/flutter/samples + A collection of Flutter examples and demos + https://github.com/flutter/samples + Dart + #00B4AB + 17,352 + 7,542 + 164 + + + https://avatars.githubusercontent.com/u/30503?s=40&v=4 + domesticmouse + https://github.com/domesticmouse + + + https://avatars.githubusercontent.com/u/969662?s=40&v=4 + RedBrogdon + https://github.com/RedBrogdon + + + https://avatars.githubusercontent.com/u/1145719?s=40&v=4 + johnpryan + https://github.com/johnpryan + + + https://avatars.githubusercontent.com/u/18372958?s=40&v=4 + parlough + https://github.com/parlough @@ -163,8 +158,8 @@ https://github.com/hiddify/hiddify-app Dart #00B4AB - 17,733 - 1,589 + 17,736 + 1,590 1,129 @@ -194,6 +189,44 @@ + + firebase/flutterfire + https://github.com/firebase/flutterfire + 🔥 A collection of Firebase plugins for Flutter apps. + https://github.com/firebase/flutterfire + Dart + #00B4AB + 8,730 + 3,976 + 59 + + + https://avatars.githubusercontent.com/u/16018629?s=40&v=4 + russellwheatley + https://github.com/russellwheatley + + + https://avatars.githubusercontent.com/u/5347038?s=40&v=4 + Salakar + https://github.com/Salakar + + + https://avatars.githubusercontent.com/u/3680002?s=40&v=4 + Lyokone + https://github.com/Lyokone + + + https://avatars.githubusercontent.com/u/394889?s=40&v=4 + collinjackson + https://github.com/collinjackson + + + https://avatars.githubusercontent.com/u/20165741?s=40&v=4 + rrousselGit + https://github.com/rrousselGit + + + flutter/packages https://github.com/flutter/packages @@ -202,7 +235,7 @@ Dart #00B4AB 4,421 - 2,921 + 2,924 80 @@ -227,39 +260,6 @@ - - flutter/samples - https://github.com/flutter/samples - A collection of Flutter examples and demos - https://github.com/flutter/samples - Dart - #00B4AB - 17,350 - 7,541 - 164 - - - https://avatars.githubusercontent.com/u/30503?s=40&v=4 - domesticmouse - https://github.com/domesticmouse - - - https://avatars.githubusercontent.com/u/969662?s=40&v=4 - RedBrogdon - https://github.com/RedBrogdon - - - https://avatars.githubusercontent.com/u/1145719?s=40&v=4 - johnpryan - https://github.com/johnpryan - - - https://avatars.githubusercontent.com/u/18372958?s=40&v=4 - parlough - https://github.com/parlough - - - anandnet/Harmony-Music https://github.com/anandnet/Harmony-Music @@ -267,7 +267,7 @@ https://github.com/anandnet/Harmony-Music Dart #00B4AB - 973 + 975 74 158 @@ -338,8 +338,8 @@ https://github.com/jameskokoska/Cashew Dart #00B4AB - 1,887 - 252 + 1,889 + 251 177 @@ -361,8 +361,8 @@ https://github.com/localsend/localsend Dart #00B4AB - 53,243 - 2,866 + 53,272 + 2,871 4,203 @@ -399,7 +399,7 @@ https://github.com/jiangtian616/JHenTai Dart #00B4AB - 3,073 + 3,072 77 205 @@ -470,8 +470,8 @@ https://github.com/chen08209/FlClash Dart #00B4AB - 11,172 - 649 + 11,186 + 650 1,373 @@ -491,29 +491,6 @@ - - DJDoubleD/refreezer - https://github.com/DJDoubleD/refreezer - An alternative Deezer music streaming & downloading client, based on Freezer. - https://github.com/DJDoubleD/refreezer - Dart - #00B4AB - 285 - 9 - 74 - - - https://avatars.githubusercontent.com/u/34967020?s=40&v=4 - DJDoubleD - https://github.com/DJDoubleD - - - https://avatars.githubusercontent.com/u/51877146?s=40&v=4 - bw8686 - https://github.com/bw8686 - - - JideGuru/FlutterEbookApp https://github.com/JideGuru/FlutterEbookApp @@ -522,7 +499,7 @@ Dart #00B4AB 3,073 - 877 + 878 52 @@ -552,44 +529,6 @@ - - toly1994328/FlutterUnit - https://github.com/toly1994328/FlutterUnit - All Platform Flutter Experience App - https://github.com/toly1994328/FlutterUnit - Dart - #00B4AB - 7,965 - 1,288 - 102 - - - https://avatars.githubusercontent.com/u/26687012?s=40&v=4 - toly1994328 - https://github.com/toly1994328 - - - https://avatars.githubusercontent.com/u/87525862?s=40&v=4 - acsweets - https://github.com/acsweets - - - https://avatars.githubusercontent.com/u/2888674?s=40&v=4 - yrom - https://github.com/yrom - - - https://avatars.githubusercontent.com/u/15721565?s=40&v=4 - dingjianjaja - https://github.com/dingjianjaja - - - https://avatars.githubusercontent.com/u/153727250?s=40&v=4 - starsweets - https://github.com/starsweets - - - saber-notes/saber https://github.com/saber-notes/saber @@ -597,7 +536,7 @@ https://github.com/saber-notes/saber Dart #00B4AB - 2,306 + 2,307 152 150 @@ -628,6 +567,29 @@ + + DJDoubleD/refreezer + https://github.com/DJDoubleD/refreezer + An alternative Deezer music streaming & downloading client, based on Freezer. + https://github.com/DJDoubleD/refreezer + Dart + #00B4AB + 285 + 9 + 74 + + + https://avatars.githubusercontent.com/u/34967020?s=40&v=4 + DJDoubleD + https://github.com/DJDoubleD + + + https://avatars.githubusercontent.com/u/51877146?s=40&v=4 + bw8686 + https://github.com/bw8686 + + + KRTirtho/spotube https://github.com/KRTirtho/spotube @@ -635,7 +597,7 @@ https://github.com/KRTirtho/spotube Dart #00B4AB - 30,832 + 30,834 1,275 865 @@ -700,73 +662,101 @@ - lollipopkit/flutter_server_box - https://github.com/lollipopkit/flutter_server_box - ServerBox - server status & toolbox - https://github.com/lollipopkit/flutter_server_box + toly1994328/FlutterUnit + https://github.com/toly1994328/FlutterUnit + All Platform Flutter Experience App + https://github.com/toly1994328/FlutterUnit Dart #00B4AB - 4,880 - 309 - 312 + 7,966 + 1,288 + 102 - https://avatars.githubusercontent.com/u/10864310?s=40&v=4 - lollipopkit - https://github.com/lollipopkit + https://avatars.githubusercontent.com/u/26687012?s=40&v=4 + toly1994328 + https://github.com/toly1994328 - https://avatars.githubusercontent.com/u/7395735?s=40&v=4 - PaperCube - https://github.com/PaperCube + https://avatars.githubusercontent.com/u/87525862?s=40&v=4 + acsweets + https://github.com/acsweets - https://avatars.githubusercontent.com/u/71180087?s=40&v=4 - Integral-Tech - https://github.com/Integral-Tech + https://avatars.githubusercontent.com/u/2888674?s=40&v=4 + yrom + https://github.com/yrom - https://avatars.githubusercontent.com/u/72285529?s=40&v=4 - No06 - https://github.com/No06 + https://avatars.githubusercontent.com/u/15721565?s=40&v=4 + dingjianjaja + https://github.com/dingjianjaja - https://avatars.githubusercontent.com/u/77213338?s=40&v=4 - its-tom - https://github.com/its-tom + https://avatars.githubusercontent.com/u/153727250?s=40&v=4 + starsweets + https://github.com/starsweets - fluttercommunity/plus_plugins - https://github.com/fluttercommunity/plus_plugins - Flutter Community Plus Plugins - https://github.com/fluttercommunity/plus_plugins + abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard + https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard + Responsive Admin Panel or Dashboard using Flutter + https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard Dart #00B4AB - 1,623 - 983 - 19 + 6,738 + 1,921 + 47 + + + https://avatars.githubusercontent.com/u/42710380?s=40&v=4 + abuanwar072 + https://github.com/abuanwar072 + + + https://avatars.githubusercontent.com/u/38634459?s=40&v=4 + RounakTadvi + https://github.com/RounakTadvi + + + https://avatars.githubusercontent.com/u/1250858?s=40&v=4 + simon1tan + https://github.com/simon1tan + + + + + deckerst/aves + https://github.com/deckerst/aves + Aves is a gallery and metadata explorer app, built for Android with Flutter. + https://github.com/deckerst/aves + Dart + #00B4AB + 2,786 + 106 + 193 - https://avatars.githubusercontent.com/u/13467769?s=40&v=4 - vbuberen - https://github.com/vbuberen + https://avatars.githubusercontent.com/u/13898333?s=40&v=4 + deckerst + https://github.com/deckerst - https://avatars.githubusercontent.com/u/2494376?s=40&v=4 - miquelbeltran - https://github.com/miquelbeltran + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate - https://avatars.githubusercontent.com/u/140617?s=40&v=4 - jpnurmi - https://github.com/jpnurmi + https://avatars.githubusercontent.com/u/67607997?s=40&v=4 + rehork + https://github.com/rehork - https://avatars.githubusercontent.com/u/1325451?s=40&v=4 - mhadaily - https://github.com/mhadaily + https://avatars.githubusercontent.com/u/47475676?s=40&v=4 + Linerly + https://github.com/Linerly diff --git a/data/monthly/dataweave.json b/data/monthly/dataweave.json index 179b604c1adc..07a1e388051e 100644 --- a/data/monthly/dataweave.json +++ b/data/monthly/dataweave.json @@ -2,6 +2,6 @@ "title": "GitHub Dataweave Languages Monthly Trending", "description": "Monthly Trending of Dataweave Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dataweave.xml b/data/monthly/dataweave.xml index 5c066059f790..8fec37fc5a47 100644 --- a/data/monthly/dataweave.xml +++ b/data/monthly/dataweave.xml @@ -3,6 +3,6 @@ GitHub Dataweave Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dataweave Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/debian-package-control-file.json b/data/monthly/debian-package-control-file.json index e3515a34402e..f73ccf520c89 100644 --- a/data/monthly/debian-package-control-file.json +++ b/data/monthly/debian-package-control-file.json @@ -2,6 +2,6 @@ "title": "GitHub Debian-package-control-file Languages Monthly Trending", "description": "Monthly Trending of Debian-package-control-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/debian-package-control-file.xml b/data/monthly/debian-package-control-file.xml index 1fc80a8319c8..b9feb2e3dbcf 100644 --- a/data/monthly/debian-package-control-file.xml +++ b/data/monthly/debian-package-control-file.xml @@ -3,6 +3,6 @@ GitHub Debian-package-control-file Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Debian-package-control-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/denizenscript.json b/data/monthly/denizenscript.json index 945f1c3d5282..e6c8a826d038 100644 --- a/data/monthly/denizenscript.json +++ b/data/monthly/denizenscript.json @@ -2,6 +2,6 @@ "title": "GitHub Denizenscript Languages Monthly Trending", "description": "Monthly Trending of Denizenscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/denizenscript.xml b/data/monthly/denizenscript.xml index 16e5bf365327..94124a32aae3 100644 --- a/data/monthly/denizenscript.xml +++ b/data/monthly/denizenscript.xml @@ -3,6 +3,6 @@ GitHub Denizenscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Denizenscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/desktop.json b/data/monthly/desktop.json index 60b3de00ebaa..e8d872ca8031 100644 --- a/data/monthly/desktop.json +++ b/data/monthly/desktop.json @@ -2,6 +2,6 @@ "title": "GitHub Desktop Languages Monthly Trending", "description": "Monthly Trending of Desktop Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/desktop.xml b/data/monthly/desktop.xml index d05869ec83a4..b0ac92d35042 100644 --- a/data/monthly/desktop.xml +++ b/data/monthly/desktop.xml @@ -3,6 +3,6 @@ GitHub Desktop Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Desktop Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dhall.json b/data/monthly/dhall.json index 48fded6bac90..6159c902a9a4 100644 --- a/data/monthly/dhall.json +++ b/data/monthly/dhall.json @@ -2,6 +2,6 @@ "title": "GitHub Dhall Languages Monthly Trending", "description": "Monthly Trending of Dhall Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dhall.xml b/data/monthly/dhall.xml index b36b477dea64..cb240896b517 100644 --- a/data/monthly/dhall.xml +++ b/data/monthly/dhall.xml @@ -3,6 +3,6 @@ GitHub Dhall Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dhall Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/diff.json b/data/monthly/diff.json index 006f60be1402..fec209805713 100644 --- a/data/monthly/diff.json +++ b/data/monthly/diff.json @@ -2,6 +2,6 @@ "title": "GitHub Diff Languages Monthly Trending", "description": "Monthly Trending of Diff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/diff.xml b/data/monthly/diff.xml index 54a82918bdf8..6ca9952e3d12 100644 --- a/data/monthly/diff.xml +++ b/data/monthly/diff.xml @@ -3,6 +3,6 @@ GitHub Diff Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Diff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/digital-command-language.json b/data/monthly/digital-command-language.json index 3dd46848fda6..845400fa787a 100644 --- a/data/monthly/digital-command-language.json +++ b/data/monthly/digital-command-language.json @@ -2,7 +2,7 @@ "title": "GitHub Digital-command-language Languages Monthly Trending", "description": "Monthly Trending of Digital-command-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "github/dmca", diff --git a/data/monthly/digital-command-language.xml b/data/monthly/digital-command-language.xml index 6cf0f3a7ef93..0abb7d61d528 100644 --- a/data/monthly/digital-command-language.xml +++ b/data/monthly/digital-command-language.xml @@ -3,7 +3,7 @@ GitHub Digital-command-language Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Digital-command-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT github/dmca https://github.com/github/dmca diff --git a/data/monthly/dircolors.json b/data/monthly/dircolors.json index a7e810fac645..7c46c22b36f4 100644 --- a/data/monthly/dircolors.json +++ b/data/monthly/dircolors.json @@ -2,6 +2,6 @@ "title": "GitHub Dircolors Languages Monthly Trending", "description": "Monthly Trending of Dircolors Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dircolors.xml b/data/monthly/dircolors.xml index 0d40de779792..4b8bc700b3f3 100644 --- a/data/monthly/dircolors.xml +++ b/data/monthly/dircolors.xml @@ -3,6 +3,6 @@ GitHub Dircolors Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dircolors Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/directx-3d-file.json b/data/monthly/directx-3d-file.json index 6c62836d1095..7aa98649c2ae 100644 --- a/data/monthly/directx-3d-file.json +++ b/data/monthly/directx-3d-file.json @@ -2,6 +2,6 @@ "title": "GitHub Directx-3d-file Languages Monthly Trending", "description": "Monthly Trending of Directx-3d-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/directx-3d-file.xml b/data/monthly/directx-3d-file.xml index 4bbd753e5ecd..b64bd2db3ae0 100644 --- a/data/monthly/directx-3d-file.xml +++ b/data/monthly/directx-3d-file.xml @@ -3,6 +3,6 @@ GitHub Directx-3d-file Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Directx-3d-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dm.json b/data/monthly/dm.json index 41b6717ec6d0..13838687f258 100644 --- a/data/monthly/dm.json +++ b/data/monthly/dm.json @@ -2,7 +2,7 @@ "title": "GitHub Dm Languages Monthly Trending", "description": "Monthly Trending of Dm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tgstation/tgstation", @@ -10,8 +10,8 @@ "description": "The /tg/station branch of SS13.", "language": "DM", "languageColor": "#447265", - "stars": "1,723", - "forks": "4,768", + "stars": "1,724", + "forks": "4,769", "addStars": "14", "contributors": [ { @@ -190,29 +190,39 @@ ] }, { - "title": "cmss13-devs/cmss13", - "url": "https://github.com/cmss13-devs/cmss13", - "description": "Contains the code for CM-SS13", + "title": "ParadiseSS13/Paradise", + "url": "https://github.com/ParadiseSS13/Paradise", + "description": "Paradise Station's GitHub main repository.", "language": "DM", "languageColor": "#447265", - "stars": "103", - "forks": "569", - "addStars": "10", + "stars": "406", + "forks": "1,209", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/128137806?s=40&v=4", - "name": "cm13-github", - "url": "https://github.com/cm13-github" + "avatar": "https://avatars.githubusercontent.com/u/5785200?s=40&v=4", + "name": "Fox-McCloud", + "url": "https://github.com/Fox-McCloud" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17733569?s=40&v=4", + "name": "ParadiseSS13-Bot", + "url": "https://github.com/ParadiseSS13-Bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4948622?s=40&v=4", + "name": "ZomgPonies", + "url": "https://github.com/ZomgPonies" }, { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/3009534?s=40&v=4", + "name": "mvanalphen", + "url": "https://github.com/mvanalphen" }, { - "avatar": "https://avatars.githubusercontent.com/u/66756236?s=40&v=4", - "name": "stanalbatross", - "url": "https://github.com/stanalbatross" + "avatar": "https://avatars.githubusercontent.com/u/40092670?s=40&v=4", + "name": "variableundefined", + "url": "https://github.com/variableundefined" } ] } diff --git a/data/monthly/dm.xml b/data/monthly/dm.xml index 4635bc424ce2..43ea762f7f29 100644 --- a/data/monthly/dm.xml +++ b/data/monthly/dm.xml @@ -3,7 +3,7 @@ GitHub Dm Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tgstation/tgstation https://github.com/tgstation/tgstation @@ -11,8 +11,8 @@ https://github.com/tgstation/tgstation DM #447265 - 1,723 - 4,768 + 1,724 + 4,769 14 @@ -195,30 +195,40 @@ - cmss13-devs/cmss13 - https://github.com/cmss13-devs/cmss13 - Contains the code for CM-SS13 - https://github.com/cmss13-devs/cmss13 + ParadiseSS13/Paradise + https://github.com/ParadiseSS13/Paradise + Paradise Station's GitHub main repository. + https://github.com/ParadiseSS13/Paradise DM #447265 - 103 - 569 - 10 + 406 + 1,209 + 4 - https://avatars.githubusercontent.com/u/128137806?s=40&v=4 - cm13-github - https://github.com/cm13-github + https://avatars.githubusercontent.com/u/5785200?s=40&v=4 + Fox-McCloud + https://github.com/Fox-McCloud + + + https://avatars.githubusercontent.com/u/17733569?s=40&v=4 + ParadiseSS13-Bot + https://github.com/ParadiseSS13-Bot + + + https://avatars.githubusercontent.com/u/4948622?s=40&v=4 + ZomgPonies + https://github.com/ZomgPonies - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/3009534?s=40&v=4 + mvanalphen + https://github.com/mvanalphen - https://avatars.githubusercontent.com/u/66756236?s=40&v=4 - stanalbatross - https://github.com/stanalbatross + https://avatars.githubusercontent.com/u/40092670?s=40&v=4 + variableundefined + https://github.com/variableundefined diff --git a/data/monthly/dns-zone.json b/data/monthly/dns-zone.json index d386338fabc9..a56013a3ef7c 100644 --- a/data/monthly/dns-zone.json +++ b/data/monthly/dns-zone.json @@ -2,6 +2,6 @@ "title": "GitHub Dns-zone Languages Monthly Trending", "description": "Monthly Trending of Dns-zone Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dns-zone.xml b/data/monthly/dns-zone.xml index ae793e501885..34efe27a5109 100644 --- a/data/monthly/dns-zone.xml +++ b/data/monthly/dns-zone.xml @@ -3,6 +3,6 @@ GitHub Dns-zone Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dns-zone Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dockerfile.json b/data/monthly/dockerfile.json index c589ae2e5bd7..3c282f56dc3b 100644 --- a/data/monthly/dockerfile.json +++ b/data/monthly/dockerfile.json @@ -2,7 +2,7 @@ "title": "GitHub Dockerfile Languages Monthly Trending", "description": "Monthly Trending of Dockerfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Anduin2017/HowToCook", @@ -10,8 +10,8 @@ "description": "程序员在家做饭方法指南。Programmer's guide about how to cook at home (Simplified Chinese only).", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "67,590", - "forks": "8,749", + "stars": "67,605", + "forks": "8,748", "addStars": "669", "contributors": [ { @@ -69,7 +69,7 @@ "description": "为开发人员分享快速参考备忘清单(速查表)", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "12,354", + "stars": "12,355", "forks": "1,890", "addStars": "272", "contributors": [ @@ -127,13 +127,50 @@ } ] }, + { + "title": "odoo/docker", + "url": "https://github.com/odoo/docker", + "description": "", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "979", + "forks": "1,568", + "addStars": "14", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/334923?s=40&v=4", + "name": "d-fence", + "url": "https://github.com/d-fence" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3018448?s=40&v=4", + "name": "cecton", + "url": "https://github.com/cecton" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9432572?s=40&v=4", + "name": "aab-odoo", + "url": "https://github.com/aab-odoo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7601090?s=40&v=4", + "name": "sle-odoo", + "url": "https://github.com/sle-odoo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/76367?s=40&v=4", + "name": "md5", + "url": "https://github.com/md5" + } + ] + }, { "title": "vulhub/vulhub", "url": "https://github.com/vulhub/vulhub", "description": "Pre-Built Vulnerable Environments Based on Docker-Compose", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "17,856", + "stars": "17,862", "forks": "4,485", "addStars": "169", "contributors": [ @@ -201,13 +238,45 @@ } ] }, + { + "title": "goldbergyoni/nodebestpractices", + "url": "https://github.com/goldbergyoni/nodebestpractices", + "description": "✅ The Node.js best practices list (July 2024)", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "100,702", + "forks": "10,209", + "addStars": "801", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8571500?s=40&v=4", + "name": "goldbergyoni", + "url": "https://github.com/goldbergyoni" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17216937?s=40&v=4", + "name": "anediaz", + "url": "https://github.com/anediaz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9084421?s=40&v=4", + "name": "idori", + "url": "https://github.com/idori" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16746759?s=40&v=4", + "name": "rluvaton", + "url": "https://github.com/rluvaton" + } + ] + }, { "title": "dunglas/symfony-docker", "url": "https://github.com/dunglas/symfony-docker", "description": "A Docker-based installer and runtime for Symfony. Install: download and `docker compose up`.", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "2,611", + "stars": "2,614", "forks": "779", "addStars": "36", "contributors": [ @@ -238,80 +307,6 @@ } ] }, - { - "title": "OWASP/wstg", - "url": "https://github.com/OWASP/wstg", - "description": "The Web Security Testing Guide is a comprehensive Open Source guide to testing the security of web applications and web services.", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "7,357", - "forks": "1,334", - "addStars": "109", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7570458?s=40&v=4", - "name": "kingthorin", - "url": "https://github.com/kingthorin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32433575?s=40&v=4", - "name": "ThunderSon", - "url": "https://github.com/ThunderSon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4394746?s=40&v=4", - "name": "rejahrehim", - "url": "https://github.com/rejahrehim" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24644237?s=40&v=4", - "name": "victoriadrake", - "url": "https://github.com/victoriadrake" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33680980?s=40&v=4", - "name": "jinsonvarghese", - "url": "https://github.com/jinsonvarghese" - } - ] - }, - { - "title": "linuxserver/docker-webtop", - "url": "https://github.com/linuxserver/docker-webtop", - "description": "Ubuntu, Alpine, Arch, and Fedora based Webtop images, Linux in a web browser supporting popular desktop environments.", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "1,983", - "forks": "207", - "addStars": "89", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20182680?s=40&v=4", - "name": "LinuxServer-CI", - "url": "https://github.com/LinuxServer-CI" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1852688?s=40&v=4", - "name": "thelamer", - "url": "https://github.com/thelamer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8425502?s=40&v=4", - "name": "thespad", - "url": "https://github.com/thespad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/541623?s=40&v=4", - "name": "aptalca", - "url": "https://github.com/aptalca" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1633773?s=40&v=4", - "name": "dkadioglu", - "url": "https://github.com/dkadioglu" - } - ] - }, { "title": "apache/apisix-docker", "url": "https://github.com/apache/apisix-docker", @@ -350,177 +345,61 @@ ] }, { - "title": "odoo/docker", - "url": "https://github.com/odoo/docker", - "description": "", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "979", - "forks": "1,568", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/334923?s=40&v=4", - "name": "d-fence", - "url": "https://github.com/d-fence" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3018448?s=40&v=4", - "name": "cecton", - "url": "https://github.com/cecton" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9432572?s=40&v=4", - "name": "aab-odoo", - "url": "https://github.com/aab-odoo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7601090?s=40&v=4", - "name": "sle-odoo", - "url": "https://github.com/sle-odoo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/76367?s=40&v=4", - "name": "md5", - "url": "https://github.com/md5" - } - ] - }, - { - "title": "CoolKit-Technologies/ha-addon", - "url": "https://github.com/CoolKit-Technologies/ha-addon", - "description": "", + "title": "OWASP/wstg", + "url": "https://github.com/OWASP/wstg", + "description": "The Web Security Testing Guide is a comprehensive Open Source guide to testing the security of web applications and web services.", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "280", - "forks": "124", - "addStars": "12", + "stars": "7,360", + "forks": "1,334", + "addStars": "109", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/87517449?s=40&v=4", - "name": "coolkit-tony", - "url": "https://github.com/coolkit-tony" + "avatar": "https://avatars.githubusercontent.com/u/7570458?s=40&v=4", + "name": "kingthorin", + "url": "https://github.com/kingthorin" }, { - "avatar": "https://avatars.githubusercontent.com/u/5360879?s=40&v=4", - "name": "niezw", - "url": "https://github.com/niezw" + "avatar": "https://avatars.githubusercontent.com/u/32433575?s=40&v=4", + "name": "ThunderSon", + "url": "https://github.com/ThunderSon" }, { - "avatar": "https://avatars.githubusercontent.com/u/28012988?s=40&v=4", - "name": "ZJia1231", - "url": "https://github.com/ZJia1231" + "avatar": "https://avatars.githubusercontent.com/u/4394746?s=40&v=4", + "name": "rejahrehim", + "url": "https://github.com/rejahrehim" }, { - "avatar": "https://avatars.githubusercontent.com/u/493656?s=40&v=4", - "name": "IRus", - "url": "https://github.com/IRus" + "avatar": "https://avatars.githubusercontent.com/u/24644237?s=40&v=4", + "name": "victoriadrake", + "url": "https://github.com/victoriadrake" }, { - "avatar": "https://avatars.githubusercontent.com/u/26962444?s=40&v=4", - "name": "VForslund", - "url": "https://github.com/VForslund" + "avatar": "https://avatars.githubusercontent.com/u/33680980?s=40&v=4", + "name": "jinsonvarghese", + "url": "https://github.com/jinsonvarghese" } ] }, { - "title": "linuxserver/docker-wireguard", - "url": "https://github.com/linuxserver/docker-wireguard", + "title": "sou6av/deploy-raganork", + "url": "https://github.com/sou6av/deploy-raganork", "description": "", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "2,992", - "forks": "370", - "addStars": "69", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20182680?s=40&v=4", - "name": "LinuxServer-CI", - "url": "https://github.com/LinuxServer-CI" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/541623?s=40&v=4", - "name": "aptalca", - "url": "https://github.com/aptalca" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8425502?s=40&v=4", - "name": "thespad", - "url": "https://github.com/thespad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40674481?s=40&v=4", - "name": "drizuid", - "url": "https://github.com/drizuid" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1852688?s=40&v=4", - "name": "thelamer", - "url": "https://github.com/thelamer" - } - ] - }, - { - "title": "goldbergyoni/nodebestpractices", - "url": "https://github.com/goldbergyoni/nodebestpractices", - "description": "✅ The Node.js best practices list (July 2024)", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "100,701", - "forks": "10,207", - "addStars": "801", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8571500?s=40&v=4", - "name": "goldbergyoni", - "url": "https://github.com/goldbergyoni" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17216937?s=40&v=4", - "name": "anediaz", - "url": "https://github.com/anediaz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9084421?s=40&v=4", - "name": "idori", - "url": "https://github.com/idori" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16746759?s=40&v=4", - "name": "rluvaton", - "url": "https://github.com/rluvaton" - } - ] - }, - { - "title": "LinkedInLearning/level-up-advanced-sql-4311094", - "url": "https://github.com/LinkedInLearning/level-up-advanced-sql-4311094", - "description": "This repo is for the Linkedin Learning course: Level Up: Advanced SQL", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "53", - "forks": "997", - "addStars": "3", + "stars": "29", + "forks": "5,444", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/981370?s=40&v=4", - "name": "jpomfret", - "url": "https://github.com/jpomfret" + "avatar": "https://avatars.githubusercontent.com/u/88765751?s=40&v=4", + "name": "sou6av", + "url": "https://github.com/sou6av" }, { - "avatar": "https://avatars.githubusercontent.com/u/28540243?s=40&v=4", - "name": "smoser-LiL", - "url": "https://github.com/smoser-LiL" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/88352515?s=40&v=4", - "name": "cmosier-LiL", - "url": "https://github.com/cmosier-LiL" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25848438?s=40&v=4", - "name": "LinkedIn-Learning-A1", - "url": "https://github.com/LinkedIn-Learning-A1" + "avatar": "https://avatars.githubusercontent.com/u/87891508?s=40&v=4", + "name": "souravkl11", + "url": "https://github.com/souravkl11" } ] }, @@ -562,39 +441,66 @@ ] }, { - "title": "microsoft/dotnet-framework-docker", - "url": "https://github.com/microsoft/dotnet-framework-docker", - "description": "The repo for the official docker images for .NET Framework on Windows Server Core.", + "title": "P3TERX/Aria2-Pro-Docker", + "url": "https://github.com/P3TERX/Aria2-Pro-Docker", + "description": "Aria2 Pro | A perfect Aria2 Docker image | 更好用的 Aria2 Docker 容器镜像", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "713", - "forks": "334", - "addStars": "11", + "stars": "3,301", + "forks": "389", + "addStars": "62", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15789599?s=40&v=4", - "name": "mthalman", - "url": "https://github.com/mthalman" + "avatar": "https://avatars.githubusercontent.com/u/25927179?s=40&v=4", + "name": "P3TERX", + "url": "https://github.com/P3TERX" }, { - "avatar": "https://avatars.githubusercontent.com/u/60522487?s=40&v=4", - "name": "dotnet-docker-bot", - "url": "https://github.com/dotnet-docker-bot" + "avatar": "https://avatars.githubusercontent.com/u/12995396?s=40&v=4", + "name": "gnattu", + "url": "https://github.com/gnattu" }, { - "avatar": "https://avatars.githubusercontent.com/u/8290530?s=40&v=4", - "name": "MichaelSimons", - "url": "https://github.com/MichaelSimons" + "avatar": "https://avatars.githubusercontent.com/u/24411020?s=40&v=4", + "name": "okcy1016", + "url": "https://github.com/okcy1016" + } + ] + }, + { + "title": "CoolKit-Technologies/ha-addon", + "url": "https://github.com/CoolKit-Technologies/ha-addon", + "description": "", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "280", + "forks": "124", + "addStars": "12", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/87517449?s=40&v=4", + "name": "coolkit-tony", + "url": "https://github.com/coolkit-tony" }, { - "avatar": "https://avatars.githubusercontent.com/u/29409908?s=40&v=4", - "name": "dotnet-maestro-bot", - "url": "https://github.com/dotnet-maestro-bot" + "avatar": "https://avatars.githubusercontent.com/u/5360879?s=40&v=4", + "name": "niezw", + "url": "https://github.com/niezw" }, { - "avatar": "https://avatars.githubusercontent.com/u/36081148?s=40&v=4", - "name": "lbussell", - "url": "https://github.com/lbussell" + "avatar": "https://avatars.githubusercontent.com/u/28012988?s=40&v=4", + "name": "ZJia1231", + "url": "https://github.com/ZJia1231" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/493656?s=40&v=4", + "name": "IRus", + "url": "https://github.com/IRus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26962444?s=40&v=4", + "name": "VForslund", + "url": "https://github.com/VForslund" } ] } diff --git a/data/monthly/dockerfile.xml b/data/monthly/dockerfile.xml index 7f2220939813..de10d518af58 100644 --- a/data/monthly/dockerfile.xml +++ b/data/monthly/dockerfile.xml @@ -3,7 +3,7 @@ GitHub Dockerfile Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dockerfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Anduin2017/HowToCook https://github.com/Anduin2017/HowToCook @@ -11,8 +11,8 @@ https://github.com/Anduin2017/HowToCook Dockerfile #384d54 - 67,590 - 8,749 + 67,605 + 8,748 669 @@ -72,7 +72,7 @@ https://github.com/jaywcjlove/reference Dockerfile #384d54 - 12,354 + 12,355 1,890 272 @@ -131,6 +131,44 @@ + + odoo/docker + https://github.com/odoo/docker + + https://github.com/odoo/docker + Dockerfile + #384d54 + 979 + 1,568 + 14 + + + https://avatars.githubusercontent.com/u/334923?s=40&v=4 + d-fence + https://github.com/d-fence + + + https://avatars.githubusercontent.com/u/3018448?s=40&v=4 + cecton + https://github.com/cecton + + + https://avatars.githubusercontent.com/u/9432572?s=40&v=4 + aab-odoo + https://github.com/aab-odoo + + + https://avatars.githubusercontent.com/u/7601090?s=40&v=4 + sle-odoo + https://github.com/sle-odoo + + + https://avatars.githubusercontent.com/u/76367?s=40&v=4 + md5 + https://github.com/md5 + + + vulhub/vulhub https://github.com/vulhub/vulhub @@ -138,7 +176,7 @@ https://github.com/vulhub/vulhub Dockerfile #384d54 - 17,856 + 17,862 4,485 169 @@ -207,6 +245,39 @@ + + goldbergyoni/nodebestpractices + https://github.com/goldbergyoni/nodebestpractices + ✅ The Node.js best practices list (July 2024) + https://github.com/goldbergyoni/nodebestpractices + Dockerfile + #384d54 + 100,702 + 10,209 + 801 + + + https://avatars.githubusercontent.com/u/8571500?s=40&v=4 + goldbergyoni + https://github.com/goldbergyoni + + + https://avatars.githubusercontent.com/u/17216937?s=40&v=4 + anediaz + https://github.com/anediaz + + + https://avatars.githubusercontent.com/u/9084421?s=40&v=4 + idori + https://github.com/idori + + + https://avatars.githubusercontent.com/u/16746759?s=40&v=4 + rluvaton + https://github.com/rluvaton + + + dunglas/symfony-docker https://github.com/dunglas/symfony-docker @@ -214,7 +285,7 @@ https://github.com/dunglas/symfony-docker Dockerfile #384d54 - 2,611 + 2,614 779 36 @@ -245,82 +316,6 @@ - - OWASP/wstg - https://github.com/OWASP/wstg - The Web Security Testing Guide is a comprehensive Open Source guide to testing the security of web applications and web services. - https://github.com/OWASP/wstg - Dockerfile - #384d54 - 7,357 - 1,334 - 109 - - - https://avatars.githubusercontent.com/u/7570458?s=40&v=4 - kingthorin - https://github.com/kingthorin - - - https://avatars.githubusercontent.com/u/32433575?s=40&v=4 - ThunderSon - https://github.com/ThunderSon - - - https://avatars.githubusercontent.com/u/4394746?s=40&v=4 - rejahrehim - https://github.com/rejahrehim - - - https://avatars.githubusercontent.com/u/24644237?s=40&v=4 - victoriadrake - https://github.com/victoriadrake - - - https://avatars.githubusercontent.com/u/33680980?s=40&v=4 - jinsonvarghese - https://github.com/jinsonvarghese - - - - - linuxserver/docker-webtop - https://github.com/linuxserver/docker-webtop - Ubuntu, Alpine, Arch, and Fedora based Webtop images, Linux in a web browser supporting popular desktop environments. - https://github.com/linuxserver/docker-webtop - Dockerfile - #384d54 - 1,983 - 207 - 89 - - - https://avatars.githubusercontent.com/u/20182680?s=40&v=4 - LinuxServer-CI - https://github.com/LinuxServer-CI - - - https://avatars.githubusercontent.com/u/1852688?s=40&v=4 - thelamer - https://github.com/thelamer - - - https://avatars.githubusercontent.com/u/8425502?s=40&v=4 - thespad - https://github.com/thespad - - - https://avatars.githubusercontent.com/u/541623?s=40&v=4 - aptalca - https://github.com/aptalca - - - https://avatars.githubusercontent.com/u/1633773?s=40&v=4 - dkadioglu - https://github.com/dkadioglu - - - apache/apisix-docker https://github.com/apache/apisix-docker @@ -360,182 +355,63 @@ - odoo/docker - https://github.com/odoo/docker - - https://github.com/odoo/docker - Dockerfile - #384d54 - 979 - 1,568 - 14 - - - https://avatars.githubusercontent.com/u/334923?s=40&v=4 - d-fence - https://github.com/d-fence - - - https://avatars.githubusercontent.com/u/3018448?s=40&v=4 - cecton - https://github.com/cecton - - - https://avatars.githubusercontent.com/u/9432572?s=40&v=4 - aab-odoo - https://github.com/aab-odoo - - - https://avatars.githubusercontent.com/u/7601090?s=40&v=4 - sle-odoo - https://github.com/sle-odoo - - - https://avatars.githubusercontent.com/u/76367?s=40&v=4 - md5 - https://github.com/md5 - - - - - CoolKit-Technologies/ha-addon - https://github.com/CoolKit-Technologies/ha-addon - - https://github.com/CoolKit-Technologies/ha-addon + OWASP/wstg + https://github.com/OWASP/wstg + The Web Security Testing Guide is a comprehensive Open Source guide to testing the security of web applications and web services. + https://github.com/OWASP/wstg Dockerfile #384d54 - 280 - 124 - 12 + 7,360 + 1,334 + 109 - https://avatars.githubusercontent.com/u/87517449?s=40&v=4 - coolkit-tony - https://github.com/coolkit-tony + https://avatars.githubusercontent.com/u/7570458?s=40&v=4 + kingthorin + https://github.com/kingthorin - https://avatars.githubusercontent.com/u/5360879?s=40&v=4 - niezw - https://github.com/niezw + https://avatars.githubusercontent.com/u/32433575?s=40&v=4 + ThunderSon + https://github.com/ThunderSon - https://avatars.githubusercontent.com/u/28012988?s=40&v=4 - ZJia1231 - https://github.com/ZJia1231 + https://avatars.githubusercontent.com/u/4394746?s=40&v=4 + rejahrehim + https://github.com/rejahrehim - https://avatars.githubusercontent.com/u/493656?s=40&v=4 - IRus - https://github.com/IRus + https://avatars.githubusercontent.com/u/24644237?s=40&v=4 + victoriadrake + https://github.com/victoriadrake - https://avatars.githubusercontent.com/u/26962444?s=40&v=4 - VForslund - https://github.com/VForslund + https://avatars.githubusercontent.com/u/33680980?s=40&v=4 + jinsonvarghese + https://github.com/jinsonvarghese - linuxserver/docker-wireguard - https://github.com/linuxserver/docker-wireguard + sou6av/deploy-raganork + https://github.com/sou6av/deploy-raganork - https://github.com/linuxserver/docker-wireguard - Dockerfile - #384d54 - 2,992 - 370 - 69 - - - https://avatars.githubusercontent.com/u/20182680?s=40&v=4 - LinuxServer-CI - https://github.com/LinuxServer-CI - - - https://avatars.githubusercontent.com/u/541623?s=40&v=4 - aptalca - https://github.com/aptalca - - - https://avatars.githubusercontent.com/u/8425502?s=40&v=4 - thespad - https://github.com/thespad - - - https://avatars.githubusercontent.com/u/40674481?s=40&v=4 - drizuid - https://github.com/drizuid - - - https://avatars.githubusercontent.com/u/1852688?s=40&v=4 - thelamer - https://github.com/thelamer - - - - - goldbergyoni/nodebestpractices - https://github.com/goldbergyoni/nodebestpractices - ✅ The Node.js best practices list (July 2024) - https://github.com/goldbergyoni/nodebestpractices + https://github.com/sou6av/deploy-raganork Dockerfile #384d54 - 100,701 - 10,207 - 801 + 29 + 5,444 + 0 - https://avatars.githubusercontent.com/u/8571500?s=40&v=4 - goldbergyoni - https://github.com/goldbergyoni + https://avatars.githubusercontent.com/u/88765751?s=40&v=4 + sou6av + https://github.com/sou6av - https://avatars.githubusercontent.com/u/17216937?s=40&v=4 - anediaz - https://github.com/anediaz - - - https://avatars.githubusercontent.com/u/9084421?s=40&v=4 - idori - https://github.com/idori - - - https://avatars.githubusercontent.com/u/16746759?s=40&v=4 - rluvaton - https://github.com/rluvaton - - - - - LinkedInLearning/level-up-advanced-sql-4311094 - https://github.com/LinkedInLearning/level-up-advanced-sql-4311094 - This repo is for the Linkedin Learning course: Level Up: Advanced SQL - https://github.com/LinkedInLearning/level-up-advanced-sql-4311094 - Dockerfile - #384d54 - 53 - 997 - 3 - - - https://avatars.githubusercontent.com/u/981370?s=40&v=4 - jpomfret - https://github.com/jpomfret - - - https://avatars.githubusercontent.com/u/28540243?s=40&v=4 - smoser-LiL - https://github.com/smoser-LiL - - - https://avatars.githubusercontent.com/u/88352515?s=40&v=4 - cmosier-LiL - https://github.com/cmosier-LiL - - - https://avatars.githubusercontent.com/u/25848438?s=40&v=4 - LinkedIn-Learning-A1 - https://github.com/LinkedIn-Learning-A1 + https://avatars.githubusercontent.com/u/87891508?s=40&v=4 + souravkl11 + https://github.com/souravkl11 @@ -578,40 +454,68 @@ - microsoft/dotnet-framework-docker - https://github.com/microsoft/dotnet-framework-docker - The repo for the official docker images for .NET Framework on Windows Server Core. - https://github.com/microsoft/dotnet-framework-docker + P3TERX/Aria2-Pro-Docker + https://github.com/P3TERX/Aria2-Pro-Docker + Aria2 Pro | A perfect Aria2 Docker image | 更好用的 Aria2 Docker 容器镜像 + https://github.com/P3TERX/Aria2-Pro-Docker Dockerfile #384d54 - 713 - 334 - 11 + 3,301 + 389 + 62 - https://avatars.githubusercontent.com/u/15789599?s=40&v=4 - mthalman - https://github.com/mthalman + https://avatars.githubusercontent.com/u/25927179?s=40&v=4 + P3TERX + https://github.com/P3TERX - https://avatars.githubusercontent.com/u/60522487?s=40&v=4 - dotnet-docker-bot - https://github.com/dotnet-docker-bot + https://avatars.githubusercontent.com/u/12995396?s=40&v=4 + gnattu + https://github.com/gnattu - https://avatars.githubusercontent.com/u/8290530?s=40&v=4 - MichaelSimons - https://github.com/MichaelSimons + https://avatars.githubusercontent.com/u/24411020?s=40&v=4 + okcy1016 + https://github.com/okcy1016 + + + + CoolKit-Technologies/ha-addon + https://github.com/CoolKit-Technologies/ha-addon + + https://github.com/CoolKit-Technologies/ha-addon + Dockerfile + #384d54 + 280 + 124 + 12 + - https://avatars.githubusercontent.com/u/29409908?s=40&v=4 - dotnet-maestro-bot - https://github.com/dotnet-maestro-bot + https://avatars.githubusercontent.com/u/87517449?s=40&v=4 + coolkit-tony + https://github.com/coolkit-tony - https://avatars.githubusercontent.com/u/36081148?s=40&v=4 - lbussell - https://github.com/lbussell + https://avatars.githubusercontent.com/u/5360879?s=40&v=4 + niezw + https://github.com/niezw + + + https://avatars.githubusercontent.com/u/28012988?s=40&v=4 + ZJia1231 + https://github.com/ZJia1231 + + + https://avatars.githubusercontent.com/u/493656?s=40&v=4 + IRus + https://github.com/IRus + + + https://avatars.githubusercontent.com/u/26962444?s=40&v=4 + VForslund + https://github.com/VForslund diff --git a/data/monthly/dogescript.json b/data/monthly/dogescript.json index 254606ca59d2..ea1d9b670bc8 100644 --- a/data/monthly/dogescript.json +++ b/data/monthly/dogescript.json @@ -2,6 +2,6 @@ "title": "GitHub Dogescript Languages Monthly Trending", "description": "Monthly Trending of Dogescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dogescript.xml b/data/monthly/dogescript.xml index 3bdce68cd395..2ffb7e414b23 100644 --- a/data/monthly/dogescript.xml +++ b/data/monthly/dogescript.xml @@ -3,6 +3,6 @@ GitHub Dogescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dogescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dotenv.json b/data/monthly/dotenv.json index 93dc58232127..80e757a44672 100644 --- a/data/monthly/dotenv.json +++ b/data/monthly/dotenv.json @@ -2,6 +2,6 @@ "title": "GitHub Dotenv Languages Monthly Trending", "description": "Monthly Trending of Dotenv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dotenv.xml b/data/monthly/dotenv.xml index b6e024a8eda0..37109b8d3f26 100644 --- a/data/monthly/dotenv.xml +++ b/data/monthly/dotenv.xml @@ -3,6 +3,6 @@ GitHub Dotenv Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dotenv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dtrace.json b/data/monthly/dtrace.json index c3a6ae845502..fa28770e3809 100644 --- a/data/monthly/dtrace.json +++ b/data/monthly/dtrace.json @@ -2,6 +2,6 @@ "title": "GitHub Dtrace Languages Monthly Trending", "description": "Monthly Trending of Dtrace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dtrace.xml b/data/monthly/dtrace.xml index ec98515bd8c4..7895ab6bcf69 100644 --- a/data/monthly/dtrace.xml +++ b/data/monthly/dtrace.xml @@ -3,6 +3,6 @@ GitHub Dtrace Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dtrace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/dylan.json b/data/monthly/dylan.json index e9e437a0a78f..66f4e0f91aae 100644 --- a/data/monthly/dylan.json +++ b/data/monthly/dylan.json @@ -2,6 +2,6 @@ "title": "GitHub Dylan Languages Monthly Trending", "description": "Monthly Trending of Dylan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/dylan.xml b/data/monthly/dylan.xml index 8b85eb28790b..b49187328557 100644 --- a/data/monthly/dylan.xml +++ b/data/monthly/dylan.xml @@ -3,6 +3,6 @@ GitHub Dylan Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Dylan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/e-mail.json b/data/monthly/e-mail.json index d3b540fc965e..e918964c6124 100644 --- a/data/monthly/e-mail.json +++ b/data/monthly/e-mail.json @@ -2,6 +2,6 @@ "title": "GitHub E-mail Languages Monthly Trending", "description": "Monthly Trending of E-mail Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/e-mail.xml b/data/monthly/e-mail.xml index ca40b5e85937..f1942779786b 100644 --- a/data/monthly/e-mail.xml +++ b/data/monthly/e-mail.xml @@ -3,6 +3,6 @@ GitHub E-mail Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of E-mail Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/e.json b/data/monthly/e.json index bfe52c714ae9..5b01bd2f14e2 100644 --- a/data/monthly/e.json +++ b/data/monthly/e.json @@ -2,6 +2,6 @@ "title": "GitHub E Languages Monthly Trending", "description": "Monthly Trending of E Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/e.xml b/data/monthly/e.xml index 4f9af9740bed..d1a55be63683 100644 --- a/data/monthly/e.xml +++ b/data/monthly/e.xml @@ -3,6 +3,6 @@ GitHub E Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of E Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/eagle.json b/data/monthly/eagle.json index c0b39fd792d6..8ff4770f8bb6 100644 --- a/data/monthly/eagle.json +++ b/data/monthly/eagle.json @@ -2,6 +2,6 @@ "title": "GitHub Eagle Languages Monthly Trending", "description": "Monthly Trending of Eagle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/eagle.xml b/data/monthly/eagle.xml index 766b39478f65..01ef2d953cbe 100644 --- a/data/monthly/eagle.xml +++ b/data/monthly/eagle.xml @@ -3,6 +3,6 @@ GitHub Eagle Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Eagle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/earthly.json b/data/monthly/earthly.json index e17ccb3bd9bc..d1389ee431b3 100644 --- a/data/monthly/earthly.json +++ b/data/monthly/earthly.json @@ -2,6 +2,6 @@ "title": "GitHub Earthly Languages Monthly Trending", "description": "Monthly Trending of Earthly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/earthly.xml b/data/monthly/earthly.xml index 54d89521678b..21c004166838 100644 --- a/data/monthly/earthly.xml +++ b/data/monthly/earthly.xml @@ -3,6 +3,6 @@ GitHub Earthly Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Earthly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/easybuild.json b/data/monthly/easybuild.json index 381d0056d8e7..b32203bd7b55 100644 --- a/data/monthly/easybuild.json +++ b/data/monthly/easybuild.json @@ -2,6 +2,6 @@ "title": "GitHub Easybuild Languages Monthly Trending", "description": "Monthly Trending of Easybuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/easybuild.xml b/data/monthly/easybuild.xml index 44ed55cc02f9..e9704875fcea 100644 --- a/data/monthly/easybuild.xml +++ b/data/monthly/easybuild.xml @@ -3,6 +3,6 @@ GitHub Easybuild Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Easybuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ebnf.json b/data/monthly/ebnf.json index 88030e8faf32..2833c0ecc35e 100644 --- a/data/monthly/ebnf.json +++ b/data/monthly/ebnf.json @@ -2,6 +2,6 @@ "title": "GitHub Ebnf Languages Monthly Trending", "description": "Monthly Trending of Ebnf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ebnf.xml b/data/monthly/ebnf.xml index 3ed42a75d6eb..f7d3adb9ecdb 100644 --- a/data/monthly/ebnf.xml +++ b/data/monthly/ebnf.xml @@ -3,6 +3,6 @@ GitHub Ebnf Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ebnf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ec.json b/data/monthly/ec.json index 136390a5fa46..87f1d6ee3731 100644 --- a/data/monthly/ec.json +++ b/data/monthly/ec.json @@ -2,6 +2,6 @@ "title": "GitHub Ec Languages Monthly Trending", "description": "Monthly Trending of Ec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ec.xml b/data/monthly/ec.xml index 08831c93b561..de904b42489c 100644 --- a/data/monthly/ec.xml +++ b/data/monthly/ec.xml @@ -3,6 +3,6 @@ GitHub Ec Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ecere-projects.json b/data/monthly/ecere-projects.json index 2c26c9751ce6..3431e4926acb 100644 --- a/data/monthly/ecere-projects.json +++ b/data/monthly/ecere-projects.json @@ -2,6 +2,6 @@ "title": "GitHub Ecere-projects Languages Monthly Trending", "description": "Monthly Trending of Ecere-projects Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ecere-projects.xml b/data/monthly/ecere-projects.xml index d0e78b6d33c3..9e6cdc83b8db 100644 --- a/data/monthly/ecere-projects.xml +++ b/data/monthly/ecere-projects.xml @@ -3,6 +3,6 @@ GitHub Ecere-projects Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ecere-projects Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ecl.json b/data/monthly/ecl.json index 7fc9b76d050c..01eda877c751 100644 --- a/data/monthly/ecl.json +++ b/data/monthly/ecl.json @@ -2,6 +2,6 @@ "title": "GitHub Ecl Languages Monthly Trending", "description": "Monthly Trending of Ecl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ecl.xml b/data/monthly/ecl.xml index b433a426fbd2..a7abcbfa3709 100644 --- a/data/monthly/ecl.xml +++ b/data/monthly/ecl.xml @@ -3,6 +3,6 @@ GitHub Ecl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ecl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/eclipse.json b/data/monthly/eclipse.json index 95ca3dce3bc7..a11b6c7784f5 100644 --- a/data/monthly/eclipse.json +++ b/data/monthly/eclipse.json @@ -2,6 +2,6 @@ "title": "GitHub Eclipse Languages Monthly Trending", "description": "Monthly Trending of Eclipse Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/eclipse.xml b/data/monthly/eclipse.xml index e4221dcc3946..4fb885db1189 100644 --- a/data/monthly/eclipse.xml +++ b/data/monthly/eclipse.xml @@ -3,6 +3,6 @@ GitHub Eclipse Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Eclipse Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ecmarkup.json b/data/monthly/ecmarkup.json index eebfdc0c87b5..4123951c935f 100644 --- a/data/monthly/ecmarkup.json +++ b/data/monthly/ecmarkup.json @@ -2,6 +2,6 @@ "title": "GitHub Ecmarkup Languages Monthly Trending", "description": "Monthly Trending of Ecmarkup Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ecmarkup.xml b/data/monthly/ecmarkup.xml index 89bacf34262f..bf65e768b2c8 100644 --- a/data/monthly/ecmarkup.xml +++ b/data/monthly/ecmarkup.xml @@ -3,6 +3,6 @@ GitHub Ecmarkup Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ecmarkup Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/edge.json b/data/monthly/edge.json index cfcf87633fa6..5c82468e8669 100644 --- a/data/monthly/edge.json +++ b/data/monthly/edge.json @@ -2,6 +2,6 @@ "title": "GitHub Edge Languages Monthly Trending", "description": "Monthly Trending of Edge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/edge.xml b/data/monthly/edge.xml index 6c00672a9e7c..9805bc128f5d 100644 --- a/data/monthly/edge.xml +++ b/data/monthly/edge.xml @@ -3,6 +3,6 @@ GitHub Edge Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Edge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/edgeql.json b/data/monthly/edgeql.json index 98764a423f9d..c3e7b34de445 100644 --- a/data/monthly/edgeql.json +++ b/data/monthly/edgeql.json @@ -2,6 +2,6 @@ "title": "GitHub Edgeql Languages Monthly Trending", "description": "Monthly Trending of Edgeql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/edgeql.xml b/data/monthly/edgeql.xml index 90a125b83800..67f42fd12c9d 100644 --- a/data/monthly/edgeql.xml +++ b/data/monthly/edgeql.xml @@ -3,6 +3,6 @@ GitHub Edgeql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Edgeql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/editorconfig.json b/data/monthly/editorconfig.json index 22b60b586bf6..dd92f49b491e 100644 --- a/data/monthly/editorconfig.json +++ b/data/monthly/editorconfig.json @@ -2,6 +2,6 @@ "title": "GitHub Editorconfig Languages Monthly Trending", "description": "Monthly Trending of Editorconfig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/editorconfig.xml b/data/monthly/editorconfig.xml index d9cf32b2edfd..1fa6d00565f3 100644 --- a/data/monthly/editorconfig.xml +++ b/data/monthly/editorconfig.xml @@ -3,6 +3,6 @@ GitHub Editorconfig Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Editorconfig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/edje-data-collection.json b/data/monthly/edje-data-collection.json index ef63a86cae4f..1e3895a4a083 100644 --- a/data/monthly/edje-data-collection.json +++ b/data/monthly/edje-data-collection.json @@ -2,6 +2,6 @@ "title": "GitHub Edje-data-collection Languages Monthly Trending", "description": "Monthly Trending of Edje-data-collection Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/edje-data-collection.xml b/data/monthly/edje-data-collection.xml index d7e131e45b59..5b668a954f15 100644 --- a/data/monthly/edje-data-collection.xml +++ b/data/monthly/edje-data-collection.xml @@ -3,6 +3,6 @@ GitHub Edje-data-collection Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Edje-data-collection Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/edn.json b/data/monthly/edn.json index 68a4289f63dc..e96d115ecdd1 100644 --- a/data/monthly/edn.json +++ b/data/monthly/edn.json @@ -2,6 +2,6 @@ "title": "GitHub Edn Languages Monthly Trending", "description": "Monthly Trending of Edn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/edn.xml b/data/monthly/edn.xml index e143eb2049d1..b8b65cc389fc 100644 --- a/data/monthly/edn.xml +++ b/data/monthly/edn.xml @@ -3,6 +3,6 @@ GitHub Edn Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Edn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/eiffel.json b/data/monthly/eiffel.json index 26217bb24029..89b3c9ab88fb 100644 --- a/data/monthly/eiffel.json +++ b/data/monthly/eiffel.json @@ -2,6 +2,6 @@ "title": "GitHub Eiffel Languages Monthly Trending", "description": "Monthly Trending of Eiffel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/eiffel.xml b/data/monthly/eiffel.xml index 02a7b92a3bd0..5d4ab5fd8b93 100644 --- a/data/monthly/eiffel.xml +++ b/data/monthly/eiffel.xml @@ -3,6 +3,6 @@ GitHub Eiffel Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Eiffel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ejs.json b/data/monthly/ejs.json index 9da91eecba5c..d962a52eda8d 100644 --- a/data/monthly/ejs.json +++ b/data/monthly/ejs.json @@ -2,7 +2,7 @@ "title": "GitHub Ejs Languages Monthly Trending", "description": "Monthly Trending of Ejs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "github/haikus-for-codespaces", @@ -80,7 +80,7 @@ "language": "EJS", "languageColor": "#a91e50", "stars": "196", - "forks": "4,540", + "forks": "4,541", "addStars": "6", "contributors": [ { @@ -116,7 +116,7 @@ "description": "CLI tool to initialize a React Native application with Expo. Provides options to include Typescript, file-based routing via Expo Router, configuration based routing via pure React Navigation, styling via Nativewind, Restyle, Unistyles, StyleSheets, or Tamagui, and/or backend as a service such as Firebase and Supabase.", "language": "EJS", "languageColor": "#a91e50", - "stars": "1,587", + "stars": "1,588", "forks": "85", "addStars": "119", "contributors": [ @@ -179,6 +179,43 @@ } ] }, + { + "title": "Fechin/reference", + "url": "https://github.com/Fechin/reference", + "description": "⭕ Share quick reference cheat sheet for developers.", + "language": "EJS", + "languageColor": "#a91e50", + "stars": "6,504", + "forks": "883", + "addStars": "183", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2541482?s=40&v=4", + "name": "Fechin", + "url": "https://github.com/Fechin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/62941208?s=40&v=4", + "name": "Randy8080", + "url": "https://github.com/Randy8080" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/109556932?s=40&v=4", + "name": "FireIsGood", + "url": "https://github.com/FireIsGood" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/123644743?s=40&v=4", + "name": "Sumangal44", + "url": "https://github.com/Sumangal44" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/77401790?s=40&v=4", + "name": "jessinthecloud", + "url": "https://github.com/jessinthecloud" + } + ] + }, { "title": "keikaavousi/fake-store-api", "url": "https://github.com/keikaavousi/fake-store-api", @@ -216,43 +253,6 @@ } ] }, - { - "title": "Fechin/reference", - "url": "https://github.com/Fechin/reference", - "description": "⭕ Share quick reference cheat sheet for developers.", - "language": "EJS", - "languageColor": "#a91e50", - "stars": "6,502", - "forks": "883", - "addStars": "183", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2541482?s=40&v=4", - "name": "Fechin", - "url": "https://github.com/Fechin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62941208?s=40&v=4", - "name": "Randy8080", - "url": "https://github.com/Randy8080" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/109556932?s=40&v=4", - "name": "FireIsGood", - "url": "https://github.com/FireIsGood" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/123644743?s=40&v=4", - "name": "Sumangal44", - "url": "https://github.com/Sumangal44" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/77401790?s=40&v=4", - "name": "jessinthecloud", - "url": "https://github.com/jessinthecloud" - } - ] - }, { "title": "betagouv/template-design-system-de-l-etat", "url": "https://github.com/betagouv/template-design-system-de-l-etat", diff --git a/data/monthly/ejs.xml b/data/monthly/ejs.xml index b2b81135f102..2fedf926b193 100644 --- a/data/monthly/ejs.xml +++ b/data/monthly/ejs.xml @@ -3,7 +3,7 @@ GitHub Ejs Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ejs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT github/haikus-for-codespaces https://github.com/github/haikus-for-codespaces @@ -83,7 +83,7 @@ EJS #a91e50 196 - 4,540 + 4,541 6 @@ -120,7 +120,7 @@ https://github.com/roninoss/create-expo-stack EJS #a91e50 - 1,587 + 1,588 85 119 @@ -184,6 +184,44 @@ + + Fechin/reference + https://github.com/Fechin/reference + ⭕ Share quick reference cheat sheet for developers. + https://github.com/Fechin/reference + EJS + #a91e50 + 6,504 + 883 + 183 + + + https://avatars.githubusercontent.com/u/2541482?s=40&v=4 + Fechin + https://github.com/Fechin + + + https://avatars.githubusercontent.com/u/62941208?s=40&v=4 + Randy8080 + https://github.com/Randy8080 + + + https://avatars.githubusercontent.com/u/109556932?s=40&v=4 + FireIsGood + https://github.com/FireIsGood + + + https://avatars.githubusercontent.com/u/123644743?s=40&v=4 + Sumangal44 + https://github.com/Sumangal44 + + + https://avatars.githubusercontent.com/u/77401790?s=40&v=4 + jessinthecloud + https://github.com/jessinthecloud + + + keikaavousi/fake-store-api https://github.com/keikaavousi/fake-store-api @@ -222,44 +260,6 @@ - - Fechin/reference - https://github.com/Fechin/reference - ⭕ Share quick reference cheat sheet for developers. - https://github.com/Fechin/reference - EJS - #a91e50 - 6,502 - 883 - 183 - - - https://avatars.githubusercontent.com/u/2541482?s=40&v=4 - Fechin - https://github.com/Fechin - - - https://avatars.githubusercontent.com/u/62941208?s=40&v=4 - Randy8080 - https://github.com/Randy8080 - - - https://avatars.githubusercontent.com/u/109556932?s=40&v=4 - FireIsGood - https://github.com/FireIsGood - - - https://avatars.githubusercontent.com/u/123644743?s=40&v=4 - Sumangal44 - https://github.com/Sumangal44 - - - https://avatars.githubusercontent.com/u/77401790?s=40&v=4 - jessinthecloud - https://github.com/jessinthecloud - - - betagouv/template-design-system-de-l-etat https://github.com/betagouv/template-design-system-de-l-etat diff --git a/data/monthly/elixir.json b/data/monthly/elixir.json index 915c374ca4fe..e0ab86e7f95f 100644 --- a/data/monthly/elixir.json +++ b/data/monthly/elixir.json @@ -2,7 +2,7 @@ "title": "GitHub Elixir Languages Monthly Trending", "description": "Monthly Trending of Elixir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "teslamate-org/teslamate", @@ -10,8 +10,8 @@ "description": "A self-hosted data logger for your Tesla 🚘", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "6,039", - "forks": "752", + "stars": "6,041", + "forks": "753", "addStars": "175", "contributors": [ { @@ -37,7 +37,7 @@ "description": "Simple, open source, lightweight (< 1 KB) and privacy-friendly web analytics alternative to Google Analytics.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "20,531", + "stars": "20,533", "forks": "1,095", "addStars": "396", "contributors": [ @@ -111,7 +111,7 @@ "description": "Broadcast, Presence, and Postgres Changes via WebSockets", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "6,825", + "stars": "6,824", "forks": "315", "addStars": "55", "contributors": [ @@ -148,7 +148,7 @@ "description": "Elixir is a dynamic, functional language for building scalable and maintainable applications", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "24,567", + "stars": "24,570", "forks": "3,379", "addStars": "178", "contributors": [ @@ -211,13 +211,45 @@ } ] }, + { + "title": "phoenixframework/phoenix", + "url": "https://github.com/phoenixframework/phoenix", + "description": "Peace of mind from prototype to production", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "21,447", + "forks": "2,877", + "addStars": "122", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/576796?s=40&v=4", + "name": "chrismccord", + "url": "https://github.com/chrismccord" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", + "name": "josevalim", + "url": "https://github.com/josevalim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/359224?s=40&v=4", + "name": "lancehalvorsen", + "url": "https://github.com/lancehalvorsen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/477511?s=40&v=4", + "name": "Gazler", + "url": "https://github.com/Gazler" + } + ] + }, { "title": "bluzky/salad_ui", "url": "https://github.com/bluzky/salad_ui", "description": "Phoenix Liveview component library inspired by shadcn UI", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "575", + "stars": "577", "forks": "34", "addStars": "122", "contributors": [ @@ -254,7 +286,7 @@ "description": "Open-source content management system (CMS) built with Phoenix LiveView. Faster render times to boost SEO performance, even for the most content-heavy pages.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "1,036", + "stars": "1,037", "forks": "101", "addStars": "93", "contributors": [ @@ -280,70 +312,6 @@ } ] }, - { - "title": "phoenixframework/phoenix", - "url": "https://github.com/phoenixframework/phoenix", - "description": "Peace of mind from prototype to production", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "21,447", - "forks": "2,877", - "addStars": "122", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/576796?s=40&v=4", - "name": "chrismccord", - "url": "https://github.com/chrismccord" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", - "name": "josevalim", - "url": "https://github.com/josevalim" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/359224?s=40&v=4", - "name": "lancehalvorsen", - "url": "https://github.com/lancehalvorsen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/477511?s=40&v=4", - "name": "Gazler", - "url": "https://github.com/Gazler" - } - ] - }, - { - "title": "ExHammer/hammer-backend-redis", - "url": "https://github.com/ExHammer/hammer-backend-redis", - "description": "A Redis backend for for the Hammer rate-limiter", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "50", - "forks": "32", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/479143?s=40&v=4", - "name": "epinault", - "url": "https://github.com/epinault" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/46496?s=40&v=4", - "name": "ono", - "url": "https://github.com/ono" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6612710?s=40&v=4", - "name": "chaodhib", - "url": "https://github.com/chaodhib" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/134518?s=40&v=4", - "name": "kianmeng", - "url": "https://github.com/kianmeng" - } - ] - }, { "title": "oban-bg/oban", "url": "https://github.com/oban-bg/oban", @@ -408,6 +376,38 @@ } ] }, + { + "title": "ExHammer/hammer-backend-redis", + "url": "https://github.com/ExHammer/hammer-backend-redis", + "description": "A Redis backend for for the Hammer rate-limiter", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "50", + "forks": "32", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/479143?s=40&v=4", + "name": "epinault", + "url": "https://github.com/epinault" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46496?s=40&v=4", + "name": "ono", + "url": "https://github.com/ono" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6612710?s=40&v=4", + "name": "chaodhib", + "url": "https://github.com/chaodhib" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/134518?s=40&v=4", + "name": "kianmeng", + "url": "https://github.com/kianmeng" + } + ] + }, { "title": "elixir-lsp/elixir-ls", "url": "https://github.com/elixir-lsp/elixir-ls", @@ -482,13 +482,50 @@ } ] }, + { + "title": "commanded/eventstore", + "url": "https://github.com/commanded/eventstore", + "description": "Event store using PostgreSQL for persistence", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "1,062", + "forks": "146", + "addStars": "13", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3167?s=40&v=4", + "name": "slashdotdash", + "url": "https://github.com/slashdotdash" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/522157?s=40&v=4", + "name": "derekkraan", + "url": "https://github.com/derekkraan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/584259?s=40&v=4", + "name": "OleMchls", + "url": "https://github.com/OleMchls" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/376404?s=40&v=4", + "name": "drteeth", + "url": "https://github.com/drteeth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1967287?s=40&v=4", + "name": "voughtdq", + "url": "https://github.com/voughtdq" + } + ] + }, { "title": "kieraneglin/pinchflat", "url": "https://github.com/kieraneglin/pinchflat", "description": "Your next YouTube media manager", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "1,310", + "stars": "1,318", "forks": "23", "addStars": "210", "contributors": [ @@ -520,76 +557,39 @@ ] }, { - "title": "getsentry/sentry-elixir", - "url": "https://github.com/getsentry/sentry-elixir", - "description": "The official Elixir SDK for Sentry (sentry.io)", + "title": "pentacent/keila", + "url": "https://github.com/pentacent/keila", + "description": "Open Source Newsletter Tool.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "627", - "forks": "185", - "addStars": "2", + "stars": "1,441", + "forks": "81", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1430443?s=40&v=4", - "name": "mitchellhenke", - "url": "https://github.com/mitchellhenke" + "avatar": "https://avatars.githubusercontent.com/u/7488303?s=40&v=4", + "name": "wmnnd", + "url": "https://github.com/wmnnd" }, { - "avatar": "https://avatars.githubusercontent.com/u/3890250?s=40&v=4", - "name": "whatyouhide", - "url": "https://github.com/whatyouhide" + "avatar": "https://avatars.githubusercontent.com/u/2154092?s=40&v=4", + "name": "panoramix360", + "url": "https://github.com/panoramix360" }, { - "avatar": "https://avatars.githubusercontent.com/u/100886?s=40&v=4", - "name": "jeregrine", - "url": "https://github.com/jeregrine" + "avatar": "https://avatars.githubusercontent.com/u/6439601?s=40&v=4", + "name": "gbottari", + "url": "https://github.com/gbottari" }, { - "avatar": "https://avatars.githubusercontent.com/u/167844?s=40&v=4", - "name": "vishnevskiy", - "url": "https://github.com/vishnevskiy" + "avatar": "https://avatars.githubusercontent.com/u/3502075?s=40&v=4", + "name": "pmareke", + "url": "https://github.com/pmareke" }, { - "avatar": "https://avatars.githubusercontent.com/u/10587625?s=40&v=4", - "name": "getsentry-bot", - "url": "https://github.com/getsentry-bot" - } - ] - }, - { - "title": "commanded/eventstore", - "url": "https://github.com/commanded/eventstore", - "description": "Event store using PostgreSQL for persistence", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "1,062", - "forks": "146", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3167?s=40&v=4", - "name": "slashdotdash", - "url": "https://github.com/slashdotdash" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/522157?s=40&v=4", - "name": "derekkraan", - "url": "https://github.com/derekkraan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/584259?s=40&v=4", - "name": "OleMchls", - "url": "https://github.com/OleMchls" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/376404?s=40&v=4", - "name": "drteeth", - "url": "https://github.com/drteeth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1967287?s=40&v=4", - "name": "voughtdq", - "url": "https://github.com/voughtdq" + "avatar": "https://avatars.githubusercontent.com/u/119904?s=40&v=4", + "name": "katafrakt", + "url": "https://github.com/katafrakt" } ] }, diff --git a/data/monthly/elixir.xml b/data/monthly/elixir.xml index 4cd196df7102..0a5e43b05722 100644 --- a/data/monthly/elixir.xml +++ b/data/monthly/elixir.xml @@ -3,7 +3,7 @@ GitHub Elixir Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Elixir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT teslamate-org/teslamate https://github.com/teslamate-org/teslamate @@ -11,8 +11,8 @@ https://github.com/teslamate-org/teslamate Elixir #6e4a7e - 6,039 - 752 + 6,041 + 753 175 @@ -39,7 +39,7 @@ https://github.com/plausible/analytics Elixir #6e4a7e - 20,531 + 20,533 1,095 396 @@ -115,7 +115,7 @@ https://github.com/supabase/realtime Elixir #6e4a7e - 6,825 + 6,824 315 55 @@ -153,7 +153,7 @@ https://github.com/elixir-lang/elixir Elixir #6e4a7e - 24,567 + 24,570 3,379 178 @@ -217,6 +217,39 @@ + + phoenixframework/phoenix + https://github.com/phoenixframework/phoenix + Peace of mind from prototype to production + https://github.com/phoenixframework/phoenix + Elixir + #6e4a7e + 21,447 + 2,877 + 122 + + + https://avatars.githubusercontent.com/u/576796?s=40&v=4 + chrismccord + https://github.com/chrismccord + + + https://avatars.githubusercontent.com/u/9582?s=40&v=4 + josevalim + https://github.com/josevalim + + + https://avatars.githubusercontent.com/u/359224?s=40&v=4 + lancehalvorsen + https://github.com/lancehalvorsen + + + https://avatars.githubusercontent.com/u/477511?s=40&v=4 + Gazler + https://github.com/Gazler + + + bluzky/salad_ui https://github.com/bluzky/salad_ui @@ -224,7 +257,7 @@ https://github.com/bluzky/salad_ui Elixir #6e4a7e - 575 + 577 34 122 @@ -262,7 +295,7 @@ https://github.com/BeaconCMS/beacon Elixir #6e4a7e - 1,036 + 1,037 101 93 @@ -288,72 +321,6 @@ - - phoenixframework/phoenix - https://github.com/phoenixframework/phoenix - Peace of mind from prototype to production - https://github.com/phoenixframework/phoenix - Elixir - #6e4a7e - 21,447 - 2,877 - 122 - - - https://avatars.githubusercontent.com/u/576796?s=40&v=4 - chrismccord - https://github.com/chrismccord - - - https://avatars.githubusercontent.com/u/9582?s=40&v=4 - josevalim - https://github.com/josevalim - - - https://avatars.githubusercontent.com/u/359224?s=40&v=4 - lancehalvorsen - https://github.com/lancehalvorsen - - - https://avatars.githubusercontent.com/u/477511?s=40&v=4 - Gazler - https://github.com/Gazler - - - - - ExHammer/hammer-backend-redis - https://github.com/ExHammer/hammer-backend-redis - A Redis backend for for the Hammer rate-limiter - https://github.com/ExHammer/hammer-backend-redis - Elixir - #6e4a7e - 50 - 32 - 2 - - - https://avatars.githubusercontent.com/u/479143?s=40&v=4 - epinault - https://github.com/epinault - - - https://avatars.githubusercontent.com/u/46496?s=40&v=4 - ono - https://github.com/ono - - - https://avatars.githubusercontent.com/u/6612710?s=40&v=4 - chaodhib - https://github.com/chaodhib - - - https://avatars.githubusercontent.com/u/134518?s=40&v=4 - kianmeng - https://github.com/kianmeng - - - oban-bg/oban https://github.com/oban-bg/oban @@ -420,6 +387,39 @@ + + ExHammer/hammer-backend-redis + https://github.com/ExHammer/hammer-backend-redis + A Redis backend for for the Hammer rate-limiter + https://github.com/ExHammer/hammer-backend-redis + Elixir + #6e4a7e + 50 + 32 + 2 + + + https://avatars.githubusercontent.com/u/479143?s=40&v=4 + epinault + https://github.com/epinault + + + https://avatars.githubusercontent.com/u/46496?s=40&v=4 + ono + https://github.com/ono + + + https://avatars.githubusercontent.com/u/6612710?s=40&v=4 + chaodhib + https://github.com/chaodhib + + + https://avatars.githubusercontent.com/u/134518?s=40&v=4 + kianmeng + https://github.com/kianmeng + + + elixir-lsp/elixir-ls https://github.com/elixir-lsp/elixir-ls @@ -496,6 +496,44 @@ + + commanded/eventstore + https://github.com/commanded/eventstore + Event store using PostgreSQL for persistence + https://github.com/commanded/eventstore + Elixir + #6e4a7e + 1,062 + 146 + 13 + + + https://avatars.githubusercontent.com/u/3167?s=40&v=4 + slashdotdash + https://github.com/slashdotdash + + + https://avatars.githubusercontent.com/u/522157?s=40&v=4 + derekkraan + https://github.com/derekkraan + + + https://avatars.githubusercontent.com/u/584259?s=40&v=4 + OleMchls + https://github.com/OleMchls + + + https://avatars.githubusercontent.com/u/376404?s=40&v=4 + drteeth + https://github.com/drteeth + + + https://avatars.githubusercontent.com/u/1967287?s=40&v=4 + voughtdq + https://github.com/voughtdq + + + kieraneglin/pinchflat https://github.com/kieraneglin/pinchflat @@ -503,7 +541,7 @@ https://github.com/kieraneglin/pinchflat Elixir #6e4a7e - 1,310 + 1,318 23 210 @@ -535,78 +573,40 @@ - getsentry/sentry-elixir - https://github.com/getsentry/sentry-elixir - The official Elixir SDK for Sentry (sentry.io) - https://github.com/getsentry/sentry-elixir + pentacent/keila + https://github.com/pentacent/keila + Open Source Newsletter Tool. + https://github.com/pentacent/keila Elixir #6e4a7e - 627 - 185 - 2 + 1,441 + 81 + 38 - https://avatars.githubusercontent.com/u/1430443?s=40&v=4 - mitchellhenke - https://github.com/mitchellhenke + https://avatars.githubusercontent.com/u/7488303?s=40&v=4 + wmnnd + https://github.com/wmnnd - https://avatars.githubusercontent.com/u/3890250?s=40&v=4 - whatyouhide - https://github.com/whatyouhide + https://avatars.githubusercontent.com/u/2154092?s=40&v=4 + panoramix360 + https://github.com/panoramix360 - https://avatars.githubusercontent.com/u/100886?s=40&v=4 - jeregrine - https://github.com/jeregrine + https://avatars.githubusercontent.com/u/6439601?s=40&v=4 + gbottari + https://github.com/gbottari - https://avatars.githubusercontent.com/u/167844?s=40&v=4 - vishnevskiy - https://github.com/vishnevskiy + https://avatars.githubusercontent.com/u/3502075?s=40&v=4 + pmareke + https://github.com/pmareke - https://avatars.githubusercontent.com/u/10587625?s=40&v=4 - getsentry-bot - https://github.com/getsentry-bot - - - - - commanded/eventstore - https://github.com/commanded/eventstore - Event store using PostgreSQL for persistence - https://github.com/commanded/eventstore - Elixir - #6e4a7e - 1,062 - 146 - 13 - - - https://avatars.githubusercontent.com/u/3167?s=40&v=4 - slashdotdash - https://github.com/slashdotdash - - - https://avatars.githubusercontent.com/u/522157?s=40&v=4 - derekkraan - https://github.com/derekkraan - - - https://avatars.githubusercontent.com/u/584259?s=40&v=4 - OleMchls - https://github.com/OleMchls - - - https://avatars.githubusercontent.com/u/376404?s=40&v=4 - drteeth - https://github.com/drteeth - - - https://avatars.githubusercontent.com/u/1967287?s=40&v=4 - voughtdq - https://github.com/voughtdq + https://avatars.githubusercontent.com/u/119904?s=40&v=4 + katafrakt + https://github.com/katafrakt diff --git a/data/monthly/elm.json b/data/monthly/elm.json index 6942c5b047ce..d81c34ce722b 100644 --- a/data/monthly/elm.json +++ b/data/monthly/elm.json @@ -2,7 +2,7 @@ "title": "GitHub Elm Languages Monthly Trending", "description": "Monthly Trending of Elm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "azimuttapp/azimutt", @@ -10,7 +10,7 @@ "description": "Explore, document and optimize any database", "language": "Elm", "languageColor": "#60B5CC", - "stars": "1,353", + "stars": "1,354", "forks": "87", "addStars": "97", "contributors": [ diff --git a/data/monthly/elm.xml b/data/monthly/elm.xml index bf4eb8b7abd0..103f617ec95a 100644 --- a/data/monthly/elm.xml +++ b/data/monthly/elm.xml @@ -3,7 +3,7 @@ GitHub Elm Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Elm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT azimuttapp/azimutt https://github.com/azimuttapp/azimutt @@ -11,7 +11,7 @@ https://github.com/azimuttapp/azimutt Elm #60B5CC - 1,353 + 1,354 87 97 diff --git a/data/monthly/elvish-transcript.json b/data/monthly/elvish-transcript.json index 305bdd279f8b..6fdd3ae8cc6c 100644 --- a/data/monthly/elvish-transcript.json +++ b/data/monthly/elvish-transcript.json @@ -2,6 +2,6 @@ "title": "GitHub Elvish-transcript Languages Monthly Trending", "description": "Monthly Trending of Elvish-transcript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/elvish-transcript.xml b/data/monthly/elvish-transcript.xml index af15c8ff4ed0..9da3227daefb 100644 --- a/data/monthly/elvish-transcript.xml +++ b/data/monthly/elvish-transcript.xml @@ -3,6 +3,6 @@ GitHub Elvish-transcript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Elvish-transcript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/elvish.json b/data/monthly/elvish.json index c8dc7a97bc52..aa61ac409207 100644 --- a/data/monthly/elvish.json +++ b/data/monthly/elvish.json @@ -2,6 +2,6 @@ "title": "GitHub Elvish Languages Monthly Trending", "description": "Monthly Trending of Elvish Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/elvish.xml b/data/monthly/elvish.xml index 31f7e1044c65..bb8a5e1fe212 100644 --- a/data/monthly/elvish.xml +++ b/data/monthly/elvish.xml @@ -3,6 +3,6 @@ GitHub Elvish Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Elvish Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/emacs-lisp.json b/data/monthly/emacs-lisp.json index ebf3c05a1863..5d8e78e1da9f 100644 --- a/data/monthly/emacs-lisp.json +++ b/data/monthly/emacs-lisp.json @@ -2,7 +2,7 @@ "title": "GitHub Emacs-lisp Languages Monthly Trending", "description": "Monthly Trending of Emacs-lisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "karthink/gptel", @@ -41,43 +41,6 @@ } ] }, - { - "title": "doomemacs/doomemacs", - "url": "https://github.com/doomemacs/doomemacs", - "description": "An Emacs framework for the stubborn martian hacker", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "19,562", - "forks": "3,064", - "addStars": "186", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/510883?s=40&v=4", - "name": "hlissner", - "url": "https://github.com/hlissner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19792685?s=40&v=4", - "name": "iyefrat", - "url": "https://github.com/iyefrat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20903656?s=40&v=4", - "name": "tecosaur", - "url": "https://github.com/tecosaur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13229321?s=40&v=4", - "name": "patrl", - "url": "https://github.com/patrl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2872862?s=40&v=4", - "name": "elken", - "url": "https://github.com/elken" - } - ] - }, { "title": "emacs-mirror/emacs", "url": "https://github.com/emacs-mirror/emacs", @@ -115,6 +78,43 @@ } ] }, + { + "title": "doomemacs/doomemacs", + "url": "https://github.com/doomemacs/doomemacs", + "description": "An Emacs framework for the stubborn martian hacker", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "19,562", + "forks": "3,064", + "addStars": "186", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/510883?s=40&v=4", + "name": "hlissner", + "url": "https://github.com/hlissner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19792685?s=40&v=4", + "name": "iyefrat", + "url": "https://github.com/iyefrat" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20903656?s=40&v=4", + "name": "tecosaur", + "url": "https://github.com/tecosaur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13229321?s=40&v=4", + "name": "patrl", + "url": "https://github.com/patrl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2872862?s=40&v=4", + "name": "elken", + "url": "https://github.com/elken" + } + ] + }, { "title": "syl20bnr/spacemacs", "url": "https://github.com/syl20bnr/spacemacs", @@ -122,7 +122,7 @@ "language": "Emacs Lisp", "languageColor": "#c065db", "stars": "23,710", - "forks": "4,897", + "forks": "4,896", "addStars": "75", "contributors": [ { @@ -184,43 +184,6 @@ } ] }, - { - "title": "mickeynp/combobulate", - "url": "https://github.com/mickeynp/combobulate", - "description": "Structured Editing and Navigation in Emacs with Tree-Sitter", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "948", - "forks": "55", - "addStars": "27", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1150346?s=40&v=4", - "name": "mickeynp", - "url": "https://github.com/mickeynp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16835755?s=40&v=4", - "name": "phuhl", - "url": "https://github.com/phuhl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/411338?s=40&v=4", - "name": "josteink", - "url": "https://github.com/josteink" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/217543?s=40&v=4", - "name": "DamienCassou", - "url": "https://github.com/DamienCassou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/787865?s=40&v=4", - "name": "guillaumebrunerie", - "url": "https://github.com/guillaumebrunerie" - } - ] - }, { "title": "immerrr/lua-mode", "url": "https://github.com/immerrr/lua-mode", @@ -258,6 +221,43 @@ } ] }, + { + "title": "mickeynp/combobulate", + "url": "https://github.com/mickeynp/combobulate", + "description": "Structured Editing and Navigation in Emacs with Tree-Sitter", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "948", + "forks": "55", + "addStars": "27", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1150346?s=40&v=4", + "name": "mickeynp", + "url": "https://github.com/mickeynp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16835755?s=40&v=4", + "name": "phuhl", + "url": "https://github.com/phuhl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/411338?s=40&v=4", + "name": "josteink", + "url": "https://github.com/josteink" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/217543?s=40&v=4", + "name": "DamienCassou", + "url": "https://github.com/DamienCassou" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/787865?s=40&v=4", + "name": "guillaumebrunerie", + "url": "https://github.com/guillaumebrunerie" + } + ] + }, { "title": "ahyatt/emacs-websocket", "url": "https://github.com/ahyatt/emacs-websocket", @@ -295,6 +295,80 @@ } ] }, + { + "title": "emacs-typescript/typescript.el", + "url": "https://github.com/emacs-typescript/typescript.el", + "description": "TypeScript-support for Emacs", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "266", + "forks": "79", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/411338?s=40&v=4", + "name": "josteink", + "url": "https://github.com/josteink" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1963493?s=40&v=4", + "name": "lddubeau", + "url": "https://github.com/lddubeau" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/149238?s=40&v=4", + "name": "ananthakumaran", + "url": "https://github.com/ananthakumaran" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2664959?s=40&v=4", + "name": "Fuco1", + "url": "https://github.com/Fuco1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8685505?s=40&v=4", + "name": "jcs090218", + "url": "https://github.com/jcs090218" + } + ] + }, + { + "title": "s-kostyaev/ellama", + "url": "https://github.com/s-kostyaev/ellama", + "description": "Ellama is a tool for interacting with large language models from Emacs.", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "575", + "forks": "38", + "addStars": "63", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8576745?s=40&v=4", + "name": "s-kostyaev", + "url": "https://github.com/s-kostyaev" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/310393?s=40&v=4", + "name": "Stebalien", + "url": "https://github.com/Stebalien" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21566?s=40&v=4", + "name": "r0man", + "url": "https://github.com/r0man" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54563?s=40&v=4", + "name": "stephenwithav", + "url": "https://github.com/stephenwithav" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16169950?s=40&v=4", + "name": "LionyxML", + "url": "https://github.com/LionyxML" + } + ] + }, { "title": "manateelazycat/lsp-bridge", "url": "https://github.com/manateelazycat/lsp-bridge", diff --git a/data/monthly/emacs-lisp.xml b/data/monthly/emacs-lisp.xml index 9a2c0914b30e..fb135aa52f12 100644 --- a/data/monthly/emacs-lisp.xml +++ b/data/monthly/emacs-lisp.xml @@ -3,7 +3,7 @@ GitHub Emacs-lisp Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Emacs-lisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT karthink/gptel https://github.com/karthink/gptel @@ -42,44 +42,6 @@ - - doomemacs/doomemacs - https://github.com/doomemacs/doomemacs - An Emacs framework for the stubborn martian hacker - https://github.com/doomemacs/doomemacs - Emacs Lisp - #c065db - 19,562 - 3,064 - 186 - - - https://avatars.githubusercontent.com/u/510883?s=40&v=4 - hlissner - https://github.com/hlissner - - - https://avatars.githubusercontent.com/u/19792685?s=40&v=4 - iyefrat - https://github.com/iyefrat - - - https://avatars.githubusercontent.com/u/20903656?s=40&v=4 - tecosaur - https://github.com/tecosaur - - - https://avatars.githubusercontent.com/u/13229321?s=40&v=4 - patrl - https://github.com/patrl - - - https://avatars.githubusercontent.com/u/2872862?s=40&v=4 - elken - https://github.com/elken - - - emacs-mirror/emacs https://github.com/emacs-mirror/emacs @@ -118,6 +80,44 @@ + + doomemacs/doomemacs + https://github.com/doomemacs/doomemacs + An Emacs framework for the stubborn martian hacker + https://github.com/doomemacs/doomemacs + Emacs Lisp + #c065db + 19,562 + 3,064 + 186 + + + https://avatars.githubusercontent.com/u/510883?s=40&v=4 + hlissner + https://github.com/hlissner + + + https://avatars.githubusercontent.com/u/19792685?s=40&v=4 + iyefrat + https://github.com/iyefrat + + + https://avatars.githubusercontent.com/u/20903656?s=40&v=4 + tecosaur + https://github.com/tecosaur + + + https://avatars.githubusercontent.com/u/13229321?s=40&v=4 + patrl + https://github.com/patrl + + + https://avatars.githubusercontent.com/u/2872862?s=40&v=4 + elken + https://github.com/elken + + + syl20bnr/spacemacs https://github.com/syl20bnr/spacemacs @@ -126,7 +126,7 @@ Emacs Lisp #c065db 23,710 - 4,897 + 4,896 75 @@ -189,44 +189,6 @@ - - mickeynp/combobulate - https://github.com/mickeynp/combobulate - Structured Editing and Navigation in Emacs with Tree-Sitter - https://github.com/mickeynp/combobulate - Emacs Lisp - #c065db - 948 - 55 - 27 - - - https://avatars.githubusercontent.com/u/1150346?s=40&v=4 - mickeynp - https://github.com/mickeynp - - - https://avatars.githubusercontent.com/u/16835755?s=40&v=4 - phuhl - https://github.com/phuhl - - - https://avatars.githubusercontent.com/u/411338?s=40&v=4 - josteink - https://github.com/josteink - - - https://avatars.githubusercontent.com/u/217543?s=40&v=4 - DamienCassou - https://github.com/DamienCassou - - - https://avatars.githubusercontent.com/u/787865?s=40&v=4 - guillaumebrunerie - https://github.com/guillaumebrunerie - - - immerrr/lua-mode https://github.com/immerrr/lua-mode @@ -265,6 +227,44 @@ + + mickeynp/combobulate + https://github.com/mickeynp/combobulate + Structured Editing and Navigation in Emacs with Tree-Sitter + https://github.com/mickeynp/combobulate + Emacs Lisp + #c065db + 948 + 55 + 27 + + + https://avatars.githubusercontent.com/u/1150346?s=40&v=4 + mickeynp + https://github.com/mickeynp + + + https://avatars.githubusercontent.com/u/16835755?s=40&v=4 + phuhl + https://github.com/phuhl + + + https://avatars.githubusercontent.com/u/411338?s=40&v=4 + josteink + https://github.com/josteink + + + https://avatars.githubusercontent.com/u/217543?s=40&v=4 + DamienCassou + https://github.com/DamienCassou + + + https://avatars.githubusercontent.com/u/787865?s=40&v=4 + guillaumebrunerie + https://github.com/guillaumebrunerie + + + ahyatt/emacs-websocket https://github.com/ahyatt/emacs-websocket @@ -303,6 +303,82 @@ + + emacs-typescript/typescript.el + https://github.com/emacs-typescript/typescript.el + TypeScript-support for Emacs + https://github.com/emacs-typescript/typescript.el + Emacs Lisp + #c065db + 266 + 79 + 0 + + + https://avatars.githubusercontent.com/u/411338?s=40&v=4 + josteink + https://github.com/josteink + + + https://avatars.githubusercontent.com/u/1963493?s=40&v=4 + lddubeau + https://github.com/lddubeau + + + https://avatars.githubusercontent.com/u/149238?s=40&v=4 + ananthakumaran + https://github.com/ananthakumaran + + + https://avatars.githubusercontent.com/u/2664959?s=40&v=4 + Fuco1 + https://github.com/Fuco1 + + + https://avatars.githubusercontent.com/u/8685505?s=40&v=4 + jcs090218 + https://github.com/jcs090218 + + + + + s-kostyaev/ellama + https://github.com/s-kostyaev/ellama + Ellama is a tool for interacting with large language models from Emacs. + https://github.com/s-kostyaev/ellama + Emacs Lisp + #c065db + 575 + 38 + 63 + + + https://avatars.githubusercontent.com/u/8576745?s=40&v=4 + s-kostyaev + https://github.com/s-kostyaev + + + https://avatars.githubusercontent.com/u/310393?s=40&v=4 + Stebalien + https://github.com/Stebalien + + + https://avatars.githubusercontent.com/u/21566?s=40&v=4 + r0man + https://github.com/r0man + + + https://avatars.githubusercontent.com/u/54563?s=40&v=4 + stephenwithav + https://github.com/stephenwithav + + + https://avatars.githubusercontent.com/u/16169950?s=40&v=4 + LionyxML + https://github.com/LionyxML + + + manateelazycat/lsp-bridge https://github.com/manateelazycat/lsp-bridge diff --git a/data/monthly/emberscript.json b/data/monthly/emberscript.json index 457a61d3fd6d..f5300ba45c76 100644 --- a/data/monthly/emberscript.json +++ b/data/monthly/emberscript.json @@ -2,7 +2,7 @@ "title": "GitHub Emberscript Languages Monthly Trending", "description": "Monthly Trending of Emberscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ros2/rosidl_python", diff --git a/data/monthly/emberscript.xml b/data/monthly/emberscript.xml index d2478790095d..88efd85d6a8a 100644 --- a/data/monthly/emberscript.xml +++ b/data/monthly/emberscript.xml @@ -3,7 +3,7 @@ GitHub Emberscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Emberscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ros2/rosidl_python https://github.com/ros2/rosidl_python diff --git a/data/monthly/eq.json b/data/monthly/eq.json index 7d660a48ae4f..f6d29c9e8b6c 100644 --- a/data/monthly/eq.json +++ b/data/monthly/eq.json @@ -2,6 +2,6 @@ "title": "GitHub Eq Languages Monthly Trending", "description": "Monthly Trending of Eq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/eq.xml b/data/monthly/eq.xml index 73302fda19bd..317884df97c9 100644 --- a/data/monthly/eq.xml +++ b/data/monthly/eq.xml @@ -3,6 +3,6 @@ GitHub Eq Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Eq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/erlang.json b/data/monthly/erlang.json index d14cf5c81437..f68e605b36e9 100644 --- a/data/monthly/erlang.json +++ b/data/monthly/erlang.json @@ -2,7 +2,7 @@ "title": "GitHub Erlang Languages Monthly Trending", "description": "Monthly Trending of Erlang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "emqx/emqx", @@ -11,7 +11,7 @@ "language": "Erlang", "languageColor": "#B83998", "stars": "14,148", - "forks": "2,244", + "forks": "2,245", "addStars": "148", "contributors": [ { @@ -306,7 +306,7 @@ "description": "Small, fast, modern HTTP server for Erlang/OTP.", "language": "Erlang", "languageColor": "#B83998", - "stars": "7,310", + "stars": "7,311", "forks": "1,168", "addStars": "27", "contributors": [ @@ -336,6 +336,43 @@ "url": "https://github.com/fishcakez" } ] + }, + { + "title": "epgsql/epgsql", + "url": "https://github.com/epgsql/epgsql", + "description": "Erlang PostgreSQL client library.", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "419", + "forks": "162", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/422014?s=40&v=4", + "name": "seriyps", + "url": "https://github.com/seriyps" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/302767?s=40&v=4", + "name": "mabrek", + "url": "https://github.com/mabrek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5064?s=40&v=4", + "name": "davidw", + "url": "https://github.com/davidw" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94529?s=40&v=4", + "name": "wg", + "url": "https://github.com/wg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1423421?s=40&v=4", + "name": "enidgjoleka", + "url": "https://github.com/enidgjoleka" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/erlang.xml b/data/monthly/erlang.xml index 7e45fb3c08d5..5d2d1ae00e80 100644 --- a/data/monthly/erlang.xml +++ b/data/monthly/erlang.xml @@ -3,7 +3,7 @@ GitHub Erlang Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Erlang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT emqx/emqx https://github.com/emqx/emqx @@ -12,7 +12,7 @@ Erlang #B83998 14,148 - 2,244 + 2,245 148 @@ -315,7 +315,7 @@ https://github.com/ninenines/cowboy Erlang #B83998 - 7,310 + 7,311 1,168 27 @@ -346,5 +346,43 @@ + + epgsql/epgsql + https://github.com/epgsql/epgsql + Erlang PostgreSQL client library. + https://github.com/epgsql/epgsql + Erlang + #B83998 + 419 + 162 + 3 + + + https://avatars.githubusercontent.com/u/422014?s=40&v=4 + seriyps + https://github.com/seriyps + + + https://avatars.githubusercontent.com/u/302767?s=40&v=4 + mabrek + https://github.com/mabrek + + + https://avatars.githubusercontent.com/u/5064?s=40&v=4 + davidw + https://github.com/davidw + + + https://avatars.githubusercontent.com/u/94529?s=40&v=4 + wg + https://github.com/wg + + + https://avatars.githubusercontent.com/u/1423421?s=40&v=4 + enidgjoleka + https://github.com/enidgjoleka + + + \ No newline at end of file diff --git a/data/monthly/euphoria.json b/data/monthly/euphoria.json index bb533281890c..e5732a9e68c8 100644 --- a/data/monthly/euphoria.json +++ b/data/monthly/euphoria.json @@ -2,6 +2,6 @@ "title": "GitHub Euphoria Languages Monthly Trending", "description": "Monthly Trending of Euphoria Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/euphoria.xml b/data/monthly/euphoria.xml index ebb2f4dd6511..8958ed12591a 100644 --- a/data/monthly/euphoria.xml +++ b/data/monthly/euphoria.xml @@ -3,6 +3,6 @@ GitHub Euphoria Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Euphoria Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/f#.json b/data/monthly/f#.json index d170ada10364..6ed8504e4e35 100644 --- a/data/monthly/f#.json +++ b/data/monthly/f#.json @@ -2,6 +2,6 @@ "title": "GitHub F# Languages Monthly Trending", "description": "Monthly Trending of F# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/f#.xml b/data/monthly/f#.xml index 819158a5c713..de2a626676ba 100644 --- a/data/monthly/f#.xml +++ b/data/monthly/f#.xml @@ -3,6 +3,6 @@ GitHub F# Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of F# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/f*.json b/data/monthly/f*.json index 89d98e3f863e..cb49f2589fd7 100644 --- a/data/monthly/f*.json +++ b/data/monthly/f*.json @@ -2,6 +2,6 @@ "title": "GitHub F* Languages Monthly Trending", "description": "Monthly Trending of F* Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/f*.xml b/data/monthly/f*.xml index eceaa04e7f2c..e9dd66250189 100644 --- a/data/monthly/f*.xml +++ b/data/monthly/f*.xml @@ -3,6 +3,6 @@ GitHub F* Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of F* Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/factor.json b/data/monthly/factor.json index 7bb8fee168ce..4afdd6f4330b 100644 --- a/data/monthly/factor.json +++ b/data/monthly/factor.json @@ -2,6 +2,6 @@ "title": "GitHub Factor Languages Monthly Trending", "description": "Monthly Trending of Factor Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/factor.xml b/data/monthly/factor.xml index 857cdc02a269..f525194aea3f 100644 --- a/data/monthly/factor.xml +++ b/data/monthly/factor.xml @@ -3,6 +3,6 @@ GitHub Factor Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Factor Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/fancy.json b/data/monthly/fancy.json index 7d023b1c064e..07587836cb95 100644 --- a/data/monthly/fancy.json +++ b/data/monthly/fancy.json @@ -2,6 +2,6 @@ "title": "GitHub Fancy Languages Monthly Trending", "description": "Monthly Trending of Fancy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/fancy.xml b/data/monthly/fancy.xml index 3462bd06a97e..30cd08dde02f 100644 --- a/data/monthly/fancy.xml +++ b/data/monthly/fancy.xml @@ -3,6 +3,6 @@ GitHub Fancy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Fancy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/fantom.json b/data/monthly/fantom.json index e725d659cb94..2a97639a260e 100644 --- a/data/monthly/fantom.json +++ b/data/monthly/fantom.json @@ -2,6 +2,6 @@ "title": "GitHub Fantom Languages Monthly Trending", "description": "Monthly Trending of Fantom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/fantom.xml b/data/monthly/fantom.xml index 5fd06eda9cde..8db3a8d7f0df 100644 --- a/data/monthly/fantom.xml +++ b/data/monthly/fantom.xml @@ -3,6 +3,6 @@ GitHub Fantom Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Fantom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/faust.json b/data/monthly/faust.json index 68b4c8062768..d1824f7c152b 100644 --- a/data/monthly/faust.json +++ b/data/monthly/faust.json @@ -2,6 +2,6 @@ "title": "GitHub Faust Languages Monthly Trending", "description": "Monthly Trending of Faust Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/faust.xml b/data/monthly/faust.xml index b9fff1bc140c..47d254c0ff71 100644 --- a/data/monthly/faust.xml +++ b/data/monthly/faust.xml @@ -3,6 +3,6 @@ GitHub Faust Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Faust Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/fennel.json b/data/monthly/fennel.json index 0258a1d15c40..0ebfd70feec7 100644 --- a/data/monthly/fennel.json +++ b/data/monthly/fennel.json @@ -2,7 +2,7 @@ "title": "GitHub Fennel Languages Monthly Trending", "description": "Monthly Trending of Fennel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Olical/conjure", diff --git a/data/monthly/fennel.xml b/data/monthly/fennel.xml index 14e291ec337b..263f77ab5ef3 100644 --- a/data/monthly/fennel.xml +++ b/data/monthly/fennel.xml @@ -3,7 +3,7 @@ GitHub Fennel Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Fennel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Olical/conjure https://github.com/Olical/conjure diff --git a/data/monthly/figlet-font.json b/data/monthly/figlet-font.json index 98dcdec0a7a7..d8bc7b447f94 100644 --- a/data/monthly/figlet-font.json +++ b/data/monthly/figlet-font.json @@ -2,6 +2,6 @@ "title": "GitHub Figlet-font Languages Monthly Trending", "description": "Monthly Trending of Figlet-font Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/figlet-font.xml b/data/monthly/figlet-font.xml index 9498700b173d..03134c5f9132 100644 --- a/data/monthly/figlet-font.xml +++ b/data/monthly/figlet-font.xml @@ -3,6 +3,6 @@ GitHub Figlet-font Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Figlet-font Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/filebench-wml.json b/data/monthly/filebench-wml.json index d1a3ec6534cf..1537712900a6 100644 --- a/data/monthly/filebench-wml.json +++ b/data/monthly/filebench-wml.json @@ -2,6 +2,6 @@ "title": "GitHub Filebench-wml Languages Monthly Trending", "description": "Monthly Trending of Filebench-wml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/filebench-wml.xml b/data/monthly/filebench-wml.xml index b99c695b4439..3cad3b0f53ad 100644 --- a/data/monthly/filebench-wml.xml +++ b/data/monthly/filebench-wml.xml @@ -3,6 +3,6 @@ GitHub Filebench-wml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Filebench-wml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/filterscript.json b/data/monthly/filterscript.json index 254cb11ac29b..e4da1ff13d46 100644 --- a/data/monthly/filterscript.json +++ b/data/monthly/filterscript.json @@ -2,6 +2,6 @@ "title": "GitHub Filterscript Languages Monthly Trending", "description": "Monthly Trending of Filterscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/filterscript.xml b/data/monthly/filterscript.xml index 708e5f8959a4..fadd0a88a8b1 100644 --- a/data/monthly/filterscript.xml +++ b/data/monthly/filterscript.xml @@ -3,6 +3,6 @@ GitHub Filterscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Filterscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/firrtl.json b/data/monthly/firrtl.json index 3f6f352fba2c..c76f6365a304 100644 --- a/data/monthly/firrtl.json +++ b/data/monthly/firrtl.json @@ -2,6 +2,6 @@ "title": "GitHub Firrtl Languages Monthly Trending", "description": "Monthly Trending of Firrtl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/firrtl.xml b/data/monthly/firrtl.xml index 22076d849b9e..5c8067f83791 100644 --- a/data/monthly/firrtl.xml +++ b/data/monthly/firrtl.xml @@ -3,6 +3,6 @@ GitHub Firrtl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Firrtl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/fish.json b/data/monthly/fish.json index ae699ef89f62..5c80b2ba7ef2 100644 --- a/data/monthly/fish.json +++ b/data/monthly/fish.json @@ -2,6 +2,6 @@ "title": "GitHub Fish Languages Monthly Trending", "description": "Monthly Trending of Fish Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/fish.xml b/data/monthly/fish.xml index b11992feb76a..9edd037f3330 100644 --- a/data/monthly/fish.xml +++ b/data/monthly/fish.xml @@ -3,6 +3,6 @@ GitHub Fish Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Fish Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/fluent.json b/data/monthly/fluent.json index 60c3bbd82ddd..07a15013135c 100644 --- a/data/monthly/fluent.json +++ b/data/monthly/fluent.json @@ -2,7 +2,7 @@ "title": "GitHub Fluent Languages Monthly Trending", "description": "Monthly Trending of Fluent Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "janeczku/calibre-web", @@ -10,7 +10,7 @@ "description": "📚 Web app for browsing, reading and downloading eBooks stored in a Calibre database", "language": "Fluent", "languageColor": "#ffcc33", - "stars": "13,157", + "stars": "13,162", "forks": "1,405", "addStars": "284", "contributors": [ @@ -41,6 +41,43 @@ } ] }, + { + "title": "an-anime-team/an-anime-game-launcher", + "url": "https://github.com/an-anime-team/an-anime-game-launcher", + "description": "An Anime Game launcher for Linux with telemetry disabling", + "language": "Fluent", + "languageColor": "#ffcc33", + "stars": "1,583", + "forks": "68", + "addStars": "32", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/29639507?s=40&v=4", + "name": "krypt0nn", + "url": "https://github.com/krypt0nn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/87247880?s=40&v=4", + "name": "vbrabandt2005", + "url": "https://github.com/vbrabandt2005" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/226229?s=40&v=4", + "name": "tigersoldier", + "url": "https://github.com/tigersoldier" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67389402?s=40&v=4", + "name": "RabbyDevs", + "url": "https://github.com/RabbyDevs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/121238754?s=40&v=4", + "name": "c4em", + "url": "https://github.com/c4em" + } + ] + }, { "title": "mozilla/blurts-server", "url": "https://github.com/mozilla/blurts-server", diff --git a/data/monthly/fluent.xml b/data/monthly/fluent.xml index cc5c01db7242..f1567708cbbd 100644 --- a/data/monthly/fluent.xml +++ b/data/monthly/fluent.xml @@ -3,7 +3,7 @@ GitHub Fluent Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Fluent Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT janeczku/calibre-web https://github.com/janeczku/calibre-web @@ -11,7 +11,7 @@ https://github.com/janeczku/calibre-web Fluent #ffcc33 - 13,157 + 13,162 1,405 284 @@ -42,6 +42,44 @@ + + an-anime-team/an-anime-game-launcher + https://github.com/an-anime-team/an-anime-game-launcher + An Anime Game launcher for Linux with telemetry disabling + https://github.com/an-anime-team/an-anime-game-launcher + Fluent + #ffcc33 + 1,583 + 68 + 32 + + + https://avatars.githubusercontent.com/u/29639507?s=40&v=4 + krypt0nn + https://github.com/krypt0nn + + + https://avatars.githubusercontent.com/u/87247880?s=40&v=4 + vbrabandt2005 + https://github.com/vbrabandt2005 + + + https://avatars.githubusercontent.com/u/226229?s=40&v=4 + tigersoldier + https://github.com/tigersoldier + + + https://avatars.githubusercontent.com/u/67389402?s=40&v=4 + RabbyDevs + https://github.com/RabbyDevs + + + https://avatars.githubusercontent.com/u/121238754?s=40&v=4 + c4em + https://github.com/c4em + + + mozilla/blurts-server https://github.com/mozilla/blurts-server diff --git a/data/monthly/flux.json b/data/monthly/flux.json index 1a280e6686bb..f9828e462394 100644 --- a/data/monthly/flux.json +++ b/data/monthly/flux.json @@ -2,6 +2,6 @@ "title": "GitHub Flux Languages Monthly Trending", "description": "Monthly Trending of Flux Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/flux.xml b/data/monthly/flux.xml index 2fef42d7c111..f5e315cd0c24 100644 --- a/data/monthly/flux.xml +++ b/data/monthly/flux.xml @@ -3,6 +3,6 @@ GitHub Flux Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Flux Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/formatted.json b/data/monthly/formatted.json index 111f686f4f69..8a5a37007b3e 100644 --- a/data/monthly/formatted.json +++ b/data/monthly/formatted.json @@ -2,6 +2,6 @@ "title": "GitHub Formatted Languages Monthly Trending", "description": "Monthly Trending of Formatted Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/formatted.xml b/data/monthly/formatted.xml index 784ac3c8342e..9c55623acc71 100644 --- a/data/monthly/formatted.xml +++ b/data/monthly/formatted.xml @@ -3,6 +3,6 @@ GitHub Formatted Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Formatted Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/forth.json b/data/monthly/forth.json index 65d2a38a6962..4934136d85c0 100644 --- a/data/monthly/forth.json +++ b/data/monthly/forth.json @@ -2,6 +2,6 @@ "title": "GitHub Forth Languages Monthly Trending", "description": "Monthly Trending of Forth Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/forth.xml b/data/monthly/forth.xml index a2b268e894f0..ed4f85900135 100644 --- a/data/monthly/forth.xml +++ b/data/monthly/forth.xml @@ -3,6 +3,6 @@ GitHub Forth Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Forth Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/fortran-free-form.json b/data/monthly/fortran-free-form.json index fd6a82831ccd..8c2f8fb97e1f 100644 --- a/data/monthly/fortran-free-form.json +++ b/data/monthly/fortran-free-form.json @@ -2,6 +2,6 @@ "title": "GitHub Fortran-free-form Languages Monthly Trending", "description": "Monthly Trending of Fortran-free-form Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/fortran-free-form.xml b/data/monthly/fortran-free-form.xml index 1993ae134369..7c76f971e7be 100644 --- a/data/monthly/fortran-free-form.xml +++ b/data/monthly/fortran-free-form.xml @@ -3,6 +3,6 @@ GitHub Fortran-free-form Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Fortran-free-form Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/fortran.json b/data/monthly/fortran.json index 75dc60d7208d..5fe50160bad7 100644 --- a/data/monthly/fortran.json +++ b/data/monthly/fortran.json @@ -2,45 +2,8 @@ "title": "GitHub Fortran Languages Monthly Trending", "description": "Monthly Trending of Fortran Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "MCSclimate/MCT", - "url": "https://github.com/MCSclimate/MCT", - "description": "Model Coupling Tookit", - "language": "Fortran", - "languageColor": "#4d41b1", - "stars": "43", - "forks": "18", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/947068?s=40&v=4", - "name": "rljacob", - "url": "https://github.com/rljacob" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1740206?s=40&v=4", - "name": "quantheory", - "url": "https://github.com/quantheory" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6126104?s=40&v=4", - "name": "jedwards4b", - "url": "https://github.com/jedwards4b" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5412?s=40&v=4", - "name": "steder", - "url": "https://github.com/steder" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13952101?s=40&v=4", - "name": "Katetc", - "url": "https://github.com/Katetc" - } - ] - }, { "title": "E3SM-Project/scream", "url": "https://github.com/E3SM-Project/scream", @@ -78,6 +41,43 @@ } ] }, + { + "title": "MCSclimate/MCT", + "url": "https://github.com/MCSclimate/MCT", + "description": "Model Coupling Tookit", + "language": "Fortran", + "languageColor": "#4d41b1", + "stars": "43", + "forks": "18", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/947068?s=40&v=4", + "name": "rljacob", + "url": "https://github.com/rljacob" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1740206?s=40&v=4", + "name": "quantheory", + "url": "https://github.com/quantheory" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6126104?s=40&v=4", + "name": "jedwards4b", + "url": "https://github.com/jedwards4b" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5412?s=40&v=4", + "name": "steder", + "url": "https://github.com/steder" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13952101?s=40&v=4", + "name": "Katetc", + "url": "https://github.com/Katetc" + } + ] + }, { "title": "NGEET/fates", "url": "https://github.com/NGEET/fates", diff --git a/data/monthly/fortran.xml b/data/monthly/fortran.xml index 8951fda5bf52..1ca36a8351b7 100644 --- a/data/monthly/fortran.xml +++ b/data/monthly/fortran.xml @@ -3,45 +3,7 @@ GitHub Fortran Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Fortran Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - MCSclimate/MCT - https://github.com/MCSclimate/MCT - Model Coupling Tookit - https://github.com/MCSclimate/MCT - Fortran - #4d41b1 - 43 - 18 - 0 - - - https://avatars.githubusercontent.com/u/947068?s=40&v=4 - rljacob - https://github.com/rljacob - - - https://avatars.githubusercontent.com/u/1740206?s=40&v=4 - quantheory - https://github.com/quantheory - - - https://avatars.githubusercontent.com/u/6126104?s=40&v=4 - jedwards4b - https://github.com/jedwards4b - - - https://avatars.githubusercontent.com/u/5412?s=40&v=4 - steder - https://github.com/steder - - - https://avatars.githubusercontent.com/u/13952101?s=40&v=4 - Katetc - https://github.com/Katetc - - - + Mon, 25 Nov 2024 13:30:36 GMT E3SM-Project/scream https://github.com/E3SM-Project/scream @@ -80,6 +42,44 @@ + + MCSclimate/MCT + https://github.com/MCSclimate/MCT + Model Coupling Tookit + https://github.com/MCSclimate/MCT + Fortran + #4d41b1 + 43 + 18 + 0 + + + https://avatars.githubusercontent.com/u/947068?s=40&v=4 + rljacob + https://github.com/rljacob + + + https://avatars.githubusercontent.com/u/1740206?s=40&v=4 + quantheory + https://github.com/quantheory + + + https://avatars.githubusercontent.com/u/6126104?s=40&v=4 + jedwards4b + https://github.com/jedwards4b + + + https://avatars.githubusercontent.com/u/5412?s=40&v=4 + steder + https://github.com/steder + + + https://avatars.githubusercontent.com/u/13952101?s=40&v=4 + Katetc + https://github.com/Katetc + + + NGEET/fates https://github.com/NGEET/fates diff --git a/data/monthly/freebasic.json b/data/monthly/freebasic.json index 94e23e500394..4db2e2a61d58 100644 --- a/data/monthly/freebasic.json +++ b/data/monthly/freebasic.json @@ -2,6 +2,6 @@ "title": "GitHub Freebasic Languages Monthly Trending", "description": "Monthly Trending of Freebasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/freebasic.xml b/data/monthly/freebasic.xml index 33095581865c..89aaa52acc14 100644 --- a/data/monthly/freebasic.xml +++ b/data/monthly/freebasic.xml @@ -3,6 +3,6 @@ GitHub Freebasic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Freebasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/freemarker.json b/data/monthly/freemarker.json index 14a1c45fdb89..818bfe0b6b49 100644 --- a/data/monthly/freemarker.json +++ b/data/monthly/freemarker.json @@ -2,6 +2,6 @@ "title": "GitHub Freemarker Languages Monthly Trending", "description": "Monthly Trending of Freemarker Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/freemarker.xml b/data/monthly/freemarker.xml index 1eaf2f2c8996..f8f69e3b96db 100644 --- a/data/monthly/freemarker.xml +++ b/data/monthly/freemarker.xml @@ -3,6 +3,6 @@ GitHub Freemarker Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Freemarker Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/frege.json b/data/monthly/frege.json index 3bcf0522e03a..a2ce06c80e91 100644 --- a/data/monthly/frege.json +++ b/data/monthly/frege.json @@ -2,6 +2,6 @@ "title": "GitHub Frege Languages Monthly Trending", "description": "Monthly Trending of Frege Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/frege.xml b/data/monthly/frege.xml index bc247a1543b8..4229c9b0c92d 100644 --- a/data/monthly/frege.xml +++ b/data/monthly/frege.xml @@ -3,6 +3,6 @@ GitHub Frege Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Frege Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/futhark.json b/data/monthly/futhark.json index 3920713e427d..ea9c92fe8d23 100644 --- a/data/monthly/futhark.json +++ b/data/monthly/futhark.json @@ -2,6 +2,6 @@ "title": "GitHub Futhark Languages Monthly Trending", "description": "Monthly Trending of Futhark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/futhark.xml b/data/monthly/futhark.xml index ae3971947dfe..b397c2fc5e49 100644 --- a/data/monthly/futhark.xml +++ b/data/monthly/futhark.xml @@ -3,6 +3,6 @@ GitHub Futhark Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Futhark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/g-code.json b/data/monthly/g-code.json index fb5a3d8a8004..c7cd2a219c71 100644 --- a/data/monthly/g-code.json +++ b/data/monthly/g-code.json @@ -2,7 +2,7 @@ "title": "GitHub G-code Languages Monthly Trending", "description": "Monthly Trending of G-code Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tanaes/whopping_Voron_mods", @@ -10,7 +10,7 @@ "description": "", "language": "G-code", "languageColor": "#D08CF2", - "stars": "567", + "stars": "566", "forks": "97", "addStars": "10", "contributors": [ diff --git a/data/monthly/g-code.xml b/data/monthly/g-code.xml index ae536482119f..cd0d8f4539ee 100644 --- a/data/monthly/g-code.xml +++ b/data/monthly/g-code.xml @@ -3,7 +3,7 @@ GitHub G-code Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of G-code Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tanaes/whopping_Voron_mods https://github.com/tanaes/whopping_Voron_mods @@ -11,7 +11,7 @@ https://github.com/tanaes/whopping_Voron_mods G-code #D08CF2 - 567 + 566 97 10 diff --git a/data/monthly/game-maker-language.json b/data/monthly/game-maker-language.json index 7630588f01a7..78194d8a1df7 100644 --- a/data/monthly/game-maker-language.json +++ b/data/monthly/game-maker-language.json @@ -2,6 +2,6 @@ "title": "GitHub Game-maker-language Languages Monthly Trending", "description": "Monthly Trending of Game-maker-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/game-maker-language.xml b/data/monthly/game-maker-language.xml index 2e9494a2901a..befccc61ec6a 100644 --- a/data/monthly/game-maker-language.xml +++ b/data/monthly/game-maker-language.xml @@ -3,6 +3,6 @@ GitHub Game-maker-language Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Game-maker-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gaml.json b/data/monthly/gaml.json index dce42e8ccf1b..3f763de7991b 100644 --- a/data/monthly/gaml.json +++ b/data/monthly/gaml.json @@ -2,6 +2,6 @@ "title": "GitHub Gaml Languages Monthly Trending", "description": "Monthly Trending of Gaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gaml.xml b/data/monthly/gaml.xml index b5230913b71d..82b547815e9b 100644 --- a/data/monthly/gaml.xml +++ b/data/monthly/gaml.xml @@ -3,6 +3,6 @@ GitHub Gaml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gams.json b/data/monthly/gams.json index 0762e6462d14..4bec42d9df24 100644 --- a/data/monthly/gams.json +++ b/data/monthly/gams.json @@ -2,6 +2,6 @@ "title": "GitHub Gams Languages Monthly Trending", "description": "Monthly Trending of Gams Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gams.xml b/data/monthly/gams.xml index 05480ae0e566..6ccb6fa211ee 100644 --- a/data/monthly/gams.xml +++ b/data/monthly/gams.xml @@ -3,6 +3,6 @@ GitHub Gams Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gams Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gap.json b/data/monthly/gap.json index d91986fd3fcd..85811dff9291 100644 --- a/data/monthly/gap.json +++ b/data/monthly/gap.json @@ -2,6 +2,6 @@ "title": "GitHub Gap Languages Monthly Trending", "description": "Monthly Trending of Gap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gap.xml b/data/monthly/gap.xml index 05b74c370042..7a2bfbfb6035 100644 --- a/data/monthly/gap.xml +++ b/data/monthly/gap.xml @@ -3,6 +3,6 @@ GitHub Gap Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gcc-machine-description.json b/data/monthly/gcc-machine-description.json index e7b7f4c61e38..a56dfc2141df 100644 --- a/data/monthly/gcc-machine-description.json +++ b/data/monthly/gcc-machine-description.json @@ -2,6 +2,6 @@ "title": "GitHub Gcc-machine-description Languages Monthly Trending", "description": "Monthly Trending of Gcc-machine-description Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gcc-machine-description.xml b/data/monthly/gcc-machine-description.xml index 5be6609fa9e4..bf920d71d383 100644 --- a/data/monthly/gcc-machine-description.xml +++ b/data/monthly/gcc-machine-description.xml @@ -3,6 +3,6 @@ GitHub Gcc-machine-description Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gcc-machine-description Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gdb.json b/data/monthly/gdb.json index 3c978ddc495a..513f0c6e40f1 100644 --- a/data/monthly/gdb.json +++ b/data/monthly/gdb.json @@ -2,6 +2,6 @@ "title": "GitHub Gdb Languages Monthly Trending", "description": "Monthly Trending of Gdb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gdb.xml b/data/monthly/gdb.xml index d3c2f4a35d08..94ae6e5dab13 100644 --- a/data/monthly/gdb.xml +++ b/data/monthly/gdb.xml @@ -3,6 +3,6 @@ GitHub Gdb Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gdb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gdscript.json b/data/monthly/gdscript.json index 23ea6a438c9b..eb4a60b0201f 100644 --- a/data/monthly/gdscript.json +++ b/data/monthly/gdscript.json @@ -2,7 +2,7 @@ "title": "GitHub Gdscript Languages Monthly Trending", "description": "Monthly Trending of Gdscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Maran23/script-ide", @@ -32,39 +32,39 @@ ] }, { - "title": "HungryProton/scatter", - "url": "https://github.com/HungryProton/scatter", - "description": "Godot engine addon to randomly fill an area with props or other scenes", + "title": "foxssake/netfox", + "url": "https://github.com/foxssake/netfox", + "description": "Addons for building multiplayer games with Godot", "language": "GDScript", "languageColor": "#355570", - "stars": "2,180", - "forks": "97", - "addStars": "64", + "stars": "430", + "forks": "17", + "addStars": "48", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/52043844?s=40&v=4", - "name": "HungryProton", - "url": "https://github.com/HungryProton" + "avatar": "https://avatars.githubusercontent.com/u/4706096?s=40&v=4", + "name": "elementbound", + "url": "https://github.com/elementbound" }, { - "avatar": "https://avatars.githubusercontent.com/u/38119387?s=40&v=4", - "name": "addmix", - "url": "https://github.com/addmix" + "avatar": "https://avatars.githubusercontent.com/u/25630803?s=40&v=4", + "name": "TheYellowArchitect", + "url": "https://github.com/TheYellowArchitect" }, { - "avatar": "https://avatars.githubusercontent.com/u/36245389?s=40&v=4", - "name": "Norodix", - "url": "https://github.com/Norodix" + "avatar": "https://avatars.githubusercontent.com/u/5311294?s=40&v=4", + "name": "albertok", + "url": "https://github.com/albertok" }, { - "avatar": "https://avatars.githubusercontent.com/u/23208753?s=40&v=4", - "name": "florianvazelle", - "url": "https://github.com/florianvazelle" + "avatar": "https://avatars.githubusercontent.com/u/623463?s=40&v=4", + "name": "krazyjakee", + "url": "https://github.com/krazyjakee" }, { - "avatar": "https://avatars.githubusercontent.com/u/11782833?s=40&v=4", - "name": "YuriSizov", - "url": "https://github.com/YuriSizov" + "avatar": "https://avatars.githubusercontent.com/u/97706756?s=40&v=4", + "name": "zibetnu", + "url": "https://github.com/zibetnu" } ] }, @@ -106,76 +106,39 @@ ] }, { - "title": "lampe-games/godot-open-rts", - "url": "https://github.com/lampe-games/godot-open-rts", - "description": "Open Source RTS game made in Godot 4", - "language": "GDScript", - "languageColor": "#355570", - "stars": "651", - "forks": "85", - "addStars": "39", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1207385?s=40&v=4", - "name": "Scony", - "url": "https://github.com/Scony" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26837091?s=40&v=4", - "name": "berndrakell", - "url": "https://github.com/berndrakell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/97000153?s=40&v=4", - "name": "Bazsi1224", - "url": "https://github.com/Bazsi1224" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/297744?s=40&v=4", - "name": "domenukk", - "url": "https://github.com/domenukk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34405532?s=40&v=4", - "name": "williamsokol", - "url": "https://github.com/williamsokol" - } - ] - }, - { - "title": "blackears/cyclopsLevelBuilder", - "url": "https://github.com/blackears/cyclopsLevelBuilder", - "description": "A Godot plugin to let you block in levels inside the Godot editor.", + "title": "HungryProton/scatter", + "url": "https://github.com/HungryProton/scatter", + "description": "Godot engine addon to randomly fill an area with props or other scenes", "language": "GDScript", "languageColor": "#355570", - "stars": "1,099", - "forks": "44", - "addStars": "38", + "stars": "2,181", + "forks": "97", + "addStars": "64", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6724608?s=40&v=4", - "name": "blackears", - "url": "https://github.com/blackears" + "avatar": "https://avatars.githubusercontent.com/u/52043844?s=40&v=4", + "name": "HungryProton", + "url": "https://github.com/HungryProton" }, { - "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", - "name": "Calinou", - "url": "https://github.com/Calinou" + "avatar": "https://avatars.githubusercontent.com/u/38119387?s=40&v=4", + "name": "addmix", + "url": "https://github.com/addmix" }, { - "avatar": "https://avatars.githubusercontent.com/u/12694995?s=40&v=4", - "name": "NathanLovato", - "url": "https://github.com/NathanLovato" + "avatar": "https://avatars.githubusercontent.com/u/36245389?s=40&v=4", + "name": "Norodix", + "url": "https://github.com/Norodix" }, { - "avatar": "https://avatars.githubusercontent.com/u/19198104?s=40&v=4", - "name": "cuppajoeman", - "url": "https://github.com/cuppajoeman" + "avatar": "https://avatars.githubusercontent.com/u/23208753?s=40&v=4", + "name": "florianvazelle", + "url": "https://github.com/florianvazelle" }, { - "avatar": "https://avatars.githubusercontent.com/u/98854?s=40&v=4", - "name": "cg9999", - "url": "https://github.com/cg9999" + "avatar": "https://avatars.githubusercontent.com/u/11782833?s=40&v=4", + "name": "YuriSizov", + "url": "https://github.com/YuriSizov" } ] }, @@ -185,7 +148,7 @@ "description": "💬 Create Dialogs, Visual Novels, RPGs, and manage Characters with Godot to create your Game!", "language": "GDScript", "languageColor": "#355570", - "stars": "4,034", + "stars": "4,036", "forks": "239", "addStars": "115", "contributors": [ @@ -216,43 +179,6 @@ } ] }, - { - "title": "BenjaTK/Gaea", - "url": "https://github.com/BenjaTK/Gaea", - "description": "Procedural generation add-on for Godot 4.", - "language": "GDScript", - "languageColor": "#355570", - "stars": "1,133", - "forks": "55", - "addStars": "47", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/73806216?s=40&v=4", - "name": "BenjaTK", - "url": "https://github.com/BenjaTK" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17892900?s=40&v=4", - "name": "cullumi", - "url": "https://github.com/cullumi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/80385479?s=40&v=4", - "name": "titusio", - "url": "https://github.com/titusio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/104665979?s=40&v=4", - "name": "DaviD4Chirino", - "url": "https://github.com/DaviD4Chirino" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/94725241?s=40&v=4", - "name": "MeBadDev", - "url": "https://github.com/MeBadDev" - } - ] - }, { "title": "Phazorknight/Cogito", "url": "https://github.com/Phazorknight/Cogito", @@ -290,13 +216,50 @@ } ] }, + { + "title": "BenjaTK/Gaea", + "url": "https://github.com/BenjaTK/Gaea", + "description": "Procedural generation add-on for Godot 4.", + "language": "GDScript", + "languageColor": "#355570", + "stars": "1,133", + "forks": "55", + "addStars": "47", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/73806216?s=40&v=4", + "name": "BenjaTK", + "url": "https://github.com/BenjaTK" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17892900?s=40&v=4", + "name": "cullumi", + "url": "https://github.com/cullumi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/80385479?s=40&v=4", + "name": "titusio", + "url": "https://github.com/titusio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/104665979?s=40&v=4", + "name": "DaviD4Chirino", + "url": "https://github.com/DaviD4Chirino" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94725241?s=40&v=4", + "name": "MeBadDev", + "url": "https://github.com/MeBadDev" + } + ] + }, { "title": "don-tnowe/godot-resources-as-sheets-plugin", "url": "https://github.com/don-tnowe/godot-resources-as-sheets-plugin", "description": "I needed to edit many Resource files, so figured someone would too.", "language": "GDScript", "languageColor": "#355570", - "stars": "514", + "stars": "515", "forks": "21", "addStars": "38", "contributors": [ @@ -365,76 +328,39 @@ ] }, { - "title": "peter-kish/gloot", - "url": "https://github.com/peter-kish/gloot", - "description": "A universal inventory system for the Godot game engine.", - "language": "GDScript", - "languageColor": "#355570", - "stars": "658", - "forks": "28", - "addStars": "41", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11254996?s=40&v=4", - "name": "peter-kish", - "url": "https://github.com/peter-kish" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/49783296?s=40&v=4", - "name": "LeeWannacott", - "url": "https://github.com/LeeWannacott" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25630803?s=40&v=4", - "name": "TheYellowArchitect", - "url": "https://github.com/TheYellowArchitect" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41068336?s=40&v=4", - "name": "Muha0644", - "url": "https://github.com/Muha0644" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54935689?s=40&v=4", - "name": "Achang0611", - "url": "https://github.com/Achang0611" - } - ] - }, - { - "title": "SirRamEsq/SmartShape2D", - "url": "https://github.com/SirRamEsq/SmartShape2D", - "description": "A 2D Terrain Tool for Godot", + "title": "git-learning-game/oh-my-git", + "url": "https://github.com/git-learning-game/oh-my-git", + "description": "An interactive Git learning game!", "language": "GDScript", "languageColor": "#355570", - "stars": "1,365", - "forks": "68", - "addStars": "39", + "stars": "2,060", + "forks": "146", + "addStars": "31", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9139184?s=40&v=4", - "name": "SirRamEsq", - "url": "https://github.com/SirRamEsq" + "avatar": "https://avatars.githubusercontent.com/u/81277?s=40&v=4", + "name": "blinry", + "url": "https://github.com/blinry" }, { - "avatar": "https://avatars.githubusercontent.com/u/2766569?s=40&v=4", - "name": "limbonaut", - "url": "https://github.com/limbonaut" + "avatar": "https://avatars.githubusercontent.com/u/5028973?s=40&v=4", + "name": "bleeptrack", + "url": "https://github.com/bleeptrack" }, { - "avatar": "https://avatars.githubusercontent.com/u/7116001?s=40&v=4", - "name": "mphe", - "url": "https://github.com/mphe" + "avatar": "https://avatars.githubusercontent.com/u/1395806?s=40&v=4", + "name": "mundifex", + "url": "https://github.com/mundifex" }, { - "avatar": "https://avatars.githubusercontent.com/u/7462993?s=40&v=4", - "name": "remorse107", - "url": "https://github.com/remorse107" + "avatar": "https://avatars.githubusercontent.com/u/480915?s=40&v=4", + "name": "jspricke", + "url": "https://github.com/jspricke" }, { - "avatar": "https://avatars.githubusercontent.com/u/7023847?s=40&v=4", - "name": "GuyUnger", - "url": "https://github.com/GuyUnger" + "avatar": "https://avatars.githubusercontent.com/u/12937331?s=40&v=4", + "name": "derNiklaas", + "url": "https://github.com/derNiklaas" } ] } diff --git a/data/monthly/gdscript.xml b/data/monthly/gdscript.xml index ca341fdb1cd1..f1f414751718 100644 --- a/data/monthly/gdscript.xml +++ b/data/monthly/gdscript.xml @@ -3,7 +3,7 @@ GitHub Gdscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gdscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Maran23/script-ide https://github.com/Maran23/script-ide @@ -33,40 +33,40 @@ - HungryProton/scatter - https://github.com/HungryProton/scatter - Godot engine addon to randomly fill an area with props or other scenes - https://github.com/HungryProton/scatter + foxssake/netfox + https://github.com/foxssake/netfox + Addons for building multiplayer games with Godot + https://github.com/foxssake/netfox GDScript #355570 - 2,180 - 97 - 64 + 430 + 17 + 48 - https://avatars.githubusercontent.com/u/52043844?s=40&v=4 - HungryProton - https://github.com/HungryProton + https://avatars.githubusercontent.com/u/4706096?s=40&v=4 + elementbound + https://github.com/elementbound - https://avatars.githubusercontent.com/u/38119387?s=40&v=4 - addmix - https://github.com/addmix + https://avatars.githubusercontent.com/u/25630803?s=40&v=4 + TheYellowArchitect + https://github.com/TheYellowArchitect - https://avatars.githubusercontent.com/u/36245389?s=40&v=4 - Norodix - https://github.com/Norodix + https://avatars.githubusercontent.com/u/5311294?s=40&v=4 + albertok + https://github.com/albertok - https://avatars.githubusercontent.com/u/23208753?s=40&v=4 - florianvazelle - https://github.com/florianvazelle + https://avatars.githubusercontent.com/u/623463?s=40&v=4 + krazyjakee + https://github.com/krazyjakee - https://avatars.githubusercontent.com/u/11782833?s=40&v=4 - YuriSizov - https://github.com/YuriSizov + https://avatars.githubusercontent.com/u/97706756?s=40&v=4 + zibetnu + https://github.com/zibetnu @@ -109,78 +109,40 @@ - lampe-games/godot-open-rts - https://github.com/lampe-games/godot-open-rts - Open Source RTS game made in Godot 4 - https://github.com/lampe-games/godot-open-rts - GDScript - #355570 - 651 - 85 - 39 - - - https://avatars.githubusercontent.com/u/1207385?s=40&v=4 - Scony - https://github.com/Scony - - - https://avatars.githubusercontent.com/u/26837091?s=40&v=4 - berndrakell - https://github.com/berndrakell - - - https://avatars.githubusercontent.com/u/97000153?s=40&v=4 - Bazsi1224 - https://github.com/Bazsi1224 - - - https://avatars.githubusercontent.com/u/297744?s=40&v=4 - domenukk - https://github.com/domenukk - - - https://avatars.githubusercontent.com/u/34405532?s=40&v=4 - williamsokol - https://github.com/williamsokol - - - - - blackears/cyclopsLevelBuilder - https://github.com/blackears/cyclopsLevelBuilder - A Godot plugin to let you block in levels inside the Godot editor. - https://github.com/blackears/cyclopsLevelBuilder + HungryProton/scatter + https://github.com/HungryProton/scatter + Godot engine addon to randomly fill an area with props or other scenes + https://github.com/HungryProton/scatter GDScript #355570 - 1,099 - 44 - 38 + 2,181 + 97 + 64 - https://avatars.githubusercontent.com/u/6724608?s=40&v=4 - blackears - https://github.com/blackears + https://avatars.githubusercontent.com/u/52043844?s=40&v=4 + HungryProton + https://github.com/HungryProton - https://avatars.githubusercontent.com/u/180032?s=40&v=4 - Calinou - https://github.com/Calinou + https://avatars.githubusercontent.com/u/38119387?s=40&v=4 + addmix + https://github.com/addmix - https://avatars.githubusercontent.com/u/12694995?s=40&v=4 - NathanLovato - https://github.com/NathanLovato + https://avatars.githubusercontent.com/u/36245389?s=40&v=4 + Norodix + https://github.com/Norodix - https://avatars.githubusercontent.com/u/19198104?s=40&v=4 - cuppajoeman - https://github.com/cuppajoeman + https://avatars.githubusercontent.com/u/23208753?s=40&v=4 + florianvazelle + https://github.com/florianvazelle - https://avatars.githubusercontent.com/u/98854?s=40&v=4 - cg9999 - https://github.com/cg9999 + https://avatars.githubusercontent.com/u/11782833?s=40&v=4 + YuriSizov + https://github.com/YuriSizov @@ -191,7 +153,7 @@ https://github.com/dialogic-godot/dialogic GDScript #355570 - 4,034 + 4,036 239 115 @@ -222,44 +184,6 @@ - - BenjaTK/Gaea - https://github.com/BenjaTK/Gaea - Procedural generation add-on for Godot 4. - https://github.com/BenjaTK/Gaea - GDScript - #355570 - 1,133 - 55 - 47 - - - https://avatars.githubusercontent.com/u/73806216?s=40&v=4 - BenjaTK - https://github.com/BenjaTK - - - https://avatars.githubusercontent.com/u/17892900?s=40&v=4 - cullumi - https://github.com/cullumi - - - https://avatars.githubusercontent.com/u/80385479?s=40&v=4 - titusio - https://github.com/titusio - - - https://avatars.githubusercontent.com/u/104665979?s=40&v=4 - DaviD4Chirino - https://github.com/DaviD4Chirino - - - https://avatars.githubusercontent.com/u/94725241?s=40&v=4 - MeBadDev - https://github.com/MeBadDev - - - Phazorknight/Cogito https://github.com/Phazorknight/Cogito @@ -298,6 +222,44 @@ + + BenjaTK/Gaea + https://github.com/BenjaTK/Gaea + Procedural generation add-on for Godot 4. + https://github.com/BenjaTK/Gaea + GDScript + #355570 + 1,133 + 55 + 47 + + + https://avatars.githubusercontent.com/u/73806216?s=40&v=4 + BenjaTK + https://github.com/BenjaTK + + + https://avatars.githubusercontent.com/u/17892900?s=40&v=4 + cullumi + https://github.com/cullumi + + + https://avatars.githubusercontent.com/u/80385479?s=40&v=4 + titusio + https://github.com/titusio + + + https://avatars.githubusercontent.com/u/104665979?s=40&v=4 + DaviD4Chirino + https://github.com/DaviD4Chirino + + + https://avatars.githubusercontent.com/u/94725241?s=40&v=4 + MeBadDev + https://github.com/MeBadDev + + + don-tnowe/godot-resources-as-sheets-plugin https://github.com/don-tnowe/godot-resources-as-sheets-plugin @@ -305,7 +267,7 @@ https://github.com/don-tnowe/godot-resources-as-sheets-plugin GDScript #355570 - 514 + 515 21 38 @@ -375,78 +337,40 @@ - peter-kish/gloot - https://github.com/peter-kish/gloot - A universal inventory system for the Godot game engine. - https://github.com/peter-kish/gloot - GDScript - #355570 - 658 - 28 - 41 - - - https://avatars.githubusercontent.com/u/11254996?s=40&v=4 - peter-kish - https://github.com/peter-kish - - - https://avatars.githubusercontent.com/u/49783296?s=40&v=4 - LeeWannacott - https://github.com/LeeWannacott - - - https://avatars.githubusercontent.com/u/25630803?s=40&v=4 - TheYellowArchitect - https://github.com/TheYellowArchitect - - - https://avatars.githubusercontent.com/u/41068336?s=40&v=4 - Muha0644 - https://github.com/Muha0644 - - - https://avatars.githubusercontent.com/u/54935689?s=40&v=4 - Achang0611 - https://github.com/Achang0611 - - - - - SirRamEsq/SmartShape2D - https://github.com/SirRamEsq/SmartShape2D - A 2D Terrain Tool for Godot - https://github.com/SirRamEsq/SmartShape2D + git-learning-game/oh-my-git + https://github.com/git-learning-game/oh-my-git + An interactive Git learning game! + https://github.com/git-learning-game/oh-my-git GDScript #355570 - 1,365 - 68 - 39 + 2,060 + 146 + 31 - https://avatars.githubusercontent.com/u/9139184?s=40&v=4 - SirRamEsq - https://github.com/SirRamEsq + https://avatars.githubusercontent.com/u/81277?s=40&v=4 + blinry + https://github.com/blinry - https://avatars.githubusercontent.com/u/2766569?s=40&v=4 - limbonaut - https://github.com/limbonaut + https://avatars.githubusercontent.com/u/5028973?s=40&v=4 + bleeptrack + https://github.com/bleeptrack - https://avatars.githubusercontent.com/u/7116001?s=40&v=4 - mphe - https://github.com/mphe + https://avatars.githubusercontent.com/u/1395806?s=40&v=4 + mundifex + https://github.com/mundifex - https://avatars.githubusercontent.com/u/7462993?s=40&v=4 - remorse107 - https://github.com/remorse107 + https://avatars.githubusercontent.com/u/480915?s=40&v=4 + jspricke + https://github.com/jspricke - https://avatars.githubusercontent.com/u/7023847?s=40&v=4 - GuyUnger - https://github.com/GuyUnger + https://avatars.githubusercontent.com/u/12937331?s=40&v=4 + derNiklaas + https://github.com/derNiklaas diff --git a/data/monthly/gedcom.json b/data/monthly/gedcom.json index f317adc7af60..7a88ce039907 100644 --- a/data/monthly/gedcom.json +++ b/data/monthly/gedcom.json @@ -2,6 +2,6 @@ "title": "GitHub Gedcom Languages Monthly Trending", "description": "Monthly Trending of Gedcom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gedcom.xml b/data/monthly/gedcom.xml index 454d51de9eae..4ce28da33909 100644 --- a/data/monthly/gedcom.xml +++ b/data/monthly/gedcom.xml @@ -3,6 +3,6 @@ GitHub Gedcom Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gedcom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gemfile.lock.json b/data/monthly/gemfile.lock.json index 8a9bc3aaddb4..89d0c49febd7 100644 --- a/data/monthly/gemfile.lock.json +++ b/data/monthly/gemfile.lock.json @@ -2,6 +2,6 @@ "title": "GitHub Gemfile.lock Languages Monthly Trending", "description": "Monthly Trending of Gemfile.lock Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gemfile.lock.xml b/data/monthly/gemfile.lock.xml index 80a446507221..0453ee11223a 100644 --- a/data/monthly/gemfile.lock.xml +++ b/data/monthly/gemfile.lock.xml @@ -3,6 +3,6 @@ GitHub Gemfile.lock Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gemfile.lock Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gemini.json b/data/monthly/gemini.json index 03f5a1e4d76d..6fa86a84b3da 100644 --- a/data/monthly/gemini.json +++ b/data/monthly/gemini.json @@ -2,6 +2,6 @@ "title": "GitHub Gemini Languages Monthly Trending", "description": "Monthly Trending of Gemini Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gemini.xml b/data/monthly/gemini.xml index 2a536dcf2521..004c3242b414 100644 --- a/data/monthly/gemini.xml +++ b/data/monthly/gemini.xml @@ -3,6 +3,6 @@ GitHub Gemini Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gemini Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/genero-4gl.json b/data/monthly/genero-4gl.json index 1021d2c4b623..5bff9aed88a1 100644 --- a/data/monthly/genero-4gl.json +++ b/data/monthly/genero-4gl.json @@ -2,6 +2,6 @@ "title": "GitHub Genero-4gl Languages Monthly Trending", "description": "Monthly Trending of Genero-4gl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/genero-4gl.xml b/data/monthly/genero-4gl.xml index 34670a173517..6a451a12f33d 100644 --- a/data/monthly/genero-4gl.xml +++ b/data/monthly/genero-4gl.xml @@ -3,6 +3,6 @@ GitHub Genero-4gl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Genero-4gl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/genero-per.json b/data/monthly/genero-per.json index 676a54c2da2a..39ae9e89c145 100644 --- a/data/monthly/genero-per.json +++ b/data/monthly/genero-per.json @@ -2,6 +2,6 @@ "title": "GitHub Genero-per Languages Monthly Trending", "description": "Monthly Trending of Genero-per Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/genero-per.xml b/data/monthly/genero-per.xml index 4137a95c7bb0..29d5c6ab1644 100644 --- a/data/monthly/genero-per.xml +++ b/data/monthly/genero-per.xml @@ -3,6 +3,6 @@ GitHub Genero-per Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Genero-per Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/genie.json b/data/monthly/genie.json index b4d473371f5b..26cea2262ea0 100644 --- a/data/monthly/genie.json +++ b/data/monthly/genie.json @@ -2,6 +2,6 @@ "title": "GitHub Genie Languages Monthly Trending", "description": "Monthly Trending of Genie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/genie.xml b/data/monthly/genie.xml index c53881a31c1c..04c8fe0f50c6 100644 --- a/data/monthly/genie.xml +++ b/data/monthly/genie.xml @@ -3,6 +3,6 @@ GitHub Genie Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Genie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/genshi.json b/data/monthly/genshi.json index 538c30df550b..141ffc4d1413 100644 --- a/data/monthly/genshi.json +++ b/data/monthly/genshi.json @@ -2,6 +2,6 @@ "title": "GitHub Genshi Languages Monthly Trending", "description": "Monthly Trending of Genshi Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/genshi.xml b/data/monthly/genshi.xml index 6795a16b9cb9..b8898937dd38 100644 --- a/data/monthly/genshi.xml +++ b/data/monthly/genshi.xml @@ -3,6 +3,6 @@ GitHub Genshi Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Genshi Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gentoo-ebuild.json b/data/monthly/gentoo-ebuild.json index d07773b174c2..a8f3f29e168e 100644 --- a/data/monthly/gentoo-ebuild.json +++ b/data/monthly/gentoo-ebuild.json @@ -2,6 +2,6 @@ "title": "GitHub Gentoo-ebuild Languages Monthly Trending", "description": "Monthly Trending of Gentoo-ebuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gentoo-ebuild.xml b/data/monthly/gentoo-ebuild.xml index 05d358f01989..ce74b7a5e0d2 100644 --- a/data/monthly/gentoo-ebuild.xml +++ b/data/monthly/gentoo-ebuild.xml @@ -3,6 +3,6 @@ GitHub Gentoo-ebuild Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gentoo-ebuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gentoo-eclass.json b/data/monthly/gentoo-eclass.json index 65de3138d904..1eaa623d08db 100644 --- a/data/monthly/gentoo-eclass.json +++ b/data/monthly/gentoo-eclass.json @@ -2,6 +2,6 @@ "title": "GitHub Gentoo-eclass Languages Monthly Trending", "description": "Monthly Trending of Gentoo-eclass Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gentoo-eclass.xml b/data/monthly/gentoo-eclass.xml index f0b3580ea609..f808059f1e12 100644 --- a/data/monthly/gentoo-eclass.xml +++ b/data/monthly/gentoo-eclass.xml @@ -3,6 +3,6 @@ GitHub Gentoo-eclass Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gentoo-eclass Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gerber-image.json b/data/monthly/gerber-image.json index 98ff3b14ee77..1b5d8d0d3357 100644 --- a/data/monthly/gerber-image.json +++ b/data/monthly/gerber-image.json @@ -2,6 +2,6 @@ "title": "GitHub Gerber-image Languages Monthly Trending", "description": "Monthly Trending of Gerber-image Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gerber-image.xml b/data/monthly/gerber-image.xml index 53a5e46a02d7..35abdf6b8799 100644 --- a/data/monthly/gerber-image.xml +++ b/data/monthly/gerber-image.xml @@ -3,6 +3,6 @@ GitHub Gerber-image Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gerber-image Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gettext-catalog.json b/data/monthly/gettext-catalog.json index a753855f2c63..95589739bc46 100644 --- a/data/monthly/gettext-catalog.json +++ b/data/monthly/gettext-catalog.json @@ -2,6 +2,6 @@ "title": "GitHub Gettext-catalog Languages Monthly Trending", "description": "Monthly Trending of Gettext-catalog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gettext-catalog.xml b/data/monthly/gettext-catalog.xml index a1565f15ace4..a60b85ebfbb2 100644 --- a/data/monthly/gettext-catalog.xml +++ b/data/monthly/gettext-catalog.xml @@ -3,6 +3,6 @@ GitHub Gettext-catalog Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gettext-catalog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gherkin.json b/data/monthly/gherkin.json index 38cffb1aa483..61f7f9a1f6dd 100644 --- a/data/monthly/gherkin.json +++ b/data/monthly/gherkin.json @@ -2,6 +2,6 @@ "title": "GitHub Gherkin Languages Monthly Trending", "description": "Monthly Trending of Gherkin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gherkin.xml b/data/monthly/gherkin.xml index b725bb963205..e285fed1f4d7 100644 --- a/data/monthly/gherkin.xml +++ b/data/monthly/gherkin.xml @@ -3,6 +3,6 @@ GitHub Gherkin Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gherkin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/git-attributes.json b/data/monthly/git-attributes.json index 981cd86db458..2e8a910d081c 100644 --- a/data/monthly/git-attributes.json +++ b/data/monthly/git-attributes.json @@ -2,6 +2,6 @@ "title": "GitHub Git-attributes Languages Monthly Trending", "description": "Monthly Trending of Git-attributes Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/git-attributes.xml b/data/monthly/git-attributes.xml index cfe6725ec014..806b8b23492b 100644 --- a/data/monthly/git-attributes.xml +++ b/data/monthly/git-attributes.xml @@ -3,6 +3,6 @@ GitHub Git-attributes Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Git-attributes Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/git-config.json b/data/monthly/git-config.json index 77d6dfd37497..01b3e3eedf5d 100644 --- a/data/monthly/git-config.json +++ b/data/monthly/git-config.json @@ -2,6 +2,6 @@ "title": "GitHub Git-config Languages Monthly Trending", "description": "Monthly Trending of Git-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/git-config.xml b/data/monthly/git-config.xml index 742055708e58..8d17c21ece10 100644 --- a/data/monthly/git-config.xml +++ b/data/monthly/git-config.xml @@ -3,6 +3,6 @@ GitHub Git-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Git-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/git-revision-list.json b/data/monthly/git-revision-list.json index dba44f964be9..d17083cc6548 100644 --- a/data/monthly/git-revision-list.json +++ b/data/monthly/git-revision-list.json @@ -2,6 +2,6 @@ "title": "GitHub Git-revision-list Languages Monthly Trending", "description": "Monthly Trending of Git-revision-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/git-revision-list.xml b/data/monthly/git-revision-list.xml index 8bb670ec32f4..2a7cbc474987 100644 --- a/data/monthly/git-revision-list.xml +++ b/data/monthly/git-revision-list.xml @@ -3,6 +3,6 @@ GitHub Git-revision-list Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Git-revision-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gleam.json b/data/monthly/gleam.json index bb2f33fed054..40ae655e7f2c 100644 --- a/data/monthly/gleam.json +++ b/data/monthly/gleam.json @@ -2,7 +2,7 @@ "title": "GitHub Gleam Languages Monthly Trending", "description": "Monthly Trending of Gleam Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gleam-lang/awesome-gleam", @@ -10,7 +10,7 @@ "description": "💯 A collection of Gleam libraries, projects, and resources", "language": "Gleam", "languageColor": "#ffaff3", - "stars": "1,360", + "stars": "1,361", "forks": "77", "addStars": "66", "contributors": [ diff --git a/data/monthly/gleam.xml b/data/monthly/gleam.xml index 851e4d3cdaa8..4d07b10a5e07 100644 --- a/data/monthly/gleam.xml +++ b/data/monthly/gleam.xml @@ -3,7 +3,7 @@ GitHub Gleam Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gleam Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gleam-lang/awesome-gleam https://github.com/gleam-lang/awesome-gleam @@ -11,7 +11,7 @@ https://github.com/gleam-lang/awesome-gleam Gleam #ffaff3 - 1,360 + 1,361 77 66 diff --git a/data/monthly/glimmer-js.json b/data/monthly/glimmer-js.json index d0425331667b..25e2de5abc1f 100644 --- a/data/monthly/glimmer-js.json +++ b/data/monthly/glimmer-js.json @@ -2,6 +2,6 @@ "title": "GitHub Glimmer-js Languages Monthly Trending", "description": "Monthly Trending of Glimmer-js Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/glimmer-js.xml b/data/monthly/glimmer-js.xml index 077eb921659d..1c853a7a188b 100644 --- a/data/monthly/glimmer-js.xml +++ b/data/monthly/glimmer-js.xml @@ -3,6 +3,6 @@ GitHub Glimmer-js Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Glimmer-js Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/glimmer-ts.json b/data/monthly/glimmer-ts.json index 796f07397efa..4e24fe40f786 100644 --- a/data/monthly/glimmer-ts.json +++ b/data/monthly/glimmer-ts.json @@ -2,6 +2,6 @@ "title": "GitHub Glimmer-ts Languages Monthly Trending", "description": "Monthly Trending of Glimmer-ts Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/glimmer-ts.xml b/data/monthly/glimmer-ts.xml index c31af119fa7c..4b08302cc165 100644 --- a/data/monthly/glimmer-ts.xml +++ b/data/monthly/glimmer-ts.xml @@ -3,6 +3,6 @@ GitHub Glimmer-ts Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Glimmer-ts Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/glsl.json b/data/monthly/glsl.json index 69e1f180d5c6..efaf91996547 100644 --- a/data/monthly/glsl.json +++ b/data/monthly/glsl.json @@ -2,8 +2,45 @@ "title": "GitHub Glsl Languages Monthly Trending", "description": "Monthly Trending of Glsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "patriciogonzalezvivo/lygia", + "url": "https://github.com/patriciogonzalezvivo/lygia", + "description": "LYGIA, it's a granular and multi-language (GLSL, HLSL, WGSL, MSL and CUDA) shader library designed for performance and flexibility", + "language": "GLSL", + "languageColor": "#5686a5", + "stars": "2,648", + "forks": "170", + "addStars": "62", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/346914?s=40&v=4", + "name": "patriciogonzalezvivo", + "url": "https://github.com/patriciogonzalezvivo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1876198?s=40&v=4", + "name": "shadielhajj", + "url": "https://github.com/shadielhajj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65011?s=40&v=4", + "name": "vade", + "url": "https://github.com/vade" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65121394?s=40&v=4", + "name": "kfahn22", + "url": "https://github.com/kfahn22" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1322824?s=40&v=4", + "name": "bonsak", + "url": "https://github.com/bonsak" + } + ] + }, { "title": "sixthsurge/photon", "url": "https://github.com/sixthsurge/photon", @@ -41,43 +78,6 @@ } ] }, - { - "title": "patriciogonzalezvivo/lygia", - "url": "https://github.com/patriciogonzalezvivo/lygia", - "description": "LYGIA, it's a granular and multi-language (GLSL, HLSL, WGSL, MSL and CUDA) shader library designed for performance and flexibility", - "language": "GLSL", - "languageColor": "#5686a5", - "stars": "2,646", - "forks": "170", - "addStars": "62", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/346914?s=40&v=4", - "name": "patriciogonzalezvivo", - "url": "https://github.com/patriciogonzalezvivo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1876198?s=40&v=4", - "name": "shadielhajj", - "url": "https://github.com/shadielhajj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65011?s=40&v=4", - "name": "vade", - "url": "https://github.com/vade" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65121394?s=40&v=4", - "name": "kfahn22", - "url": "https://github.com/kfahn22" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1322824?s=40&v=4", - "name": "bonsak", - "url": "https://github.com/bonsak" - } - ] - }, { "title": "KhronosGroup/SPIRV-Cross", "url": "https://github.com/KhronosGroup/SPIRV-Cross", @@ -121,7 +121,7 @@ "description": "C++ examples for the Vulkan graphics API", "language": "GLSL", "languageColor": "#5686a5", - "stars": "10,401", + "stars": "10,402", "forks": "2,043", "addStars": "84", "contributors": [ @@ -179,6 +179,43 @@ } ] }, + { + "title": "patriciogonzalezvivo/thebookofshaders", + "url": "https://github.com/patriciogonzalezvivo/thebookofshaders", + "description": "Step-by-step guide through the abstract and complex universe of Fragment Shaders.", + "language": "GLSL", + "languageColor": "#5686a5", + "stars": "6,078", + "forks": "690", + "addStars": "51", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/346914?s=40&v=4", + "name": "patriciogonzalezvivo", + "url": "https://github.com/patriciogonzalezvivo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3006211?s=40&v=4", + "name": "kynd", + "url": "https://github.com/kynd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/705213?s=40&v=4", + "name": "yvan-sraka", + "url": "https://github.com/yvan-sraka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7892332?s=40&v=4", + "name": "sergeyext", + "url": "https://github.com/sergeyext" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22910148?s=40&v=4", + "name": "MITI67", + "url": "https://github.com/MITI67" + } + ] + }, { "title": "libretro/glsl-shaders", "url": "https://github.com/libretro/glsl-shaders", diff --git a/data/monthly/glsl.xml b/data/monthly/glsl.xml index 17e1bb2da060..f44012263ca6 100644 --- a/data/monthly/glsl.xml +++ b/data/monthly/glsl.xml @@ -3,7 +3,45 @@ GitHub Glsl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Glsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + patriciogonzalezvivo/lygia + https://github.com/patriciogonzalezvivo/lygia + LYGIA, it's a granular and multi-language (GLSL, HLSL, WGSL, MSL and CUDA) shader library designed for performance and flexibility + https://github.com/patriciogonzalezvivo/lygia + GLSL + #5686a5 + 2,648 + 170 + 62 + + + https://avatars.githubusercontent.com/u/346914?s=40&v=4 + patriciogonzalezvivo + https://github.com/patriciogonzalezvivo + + + https://avatars.githubusercontent.com/u/1876198?s=40&v=4 + shadielhajj + https://github.com/shadielhajj + + + https://avatars.githubusercontent.com/u/65011?s=40&v=4 + vade + https://github.com/vade + + + https://avatars.githubusercontent.com/u/65121394?s=40&v=4 + kfahn22 + https://github.com/kfahn22 + + + https://avatars.githubusercontent.com/u/1322824?s=40&v=4 + bonsak + https://github.com/bonsak + + + sixthsurge/photon https://github.com/sixthsurge/photon @@ -42,44 +80,6 @@ - - patriciogonzalezvivo/lygia - https://github.com/patriciogonzalezvivo/lygia - LYGIA, it's a granular and multi-language (GLSL, HLSL, WGSL, MSL and CUDA) shader library designed for performance and flexibility - https://github.com/patriciogonzalezvivo/lygia - GLSL - #5686a5 - 2,646 - 170 - 62 - - - https://avatars.githubusercontent.com/u/346914?s=40&v=4 - patriciogonzalezvivo - https://github.com/patriciogonzalezvivo - - - https://avatars.githubusercontent.com/u/1876198?s=40&v=4 - shadielhajj - https://github.com/shadielhajj - - - https://avatars.githubusercontent.com/u/65011?s=40&v=4 - vade - https://github.com/vade - - - https://avatars.githubusercontent.com/u/65121394?s=40&v=4 - kfahn22 - https://github.com/kfahn22 - - - https://avatars.githubusercontent.com/u/1322824?s=40&v=4 - bonsak - https://github.com/bonsak - - - KhronosGroup/SPIRV-Cross https://github.com/KhronosGroup/SPIRV-Cross @@ -125,7 +125,7 @@ https://github.com/SaschaWillems/Vulkan GLSL #5686a5 - 10,401 + 10,402 2,043 84 @@ -184,6 +184,44 @@ + + patriciogonzalezvivo/thebookofshaders + https://github.com/patriciogonzalezvivo/thebookofshaders + Step-by-step guide through the abstract and complex universe of Fragment Shaders. + https://github.com/patriciogonzalezvivo/thebookofshaders + GLSL + #5686a5 + 6,078 + 690 + 51 + + + https://avatars.githubusercontent.com/u/346914?s=40&v=4 + patriciogonzalezvivo + https://github.com/patriciogonzalezvivo + + + https://avatars.githubusercontent.com/u/3006211?s=40&v=4 + kynd + https://github.com/kynd + + + https://avatars.githubusercontent.com/u/705213?s=40&v=4 + yvan-sraka + https://github.com/yvan-sraka + + + https://avatars.githubusercontent.com/u/7892332?s=40&v=4 + sergeyext + https://github.com/sergeyext + + + https://avatars.githubusercontent.com/u/22910148?s=40&v=4 + MITI67 + https://github.com/MITI67 + + + libretro/glsl-shaders https://github.com/libretro/glsl-shaders diff --git a/data/monthly/glyph-bitmap-distribution-format.json b/data/monthly/glyph-bitmap-distribution-format.json index 96d4969c6215..f8f840e254fe 100644 --- a/data/monthly/glyph-bitmap-distribution-format.json +++ b/data/monthly/glyph-bitmap-distribution-format.json @@ -2,6 +2,6 @@ "title": "GitHub Glyph-bitmap-distribution-format Languages Monthly Trending", "description": "Monthly Trending of Glyph-bitmap-distribution-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/glyph-bitmap-distribution-format.xml b/data/monthly/glyph-bitmap-distribution-format.xml index 7ae733bca149..c5e4ed540c94 100644 --- a/data/monthly/glyph-bitmap-distribution-format.xml +++ b/data/monthly/glyph-bitmap-distribution-format.xml @@ -3,6 +3,6 @@ GitHub Glyph-bitmap-distribution-format Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Glyph-bitmap-distribution-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/glyph.json b/data/monthly/glyph.json index 2032beafb852..23ff6cf30b47 100644 --- a/data/monthly/glyph.json +++ b/data/monthly/glyph.json @@ -2,6 +2,6 @@ "title": "GitHub Glyph Languages Monthly Trending", "description": "Monthly Trending of Glyph Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/glyph.xml b/data/monthly/glyph.xml index 347497ddf27a..1bbae44a5620 100644 --- a/data/monthly/glyph.xml +++ b/data/monthly/glyph.xml @@ -3,6 +3,6 @@ GitHub Glyph Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Glyph Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gn.json b/data/monthly/gn.json index 3d6a187505e6..8b7366f60c9e 100644 --- a/data/monthly/gn.json +++ b/data/monthly/gn.json @@ -2,6 +2,6 @@ "title": "GitHub Gn Languages Monthly Trending", "description": "Monthly Trending of Gn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gn.xml b/data/monthly/gn.xml index 9a3c4362199c..e092334b0694 100644 --- a/data/monthly/gn.xml +++ b/data/monthly/gn.xml @@ -3,6 +3,6 @@ GitHub Gn Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gnuplot.json b/data/monthly/gnuplot.json index e4a49e8ec3b4..b68cb39f37c4 100644 --- a/data/monthly/gnuplot.json +++ b/data/monthly/gnuplot.json @@ -2,6 +2,6 @@ "title": "GitHub Gnuplot Languages Monthly Trending", "description": "Monthly Trending of Gnuplot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gnuplot.xml b/data/monthly/gnuplot.xml index 5f5e42ba7d96..9755694bbb5a 100644 --- a/data/monthly/gnuplot.xml +++ b/data/monthly/gnuplot.xml @@ -3,6 +3,6 @@ GitHub Gnuplot Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gnuplot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/go-checksums.json b/data/monthly/go-checksums.json index 401f51777ee1..28b76798c7c3 100644 --- a/data/monthly/go-checksums.json +++ b/data/monthly/go-checksums.json @@ -2,6 +2,6 @@ "title": "GitHub Go-checksums Languages Monthly Trending", "description": "Monthly Trending of Go-checksums Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/go-checksums.xml b/data/monthly/go-checksums.xml index d1dd385380b6..9e1b5ce4315c 100644 --- a/data/monthly/go-checksums.xml +++ b/data/monthly/go-checksums.xml @@ -3,6 +3,6 @@ GitHub Go-checksums Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Go-checksums Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/go-module.json b/data/monthly/go-module.json index 38f732e11ce4..ec7cbd69ff41 100644 --- a/data/monthly/go-module.json +++ b/data/monthly/go-module.json @@ -2,6 +2,6 @@ "title": "GitHub Go-module Languages Monthly Trending", "description": "Monthly Trending of Go-module Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/go-module.xml b/data/monthly/go-module.xml index 108ac04f68c4..47e2f315a765 100644 --- a/data/monthly/go-module.xml +++ b/data/monthly/go-module.xml @@ -3,6 +3,6 @@ GitHub Go-module Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Go-module Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/go-workspace.json b/data/monthly/go-workspace.json index 149d4ff8117e..ca2e00677e93 100644 --- a/data/monthly/go-workspace.json +++ b/data/monthly/go-workspace.json @@ -2,6 +2,6 @@ "title": "GitHub Go-workspace Languages Monthly Trending", "description": "Monthly Trending of Go-workspace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/go-workspace.xml b/data/monthly/go-workspace.xml index 375a7d57fae7..d5ff5baa671d 100644 --- a/data/monthly/go-workspace.xml +++ b/data/monthly/go-workspace.xml @@ -3,6 +3,6 @@ GitHub Go-workspace Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Go-workspace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/go.json b/data/monthly/go.json index fb626e502eea..fcfe94e10b60 100644 --- a/data/monthly/go.json +++ b/data/monthly/go.json @@ -2,7 +2,7 @@ "title": "GitHub Go Languages Monthly Trending", "description": "Monthly Trending of Go Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "usememos/memos", @@ -10,7 +10,7 @@ "description": "An open-source, lightweight note-taking solution. The pain-less way to create your meaningful notes. Your Notes, Your Way.", "language": "Go", "languageColor": "#00ADD8", - "stars": "34,529", + "stars": "34,552", "forks": "2,489", "addStars": "3,609", "contributors": [ @@ -42,8 +42,8 @@ "description": "🤖 The free, Open Source alternative to OpenAI, Claude and others. Self-hosted and local-first. Drop-in replacement for OpenAI, running on consumer-grade hardware. No GPU required. Runs gguf, transformers, diffusers and many more models architectures. Features: Generate Text, Audio, Video, Images, Voice Cloning, Distributed, P2P inference", "language": "Go", "languageColor": "#00ADD8", - "stars": "26,279", - "forks": "1,970", + "stars": "26,299", + "forks": "1,971", "addStars": "2,164", "contributors": [ { @@ -69,7 +69,7 @@ "description": "Ultimate camera streaming application with support RTSP, RTMP, HTTP-FLV, WebRTC, MSE, HLS, MP4, MJPEG, HomeKit, FFmpeg, etc.", "language": "Go", "languageColor": "#00ADD8", - "stars": "7,029", + "stars": "7,038", "forks": "505", "addStars": "2,088", "contributors": [ @@ -106,7 +106,7 @@ "description": "Find vulnerabilities, misconfigurations, secrets, SBOM in containers, Kubernetes, code repositories, clouds and more", "language": "Go", "languageColor": "#00ADD8", - "stars": "23,806", + "stars": "23,807", "forks": "2,341", "addStars": "490", "contributors": [ @@ -132,14 +132,51 @@ } ] }, + { + "title": "milvus-io/milvus", + "url": "https://github.com/milvus-io/milvus", + "description": "A cloud-native vector database, storage for next generation AI applications", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "30,934", + "forks": "2,949", + "addStars": "881", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/84113973?s=40&v=4", + "name": "congqixia", + "url": "https://github.com/congqixia" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33142505?s=40&v=4", + "name": "JinHai-CN", + "url": "https://github.com/JinHai-CN" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/42060877?s=40&v=4", + "name": "bigsheeper", + "url": "https://github.com/bigsheeper" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3992404?s=40&v=4", + "name": "cydrain", + "url": "https://github.com/cydrain" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24581746?s=40&v=4", + "name": "jeffoverflow", + "url": "https://github.com/jeffoverflow" + } + ] + }, { "title": "avelino/awesome-go", "url": "https://github.com/avelino/awesome-go", "description": "A curated list of awesome Go frameworks, libraries and software", "language": "Go", "languageColor": "#00ADD8", - "stars": "133,298", - "forks": "11,939", + "stars": "133,319", + "forks": "11,940", "addStars": "2,292", "contributors": [ { @@ -175,7 +212,7 @@ "description": "Find, verify, and analyze leaked credentials", "language": "Go", "languageColor": "#00ADD8", - "stars": "17,358", + "stars": "17,363", "forks": "1,718", "addStars": "1,402", "contributors": [ @@ -201,51 +238,14 @@ } ] }, - { - "title": "milvus-io/milvus", - "url": "https://github.com/milvus-io/milvus", - "description": "A cloud-native vector database, storage for next generation AI applications", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "30,930", - "forks": "2,949", - "addStars": "881", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/84113973?s=40&v=4", - "name": "congqixia", - "url": "https://github.com/congqixia" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33142505?s=40&v=4", - "name": "JinHai-CN", - "url": "https://github.com/JinHai-CN" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42060877?s=40&v=4", - "name": "bigsheeper", - "url": "https://github.com/bigsheeper" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3992404?s=40&v=4", - "name": "cydrain", - "url": "https://github.com/cydrain" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24581746?s=40&v=4", - "name": "jeffoverflow", - "url": "https://github.com/jeffoverflow" - } - ] - }, { "title": "ollama/ollama", "url": "https://github.com/ollama/ollama", "description": "Get up and running with Llama 3.2, Mistral, Gemma 2, and other large language models.", "language": "Go", "languageColor": "#00ADD8", - "stars": "99,247", - "forks": "7,906", + "stars": "99,291", + "forks": "7,909", "addStars": "4,691", "contributors": [ { @@ -281,8 +281,8 @@ "description": "A fast reverse proxy to help you expose a local server behind a NAT or firewall to the internet.", "language": "Go", "languageColor": "#00ADD8", - "stars": "86,835", - "forks": "13,392", + "stars": "86,853", + "forks": "13,394", "addStars": "1,277", "contributors": [ { @@ -313,140 +313,145 @@ ] }, { - "title": "ThreeDotsLabs/watermill", - "url": "https://github.com/ThreeDotsLabs/watermill", - "description": "Building event-driven applications the easy way in Go.", + "title": "jesseduffield/lazydocker", + "url": "https://github.com/jesseduffield/lazydocker", + "description": "The lazier way to manage everything docker", "language": "Go", "languageColor": "#00ADD8", - "stars": "7,817", - "forks": "407", - "addStars": "361", + "stars": "37,794", + "forks": "1,208", + "addStars": "779", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5737091?s=40&v=4", - "name": "roblaszczak", - "url": "https://github.com/roblaszczak" + "avatar": "https://avatars.githubusercontent.com/u/8456633?s=40&v=4", + "name": "jesseduffield", + "url": "https://github.com/jesseduffield" }, { - "avatar": "https://avatars.githubusercontent.com/u/4528630?s=40&v=4", - "name": "m110", - "url": "https://github.com/m110" + "avatar": "https://avatars.githubusercontent.com/u/9713907?s=40&v=4", + "name": "dawidd6", + "url": "https://github.com/dawidd6" }, { - "avatar": "https://avatars.githubusercontent.com/u/10462736?s=40&v=4", - "name": "maclav3", - "url": "https://github.com/maclav3" + "avatar": "https://avatars.githubusercontent.com/u/15320763?s=40&v=4", + "name": "mjarkk", + "url": "https://github.com/mjarkk" }, { - "avatar": "https://avatars.githubusercontent.com/u/1226384?s=40&v=4", - "name": "sagikazarmark", - "url": "https://github.com/sagikazarmark" + "avatar": "https://avatars.githubusercontent.com/u/15654980?s=40&v=4", + "name": "qdm12", + "url": "https://github.com/qdm12" }, { - "avatar": "https://avatars.githubusercontent.com/u/53563914?s=40&v=4", - "name": "0michalsokolowski0", - "url": "https://github.com/0michalsokolowski0" + "avatar": "https://avatars.githubusercontent.com/u/1804568?s=40&v=4", + "name": "thaJeztah", + "url": "https://github.com/thaJeztah" } ] }, { - "title": "coreybutler/nvm-windows", - "url": "https://github.com/coreybutler/nvm-windows", - "description": "A node.js version management utility for Windows. Ironically written in Go.", + "title": "Melkeydev/go-blueprint", + "url": "https://github.com/Melkeydev/go-blueprint", + "description": "Go-blueprint allows users to spin up a quick Go project using a popular framework", "language": "Go", "languageColor": "#00ADD8", - "stars": "38,132", - "forks": "3,369", - "addStars": "614", + "stars": "5,456", + "forks": "316", + "addStars": "1,064", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/770982?s=40&v=4", - "name": "coreybutler", - "url": "https://github.com/coreybutler" + "avatar": "https://avatars.githubusercontent.com/u/53410236?s=40&v=4", + "name": "Melkeydev", + "url": "https://github.com/Melkeydev" }, { - "avatar": "https://avatars.githubusercontent.com/u/8691776?s=40&v=4", - "name": "wsobolewski", - "url": "https://github.com/wsobolewski" + "avatar": "https://avatars.githubusercontent.com/u/116409846?s=40&v=4", + "name": "Ujstor", + "url": "https://github.com/Ujstor" }, { - "avatar": "https://avatars.githubusercontent.com/u/3462467?s=40&v=4", - "name": "rahulroy9202", - "url": "https://github.com/rahulroy9202" + "avatar": "https://avatars.githubusercontent.com/u/84874612?s=40&v=4", + "name": "tylermeekel", + "url": "https://github.com/tylermeekel" }, { - "avatar": "https://avatars.githubusercontent.com/u/5396750?s=40&v=4", - "name": "Excited-ccccly", - "url": "https://github.com/Excited-ccccly" + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/45570310?s=40&v=4", + "name": "MitchellBerend", + "url": "https://github.com/MitchellBerend" } ] }, { - "title": "TwiN/gatus", - "url": "https://github.com/TwiN/gatus", - "description": "⛑ Automated developer-oriented status page", + "title": "casdoor/casdoor", + "url": "https://github.com/casdoor/casdoor", + "description": "An open-source UI-first Identity and Access Management (IAM) / Single-Sign-On (SSO) platform with web UI supporting OAuth 2.0, OIDC, SAML, CAS, LDAP, SCIM, WebAuthn, TOTP, MFA, Face ID, RADIUS, Google Workspace, Active Directory and Kerberos", "language": "Go", "languageColor": "#00ADD8", - "stars": "6,518", - "forks": "431", - "addStars": "322", + "stars": "10,372", + "forks": "1,213", + "addStars": "331", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15699766?s=40&v=4", - "name": "TwiN", - "url": "https://github.com/TwiN" + "avatar": "https://avatars.githubusercontent.com/u/3787410?s=40&v=4", + "name": "hsluoyz", + "url": "https://github.com/hsluoyz" }, { - "avatar": "https://avatars.githubusercontent.com/u/8377642?s=40&v=4", - "name": "olimpias", - "url": "https://github.com/olimpias" + "avatar": "https://avatars.githubusercontent.com/u/85475922?s=40&v=4", + "name": "nomeguy", + "url": "https://github.com/nomeguy" }, { - "avatar": "https://avatars.githubusercontent.com/u/12884767?s=40&v=4", - "name": "cjheppell", - "url": "https://github.com/cjheppell" + "avatar": "https://avatars.githubusercontent.com/u/71440988?s=40&v=4", + "name": "leo220yuyaodog", + "url": "https://github.com/leo220yuyaodog" }, { - "avatar": "https://avatars.githubusercontent.com/u/21979?s=40&v=4", - "name": "appleboy", - "url": "https://github.com/appleboy" + "avatar": "https://avatars.githubusercontent.com/u/47297289?s=40&v=4", + "name": "dacongda", + "url": "https://github.com/dacongda" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33992371?s=40&v=4", + "name": "seriouszyx", + "url": "https://github.com/seriouszyx" } ] }, { - "title": "istio/istio", - "url": "https://github.com/istio/istio", - "description": "Connect, secure, control, and observe services.", + "title": "coreybutler/nvm-windows", + "url": "https://github.com/coreybutler/nvm-windows", + "description": "A node.js version management utility for Windows. Ironically written in Go.", "language": "Go", "languageColor": "#00ADD8", - "stars": "36,149", - "forks": "7,786", - "addStars": "311", + "stars": "38,137", + "forks": "3,369", + "addStars": "614", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/623453?s=40&v=4", - "name": "howardjohn", - "url": "https://github.com/howardjohn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25311884?s=40&v=4", - "name": "istio-testing", - "url": "https://github.com/istio-testing" + "avatar": "https://avatars.githubusercontent.com/u/770982?s=40&v=4", + "name": "coreybutler", + "url": "https://github.com/coreybutler" }, { - "avatar": "https://avatars.githubusercontent.com/u/13374016?s=40&v=4", - "name": "hzxuzhonghu", - "url": "https://github.com/hzxuzhonghu" + "avatar": "https://avatars.githubusercontent.com/u/8691776?s=40&v=4", + "name": "wsobolewski", + "url": "https://github.com/wsobolewski" }, { - "avatar": "https://avatars.githubusercontent.com/u/17204904?s=40&v=4", - "name": "ramaraochavali", - "url": "https://github.com/ramaraochavali" + "avatar": "https://avatars.githubusercontent.com/u/3462467?s=40&v=4", + "name": "rahulroy9202", + "url": "https://github.com/rahulroy9202" }, { - "avatar": "https://avatars.githubusercontent.com/u/9537734?s=40&v=4", - "name": "kyessenov", - "url": "https://github.com/kyessenov" + "avatar": "https://avatars.githubusercontent.com/u/5396750?s=40&v=4", + "name": "Excited-ccccly", + "url": "https://github.com/Excited-ccccly" } ] }, @@ -482,43 +487,6 @@ } ] }, - { - "title": "jesseduffield/lazydocker", - "url": "https://github.com/jesseduffield/lazydocker", - "description": "The lazier way to manage everything docker", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "37,721", - "forks": "1,207", - "addStars": "779", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8456633?s=40&v=4", - "name": "jesseduffield", - "url": "https://github.com/jesseduffield" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9713907?s=40&v=4", - "name": "dawidd6", - "url": "https://github.com/dawidd6" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15320763?s=40&v=4", - "name": "mjarkk", - "url": "https://github.com/mjarkk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15654980?s=40&v=4", - "name": "qdm12", - "url": "https://github.com/qdm12" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1804568?s=40&v=4", - "name": "thaJeztah", - "url": "https://github.com/thaJeztah" - } - ] - }, { "title": "NVIDIA/gpu-operator", "url": "https://github.com/NVIDIA/gpu-operator", @@ -526,7 +494,7 @@ "language": "Go", "languageColor": "#00ADD8", "stars": "1,865", - "forks": "302", + "forks": "303", "addStars": "69", "contributors": [ { @@ -551,114 +519,13 @@ } ] }, - { - "title": "deepflowio/deepflow", - "url": "https://github.com/deepflowio/deepflow", - "description": "eBPF Observability - Distributed Tracing and Profiling", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "2,986", - "forks": "333", - "addStars": "117", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/44988793?s=40&v=4", - "name": "lzf575", - "url": "https://github.com/lzf575" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2050980?s=40&v=4", - "name": "rvql", - "url": "https://github.com/rvql" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/107980919?s=40&v=4", - "name": "jin-xiaofeng", - "url": "https://github.com/jin-xiaofeng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1598518?s=40&v=4", - "name": "sharang", - "url": "https://github.com/sharang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/107982607?s=40&v=4", - "name": "yuanchaoa", - "url": "https://github.com/yuanchaoa" - } - ] - }, - { - "title": "prometheus/prometheus", - "url": "https://github.com/prometheus/prometheus", - "description": "The Prometheus monitoring system and time series database.", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "55,902", - "forks": "9,173", - "addStars": "563", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4948210?s=40&v=4", - "name": "fabxc", - "url": "https://github.com/fabxc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/538008?s=40&v=4", - "name": "juliusv", - "url": "https://github.com/juliusv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/291750?s=40&v=4", - "name": "roidelapluie", - "url": "https://github.com/roidelapluie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5609886?s=40&v=4", - "name": "beorn7", - "url": "https://github.com/beorn7" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8125524?s=40&v=4", - "name": "bboreham", - "url": "https://github.com/bboreham" - } - ] - }, - { - "title": "knadh/listmonk", - "url": "https://github.com/knadh/listmonk", - "description": "High performance, self-hosted, newsletter and mailing list manager with a modern dashboard. Single binary app.", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "15,370", - "forks": "1,404", - "addStars": "426", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/547147?s=40&v=4", - "name": "knadh", - "url": "https://github.com/knadh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5689132?s=40&v=4", - "name": "mr-karan", - "url": "https://github.com/mr-karan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3606996?s=40&v=4", - "name": "MaximilianKohler", - "url": "https://github.com/MaximilianKohler" - } - ] - }, { "title": "pocketbase/pocketbase", "url": "https://github.com/pocketbase/pocketbase", "description": "Open Source realtime backend in 1 file", "language": "Go", "languageColor": "#00ADD8", - "stars": "40,946", + "stars": "40,961", "forks": "1,923", "addStars": "1,151", "contributors": [ @@ -690,39 +557,39 @@ ] }, { - "title": "Melkeydev/go-blueprint", - "url": "https://github.com/Melkeydev/go-blueprint", - "description": "Go-blueprint allows users to spin up a quick Go project using a popular framework", + "title": "deepflowio/deepflow", + "url": "https://github.com/deepflowio/deepflow", + "description": "eBPF Observability - Distributed Tracing and Profiling", "language": "Go", "languageColor": "#00ADD8", - "stars": "5,439", - "forks": "314", - "addStars": "1,064", + "stars": "2,986", + "forks": "333", + "addStars": "117", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/53410236?s=40&v=4", - "name": "Melkeydev", - "url": "https://github.com/Melkeydev" + "avatar": "https://avatars.githubusercontent.com/u/44988793?s=40&v=4", + "name": "lzf575", + "url": "https://github.com/lzf575" }, { - "avatar": "https://avatars.githubusercontent.com/u/116409846?s=40&v=4", - "name": "Ujstor", - "url": "https://github.com/Ujstor" + "avatar": "https://avatars.githubusercontent.com/u/2050980?s=40&v=4", + "name": "rvql", + "url": "https://github.com/rvql" }, { - "avatar": "https://avatars.githubusercontent.com/u/84874612?s=40&v=4", - "name": "tylermeekel", - "url": "https://github.com/tylermeekel" + "avatar": "https://avatars.githubusercontent.com/u/107980919?s=40&v=4", + "name": "jin-xiaofeng", + "url": "https://github.com/jin-xiaofeng" }, { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/1598518?s=40&v=4", + "name": "sharang", + "url": "https://github.com/sharang" }, { - "avatar": "https://avatars.githubusercontent.com/u/45570310?s=40&v=4", - "name": "MitchellBerend", - "url": "https://github.com/MitchellBerend" + "avatar": "https://avatars.githubusercontent.com/u/107982607?s=40&v=4", + "name": "yuanchaoa", + "url": "https://github.com/yuanchaoa" } ] }, @@ -732,7 +599,7 @@ "description": "🌩支持多家云存储的云盘系统 (Self-hosted file management and sharing system, supports multiple storage providers)", "language": "Go", "languageColor": "#00ADD8", - "stars": "21,999", + "stars": "22,000", "forks": "3,444", "addStars": "230", "contributors": [ @@ -763,14 +630,88 @@ } ] }, + { + "title": "istio/istio", + "url": "https://github.com/istio/istio", + "description": "Connect, secure, control, and observe services.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "36,148", + "forks": "7,786", + "addStars": "311", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/623453?s=40&v=4", + "name": "howardjohn", + "url": "https://github.com/howardjohn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25311884?s=40&v=4", + "name": "istio-testing", + "url": "https://github.com/istio-testing" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13374016?s=40&v=4", + "name": "hzxuzhonghu", + "url": "https://github.com/hzxuzhonghu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17204904?s=40&v=4", + "name": "ramaraochavali", + "url": "https://github.com/ramaraochavali" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9537734?s=40&v=4", + "name": "kyessenov", + "url": "https://github.com/kyessenov" + } + ] + }, + { + "title": "prometheus/prometheus", + "url": "https://github.com/prometheus/prometheus", + "description": "The Prometheus monitoring system and time series database.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "55,907", + "forks": "9,177", + "addStars": "563", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4948210?s=40&v=4", + "name": "fabxc", + "url": "https://github.com/fabxc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/538008?s=40&v=4", + "name": "juliusv", + "url": "https://github.com/juliusv" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/291750?s=40&v=4", + "name": "roidelapluie", + "url": "https://github.com/roidelapluie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5609886?s=40&v=4", + "name": "beorn7", + "url": "https://github.com/beorn7" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8125524?s=40&v=4", + "name": "bboreham", + "url": "https://github.com/bboreham" + } + ] + }, { "title": "hashicorp/vault", "url": "https://github.com/hashicorp/vault", "description": "A tool for secrets management, encryption as a service, and privileged access management", "language": "Go", "languageColor": "#00ADD8", - "stars": "31,281", - "forks": "4,227", + "stars": "31,284", + "forks": "4,228", "addStars": "233", "contributors": [ { @@ -806,7 +747,7 @@ "description": "A next-generation crawling and spidering framework.", "language": "Go", "languageColor": "#00ADD8", - "stars": "12,250", + "stars": "12,254", "forks": "634", "addStars": "1,141", "contributors": [ @@ -833,34 +774,113 @@ ] }, { - "title": "qax-os/excelize", - "url": "https://github.com/qax-os/excelize", - "description": "Go language library for reading and writing Microsoft Excel™ (XLAM / XLSM / XLSX / XLTM / XLTX) spreadsheets", + "title": "smartcontractkit/chainlink", + "url": "https://github.com/smartcontractkit/chainlink", + "description": "node of the decentralized oracle network, bridging on and off-chain computation", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "7,056", + "forks": "1,722", + "addStars": "85", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/573827?s=40&v=4", + "name": "se3000", + "url": "https://github.com/se3000" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/344071?s=40&v=4", + "name": "j16r", + "url": "https://github.com/j16r" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/635121?s=40&v=4", + "name": "dimroc", + "url": "https://github.com/dimroc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/680789?s=40&v=4", + "name": "rupurt", + "url": "https://github.com/rupurt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4147639?s=40&v=4", + "name": "samsondav", + "url": "https://github.com/samsondav" + } + ] + }, + { + "title": "henrygd/beszel", + "url": "https://github.com/henrygd/beszel", + "description": "Lightweight server monitoring hub with historical data, docker stats, and alerts.", "language": "Go", "languageColor": "#00ADD8", - "stars": "18,406", - "forks": "1,720", - "addStars": "214", + "stars": "2,879", + "forks": "91", + "addStars": "1,309", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2809468?s=40&v=4", - "name": "xuri", - "url": "https://github.com/xuri" + "avatar": "https://avatars.githubusercontent.com/u/8519632?s=40&v=4", + "name": "henrygd", + "url": "https://github.com/henrygd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/28620362?s=40&v=4", + "name": "TOomaAh", + "url": "https://github.com/TOomaAh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/93700457?s=40&v=4", + "name": "ArsFy", + "url": "https://github.com/ArsFy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39416418?s=40&v=4", + "name": "delta-whiplash", + "url": "https://github.com/delta-whiplash" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17147265?s=40&v=4", + "name": "wwng2333", + "url": "https://github.com/wwng2333" + } + ] + }, + { + "title": "FiloSottile/mkcert", + "url": "https://github.com/FiloSottile/mkcert", + "description": "A simple zero-config tool to make locally trusted development certificates with any names you'd like.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "50,531", + "forks": "2,655", + "addStars": "1,556", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1225294?s=40&v=4", + "name": "FiloSottile", + "url": "https://github.com/FiloSottile" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/120951?s=40&v=4", + "name": "adamdecaf", + "url": "https://github.com/adamdecaf" }, { - "avatar": "https://avatars.githubusercontent.com/u/4331955?s=40&v=4", - "name": "mlh758", - "url": "https://github.com/mlh758" + "avatar": "https://avatars.githubusercontent.com/u/618376?s=40&v=4", + "name": "herbygillot", + "url": "https://github.com/herbygillot" }, { - "avatar": "https://avatars.githubusercontent.com/u/129536?s=40&v=4", - "name": "dolmen", - "url": "https://github.com/dolmen" + "avatar": "https://avatars.githubusercontent.com/u/967561?s=40&v=4", + "name": "puiterwijk", + "url": "https://github.com/puiterwijk" }, { - "avatar": "https://avatars.githubusercontent.com/u/1047425?s=40&v=4", - "name": "albenik", - "url": "https://github.com/albenik" + "avatar": "https://avatars.githubusercontent.com/u/1032692?s=40&v=4", + "name": "arp242", + "url": "https://github.com/arp242" } ] } diff --git a/data/monthly/go.xml b/data/monthly/go.xml index 529fc1127558..f8f06912f8aa 100644 --- a/data/monthly/go.xml +++ b/data/monthly/go.xml @@ -3,7 +3,7 @@ GitHub Go Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Go Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT usememos/memos https://github.com/usememos/memos @@ -11,7 +11,7 @@ https://github.com/usememos/memos Go #00ADD8 - 34,529 + 34,552 2,489 3,609 @@ -44,8 +44,8 @@ https://github.com/mudler/LocalAI Go #00ADD8 - 26,279 - 1,970 + 26,299 + 1,971 2,164 @@ -72,7 +72,7 @@ https://github.com/AlexxIT/go2rtc Go #00ADD8 - 7,029 + 7,038 505 2,088 @@ -110,7 +110,7 @@ https://github.com/aquasecurity/trivy Go #00ADD8 - 23,806 + 23,807 2,341 490 @@ -136,6 +136,44 @@ + + milvus-io/milvus + https://github.com/milvus-io/milvus + A cloud-native vector database, storage for next generation AI applications + https://github.com/milvus-io/milvus + Go + #00ADD8 + 30,934 + 2,949 + 881 + + + https://avatars.githubusercontent.com/u/84113973?s=40&v=4 + congqixia + https://github.com/congqixia + + + https://avatars.githubusercontent.com/u/33142505?s=40&v=4 + JinHai-CN + https://github.com/JinHai-CN + + + https://avatars.githubusercontent.com/u/42060877?s=40&v=4 + bigsheeper + https://github.com/bigsheeper + + + https://avatars.githubusercontent.com/u/3992404?s=40&v=4 + cydrain + https://github.com/cydrain + + + https://avatars.githubusercontent.com/u/24581746?s=40&v=4 + jeffoverflow + https://github.com/jeffoverflow + + + avelino/awesome-go https://github.com/avelino/awesome-go @@ -143,8 +181,8 @@ https://github.com/avelino/awesome-go Go #00ADD8 - 133,298 - 11,939 + 133,319 + 11,940 2,292 @@ -181,7 +219,7 @@ https://github.com/trufflesecurity/trufflehog Go #00ADD8 - 17,358 + 17,363 1,718 1,402 @@ -207,44 +245,6 @@ - - milvus-io/milvus - https://github.com/milvus-io/milvus - A cloud-native vector database, storage for next generation AI applications - https://github.com/milvus-io/milvus - Go - #00ADD8 - 30,930 - 2,949 - 881 - - - https://avatars.githubusercontent.com/u/84113973?s=40&v=4 - congqixia - https://github.com/congqixia - - - https://avatars.githubusercontent.com/u/33142505?s=40&v=4 - JinHai-CN - https://github.com/JinHai-CN - - - https://avatars.githubusercontent.com/u/42060877?s=40&v=4 - bigsheeper - https://github.com/bigsheeper - - - https://avatars.githubusercontent.com/u/3992404?s=40&v=4 - cydrain - https://github.com/cydrain - - - https://avatars.githubusercontent.com/u/24581746?s=40&v=4 - jeffoverflow - https://github.com/jeffoverflow - - - ollama/ollama https://github.com/ollama/ollama @@ -252,8 +252,8 @@ https://github.com/ollama/ollama Go #00ADD8 - 99,247 - 7,906 + 99,291 + 7,909 4,691 @@ -290,8 +290,8 @@ https://github.com/fatedier/frp Go #00ADD8 - 86,835 - 13,392 + 86,853 + 13,394 1,277 @@ -322,144 +322,149 @@ - ThreeDotsLabs/watermill - https://github.com/ThreeDotsLabs/watermill - Building event-driven applications the easy way in Go. - https://github.com/ThreeDotsLabs/watermill + jesseduffield/lazydocker + https://github.com/jesseduffield/lazydocker + The lazier way to manage everything docker + https://github.com/jesseduffield/lazydocker Go #00ADD8 - 7,817 - 407 - 361 + 37,794 + 1,208 + 779 - https://avatars.githubusercontent.com/u/5737091?s=40&v=4 - roblaszczak - https://github.com/roblaszczak + https://avatars.githubusercontent.com/u/8456633?s=40&v=4 + jesseduffield + https://github.com/jesseduffield - https://avatars.githubusercontent.com/u/4528630?s=40&v=4 - m110 - https://github.com/m110 + https://avatars.githubusercontent.com/u/9713907?s=40&v=4 + dawidd6 + https://github.com/dawidd6 - https://avatars.githubusercontent.com/u/10462736?s=40&v=4 - maclav3 - https://github.com/maclav3 + https://avatars.githubusercontent.com/u/15320763?s=40&v=4 + mjarkk + https://github.com/mjarkk - https://avatars.githubusercontent.com/u/1226384?s=40&v=4 - sagikazarmark - https://github.com/sagikazarmark + https://avatars.githubusercontent.com/u/15654980?s=40&v=4 + qdm12 + https://github.com/qdm12 - https://avatars.githubusercontent.com/u/53563914?s=40&v=4 - 0michalsokolowski0 - https://github.com/0michalsokolowski0 + https://avatars.githubusercontent.com/u/1804568?s=40&v=4 + thaJeztah + https://github.com/thaJeztah - coreybutler/nvm-windows - https://github.com/coreybutler/nvm-windows - A node.js version management utility for Windows. Ironically written in Go. - https://github.com/coreybutler/nvm-windows + Melkeydev/go-blueprint + https://github.com/Melkeydev/go-blueprint + Go-blueprint allows users to spin up a quick Go project using a popular framework + https://github.com/Melkeydev/go-blueprint Go #00ADD8 - 38,132 - 3,369 - 614 + 5,456 + 316 + 1,064 - https://avatars.githubusercontent.com/u/770982?s=40&v=4 - coreybutler - https://github.com/coreybutler + https://avatars.githubusercontent.com/u/53410236?s=40&v=4 + Melkeydev + https://github.com/Melkeydev - https://avatars.githubusercontent.com/u/8691776?s=40&v=4 - wsobolewski - https://github.com/wsobolewski + https://avatars.githubusercontent.com/u/116409846?s=40&v=4 + Ujstor + https://github.com/Ujstor - https://avatars.githubusercontent.com/u/3462467?s=40&v=4 - rahulroy9202 - https://github.com/rahulroy9202 + https://avatars.githubusercontent.com/u/84874612?s=40&v=4 + tylermeekel + https://github.com/tylermeekel - https://avatars.githubusercontent.com/u/5396750?s=40&v=4 - Excited-ccccly - https://github.com/Excited-ccccly + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user + + + https://avatars.githubusercontent.com/u/45570310?s=40&v=4 + MitchellBerend + https://github.com/MitchellBerend - TwiN/gatus - https://github.com/TwiN/gatus - ⛑ Automated developer-oriented status page - https://github.com/TwiN/gatus + casdoor/casdoor + https://github.com/casdoor/casdoor + An open-source UI-first Identity and Access Management (IAM) / Single-Sign-On (SSO) platform with web UI supporting OAuth 2.0, OIDC, SAML, CAS, LDAP, SCIM, WebAuthn, TOTP, MFA, Face ID, RADIUS, Google Workspace, Active Directory and Kerberos + https://github.com/casdoor/casdoor Go #00ADD8 - 6,518 - 431 - 322 + 10,372 + 1,213 + 331 - https://avatars.githubusercontent.com/u/15699766?s=40&v=4 - TwiN - https://github.com/TwiN + https://avatars.githubusercontent.com/u/3787410?s=40&v=4 + hsluoyz + https://github.com/hsluoyz + + + https://avatars.githubusercontent.com/u/85475922?s=40&v=4 + nomeguy + https://github.com/nomeguy - https://avatars.githubusercontent.com/u/8377642?s=40&v=4 - olimpias - https://github.com/olimpias + https://avatars.githubusercontent.com/u/71440988?s=40&v=4 + leo220yuyaodog + https://github.com/leo220yuyaodog - https://avatars.githubusercontent.com/u/12884767?s=40&v=4 - cjheppell - https://github.com/cjheppell + https://avatars.githubusercontent.com/u/47297289?s=40&v=4 + dacongda + https://github.com/dacongda - https://avatars.githubusercontent.com/u/21979?s=40&v=4 - appleboy - https://github.com/appleboy + https://avatars.githubusercontent.com/u/33992371?s=40&v=4 + seriouszyx + https://github.com/seriouszyx - istio/istio - https://github.com/istio/istio - Connect, secure, control, and observe services. - https://github.com/istio/istio + coreybutler/nvm-windows + https://github.com/coreybutler/nvm-windows + A node.js version management utility for Windows. Ironically written in Go. + https://github.com/coreybutler/nvm-windows Go #00ADD8 - 36,149 - 7,786 - 311 + 38,137 + 3,369 + 614 - https://avatars.githubusercontent.com/u/623453?s=40&v=4 - howardjohn - https://github.com/howardjohn - - - https://avatars.githubusercontent.com/u/25311884?s=40&v=4 - istio-testing - https://github.com/istio-testing + https://avatars.githubusercontent.com/u/770982?s=40&v=4 + coreybutler + https://github.com/coreybutler - https://avatars.githubusercontent.com/u/13374016?s=40&v=4 - hzxuzhonghu - https://github.com/hzxuzhonghu + https://avatars.githubusercontent.com/u/8691776?s=40&v=4 + wsobolewski + https://github.com/wsobolewski - https://avatars.githubusercontent.com/u/17204904?s=40&v=4 - ramaraochavali - https://github.com/ramaraochavali + https://avatars.githubusercontent.com/u/3462467?s=40&v=4 + rahulroy9202 + https://github.com/rahulroy9202 - https://avatars.githubusercontent.com/u/9537734?s=40&v=4 - kyessenov - https://github.com/kyessenov + https://avatars.githubusercontent.com/u/5396750?s=40&v=4 + Excited-ccccly + https://github.com/Excited-ccccly @@ -496,44 +501,6 @@ - - jesseduffield/lazydocker - https://github.com/jesseduffield/lazydocker - The lazier way to manage everything docker - https://github.com/jesseduffield/lazydocker - Go - #00ADD8 - 37,721 - 1,207 - 779 - - - https://avatars.githubusercontent.com/u/8456633?s=40&v=4 - jesseduffield - https://github.com/jesseduffield - - - https://avatars.githubusercontent.com/u/9713907?s=40&v=4 - dawidd6 - https://github.com/dawidd6 - - - https://avatars.githubusercontent.com/u/15320763?s=40&v=4 - mjarkk - https://github.com/mjarkk - - - https://avatars.githubusercontent.com/u/15654980?s=40&v=4 - qdm12 - https://github.com/qdm12 - - - https://avatars.githubusercontent.com/u/1804568?s=40&v=4 - thaJeztah - https://github.com/thaJeztah - - - NVIDIA/gpu-operator https://github.com/NVIDIA/gpu-operator @@ -542,7 +509,7 @@ Go #00ADD8 1,865 - 302 + 303 69 @@ -567,110 +534,6 @@ - - deepflowio/deepflow - https://github.com/deepflowio/deepflow - eBPF Observability - Distributed Tracing and Profiling - https://github.com/deepflowio/deepflow - Go - #00ADD8 - 2,986 - 333 - 117 - - - https://avatars.githubusercontent.com/u/44988793?s=40&v=4 - lzf575 - https://github.com/lzf575 - - - https://avatars.githubusercontent.com/u/2050980?s=40&v=4 - rvql - https://github.com/rvql - - - https://avatars.githubusercontent.com/u/107980919?s=40&v=4 - jin-xiaofeng - https://github.com/jin-xiaofeng - - - https://avatars.githubusercontent.com/u/1598518?s=40&v=4 - sharang - https://github.com/sharang - - - https://avatars.githubusercontent.com/u/107982607?s=40&v=4 - yuanchaoa - https://github.com/yuanchaoa - - - - - prometheus/prometheus - https://github.com/prometheus/prometheus - The Prometheus monitoring system and time series database. - https://github.com/prometheus/prometheus - Go - #00ADD8 - 55,902 - 9,173 - 563 - - - https://avatars.githubusercontent.com/u/4948210?s=40&v=4 - fabxc - https://github.com/fabxc - - - https://avatars.githubusercontent.com/u/538008?s=40&v=4 - juliusv - https://github.com/juliusv - - - https://avatars.githubusercontent.com/u/291750?s=40&v=4 - roidelapluie - https://github.com/roidelapluie - - - https://avatars.githubusercontent.com/u/5609886?s=40&v=4 - beorn7 - https://github.com/beorn7 - - - https://avatars.githubusercontent.com/u/8125524?s=40&v=4 - bboreham - https://github.com/bboreham - - - - - knadh/listmonk - https://github.com/knadh/listmonk - High performance, self-hosted, newsletter and mailing list manager with a modern dashboard. Single binary app. - https://github.com/knadh/listmonk - Go - #00ADD8 - 15,370 - 1,404 - 426 - - - https://avatars.githubusercontent.com/u/547147?s=40&v=4 - knadh - https://github.com/knadh - - - https://avatars.githubusercontent.com/u/5689132?s=40&v=4 - mr-karan - https://github.com/mr-karan - - - https://avatars.githubusercontent.com/u/3606996?s=40&v=4 - MaximilianKohler - https://github.com/MaximilianKohler - - - pocketbase/pocketbase https://github.com/pocketbase/pocketbase @@ -678,7 +541,7 @@ https://github.com/pocketbase/pocketbase Go #00ADD8 - 40,946 + 40,961 1,923 1,151 @@ -710,40 +573,40 @@ - Melkeydev/go-blueprint - https://github.com/Melkeydev/go-blueprint - Go-blueprint allows users to spin up a quick Go project using a popular framework - https://github.com/Melkeydev/go-blueprint + deepflowio/deepflow + https://github.com/deepflowio/deepflow + eBPF Observability - Distributed Tracing and Profiling + https://github.com/deepflowio/deepflow Go #00ADD8 - 5,439 - 314 - 1,064 + 2,986 + 333 + 117 - https://avatars.githubusercontent.com/u/53410236?s=40&v=4 - Melkeydev - https://github.com/Melkeydev + https://avatars.githubusercontent.com/u/44988793?s=40&v=4 + lzf575 + https://github.com/lzf575 - https://avatars.githubusercontent.com/u/116409846?s=40&v=4 - Ujstor - https://github.com/Ujstor + https://avatars.githubusercontent.com/u/2050980?s=40&v=4 + rvql + https://github.com/rvql - https://avatars.githubusercontent.com/u/84874612?s=40&v=4 - tylermeekel - https://github.com/tylermeekel + https://avatars.githubusercontent.com/u/107980919?s=40&v=4 + jin-xiaofeng + https://github.com/jin-xiaofeng - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/1598518?s=40&v=4 + sharang + https://github.com/sharang - https://avatars.githubusercontent.com/u/45570310?s=40&v=4 - MitchellBerend - https://github.com/MitchellBerend + https://avatars.githubusercontent.com/u/107982607?s=40&v=4 + yuanchaoa + https://github.com/yuanchaoa @@ -754,7 +617,7 @@ https://github.com/cloudreve/Cloudreve Go #00ADD8 - 21,999 + 22,000 3,444 230 @@ -785,6 +648,82 @@ + + istio/istio + https://github.com/istio/istio + Connect, secure, control, and observe services. + https://github.com/istio/istio + Go + #00ADD8 + 36,148 + 7,786 + 311 + + + https://avatars.githubusercontent.com/u/623453?s=40&v=4 + howardjohn + https://github.com/howardjohn + + + https://avatars.githubusercontent.com/u/25311884?s=40&v=4 + istio-testing + https://github.com/istio-testing + + + https://avatars.githubusercontent.com/u/13374016?s=40&v=4 + hzxuzhonghu + https://github.com/hzxuzhonghu + + + https://avatars.githubusercontent.com/u/17204904?s=40&v=4 + ramaraochavali + https://github.com/ramaraochavali + + + https://avatars.githubusercontent.com/u/9537734?s=40&v=4 + kyessenov + https://github.com/kyessenov + + + + + prometheus/prometheus + https://github.com/prometheus/prometheus + The Prometheus monitoring system and time series database. + https://github.com/prometheus/prometheus + Go + #00ADD8 + 55,907 + 9,177 + 563 + + + https://avatars.githubusercontent.com/u/4948210?s=40&v=4 + fabxc + https://github.com/fabxc + + + https://avatars.githubusercontent.com/u/538008?s=40&v=4 + juliusv + https://github.com/juliusv + + + https://avatars.githubusercontent.com/u/291750?s=40&v=4 + roidelapluie + https://github.com/roidelapluie + + + https://avatars.githubusercontent.com/u/5609886?s=40&v=4 + beorn7 + https://github.com/beorn7 + + + https://avatars.githubusercontent.com/u/8125524?s=40&v=4 + bboreham + https://github.com/bboreham + + + hashicorp/vault https://github.com/hashicorp/vault @@ -792,8 +731,8 @@ https://github.com/hashicorp/vault Go #00ADD8 - 31,281 - 4,227 + 31,284 + 4,228 233 @@ -830,7 +769,7 @@ https://github.com/projectdiscovery/katana Go #00ADD8 - 12,250 + 12,254 634 1,141 @@ -857,35 +796,116 @@ - qax-os/excelize - https://github.com/qax-os/excelize - Go language library for reading and writing Microsoft Excel™ (XLAM / XLSM / XLSX / XLTM / XLTX) spreadsheets - https://github.com/qax-os/excelize + smartcontractkit/chainlink + https://github.com/smartcontractkit/chainlink + node of the decentralized oracle network, bridging on and off-chain computation + https://github.com/smartcontractkit/chainlink + Go + #00ADD8 + 7,056 + 1,722 + 85 + + + https://avatars.githubusercontent.com/u/573827?s=40&v=4 + se3000 + https://github.com/se3000 + + + https://avatars.githubusercontent.com/u/344071?s=40&v=4 + j16r + https://github.com/j16r + + + https://avatars.githubusercontent.com/u/635121?s=40&v=4 + dimroc + https://github.com/dimroc + + + https://avatars.githubusercontent.com/u/680789?s=40&v=4 + rupurt + https://github.com/rupurt + + + https://avatars.githubusercontent.com/u/4147639?s=40&v=4 + samsondav + https://github.com/samsondav + + + + + henrygd/beszel + https://github.com/henrygd/beszel + Lightweight server monitoring hub with historical data, docker stats, and alerts. + https://github.com/henrygd/beszel + Go + #00ADD8 + 2,879 + 91 + 1,309 + + + https://avatars.githubusercontent.com/u/8519632?s=40&v=4 + henrygd + https://github.com/henrygd + + + https://avatars.githubusercontent.com/u/28620362?s=40&v=4 + TOomaAh + https://github.com/TOomaAh + + + https://avatars.githubusercontent.com/u/93700457?s=40&v=4 + ArsFy + https://github.com/ArsFy + + + https://avatars.githubusercontent.com/u/39416418?s=40&v=4 + delta-whiplash + https://github.com/delta-whiplash + + + https://avatars.githubusercontent.com/u/17147265?s=40&v=4 + wwng2333 + https://github.com/wwng2333 + + + + + FiloSottile/mkcert + https://github.com/FiloSottile/mkcert + A simple zero-config tool to make locally trusted development certificates with any names you'd like. + https://github.com/FiloSottile/mkcert Go #00ADD8 - 18,406 - 1,720 - 214 + 50,531 + 2,655 + 1,556 - https://avatars.githubusercontent.com/u/2809468?s=40&v=4 - xuri - https://github.com/xuri + https://avatars.githubusercontent.com/u/1225294?s=40&v=4 + FiloSottile + https://github.com/FiloSottile + + + https://avatars.githubusercontent.com/u/120951?s=40&v=4 + adamdecaf + https://github.com/adamdecaf - https://avatars.githubusercontent.com/u/4331955?s=40&v=4 - mlh758 - https://github.com/mlh758 + https://avatars.githubusercontent.com/u/618376?s=40&v=4 + herbygillot + https://github.com/herbygillot - https://avatars.githubusercontent.com/u/129536?s=40&v=4 - dolmen - https://github.com/dolmen + https://avatars.githubusercontent.com/u/967561?s=40&v=4 + puiterwijk + https://github.com/puiterwijk - https://avatars.githubusercontent.com/u/1047425?s=40&v=4 - albenik - https://github.com/albenik + https://avatars.githubusercontent.com/u/1032692?s=40&v=4 + arp242 + https://github.com/arp242 diff --git a/data/monthly/godot-resource.json b/data/monthly/godot-resource.json index 8100ce6b798b..8bab457dbd87 100644 --- a/data/monthly/godot-resource.json +++ b/data/monthly/godot-resource.json @@ -2,6 +2,6 @@ "title": "GitHub Godot-resource Languages Monthly Trending", "description": "Monthly Trending of Godot-resource Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/godot-resource.xml b/data/monthly/godot-resource.xml index c91d02ff1a71..4c7cba2e1742 100644 --- a/data/monthly/godot-resource.xml +++ b/data/monthly/godot-resource.xml @@ -3,6 +3,6 @@ GitHub Godot-resource Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Godot-resource Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/golo.json b/data/monthly/golo.json index e5b1396452e4..1bcf9ddc46cc 100644 --- a/data/monthly/golo.json +++ b/data/monthly/golo.json @@ -2,6 +2,6 @@ "title": "GitHub Golo Languages Monthly Trending", "description": "Monthly Trending of Golo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/golo.xml b/data/monthly/golo.xml index a43fd5fe7ee2..8b61fa578279 100644 --- a/data/monthly/golo.xml +++ b/data/monthly/golo.xml @@ -3,6 +3,6 @@ GitHub Golo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Golo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gosu.json b/data/monthly/gosu.json index 97cae7884fc1..5cf2df82297c 100644 --- a/data/monthly/gosu.json +++ b/data/monthly/gosu.json @@ -2,6 +2,6 @@ "title": "GitHub Gosu Languages Monthly Trending", "description": "Monthly Trending of Gosu Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gosu.xml b/data/monthly/gosu.xml index ec6cacea4472..7a4510646dc5 100644 --- a/data/monthly/gosu.xml +++ b/data/monthly/gosu.xml @@ -3,6 +3,6 @@ GitHub Gosu Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gosu Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/grace.json b/data/monthly/grace.json index 0c8fa4dc6708..112bbbbbf91f 100644 --- a/data/monthly/grace.json +++ b/data/monthly/grace.json @@ -2,6 +2,6 @@ "title": "GitHub Grace Languages Monthly Trending", "description": "Monthly Trending of Grace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/grace.xml b/data/monthly/grace.xml index 2e73cd48d1ba..17362a9ab5a5 100644 --- a/data/monthly/grace.xml +++ b/data/monthly/grace.xml @@ -3,6 +3,6 @@ GitHub Grace Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Grace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gradle-kotlin-dsl.json b/data/monthly/gradle-kotlin-dsl.json index b68f884f3a76..d9625841d914 100644 --- a/data/monthly/gradle-kotlin-dsl.json +++ b/data/monthly/gradle-kotlin-dsl.json @@ -2,6 +2,6 @@ "title": "GitHub Gradle-kotlin-dsl Languages Monthly Trending", "description": "Monthly Trending of Gradle-kotlin-dsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gradle-kotlin-dsl.xml b/data/monthly/gradle-kotlin-dsl.xml index ec43fd517a0d..7f7ad9a37f69 100644 --- a/data/monthly/gradle-kotlin-dsl.xml +++ b/data/monthly/gradle-kotlin-dsl.xml @@ -3,6 +3,6 @@ GitHub Gradle-kotlin-dsl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gradle-kotlin-dsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/gradle.json b/data/monthly/gradle.json index 472da80bcd60..a4630b7aec58 100644 --- a/data/monthly/gradle.json +++ b/data/monthly/gradle.json @@ -2,6 +2,6 @@ "title": "GitHub Gradle Languages Monthly Trending", "description": "Monthly Trending of Gradle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gradle.xml b/data/monthly/gradle.xml index a5f73f5b8fae..4a8fa4d0bef0 100644 --- a/data/monthly/gradle.xml +++ b/data/monthly/gradle.xml @@ -3,6 +3,6 @@ GitHub Gradle Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gradle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/grammatical-framework.json b/data/monthly/grammatical-framework.json index beadddce4151..bfd7ade89a4c 100644 --- a/data/monthly/grammatical-framework.json +++ b/data/monthly/grammatical-framework.json @@ -2,6 +2,6 @@ "title": "GitHub Grammatical-framework Languages Monthly Trending", "description": "Monthly Trending of Grammatical-framework Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/grammatical-framework.xml b/data/monthly/grammatical-framework.xml index 16b6928d42c3..d6849f6f1a1a 100644 --- a/data/monthly/grammatical-framework.xml +++ b/data/monthly/grammatical-framework.xml @@ -3,6 +3,6 @@ GitHub Grammatical-framework Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Grammatical-framework Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/graph-modeling-language.json b/data/monthly/graph-modeling-language.json index fa3e8507d0f6..1c1c289579f7 100644 --- a/data/monthly/graph-modeling-language.json +++ b/data/monthly/graph-modeling-language.json @@ -2,6 +2,6 @@ "title": "GitHub Graph-modeling-language Languages Monthly Trending", "description": "Monthly Trending of Graph-modeling-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/graph-modeling-language.xml b/data/monthly/graph-modeling-language.xml index 1ec11d9d8c15..7ef2d7d66a25 100644 --- a/data/monthly/graph-modeling-language.xml +++ b/data/monthly/graph-modeling-language.xml @@ -3,6 +3,6 @@ GitHub Graph-modeling-language Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Graph-modeling-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/graphql.json b/data/monthly/graphql.json index 8d38c8112393..bddbe5f73a28 100644 --- a/data/monthly/graphql.json +++ b/data/monthly/graphql.json @@ -2,6 +2,6 @@ "title": "GitHub Graphql Languages Monthly Trending", "description": "Monthly Trending of Graphql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/graphql.xml b/data/monthly/graphql.xml index f5dad19cf7bd..78b1b7268cbf 100644 --- a/data/monthly/graphql.xml +++ b/data/monthly/graphql.xml @@ -3,6 +3,6 @@ GitHub Graphql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Graphql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/graphviz-(dot).json b/data/monthly/graphviz-(dot).json index 4144d0f7ff12..ef1089cfc9d8 100644 --- a/data/monthly/graphviz-(dot).json +++ b/data/monthly/graphviz-(dot).json @@ -2,6 +2,6 @@ "title": "GitHub Graphviz-(dot) Languages Monthly Trending", "description": "Monthly Trending of Graphviz-(dot) Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/graphviz-(dot).xml b/data/monthly/graphviz-(dot).xml index 4cef0fb0d8a2..8d3ee33368ad 100644 --- a/data/monthly/graphviz-(dot).xml +++ b/data/monthly/graphviz-(dot).xml @@ -3,6 +3,6 @@ GitHub Graphviz-(dot) Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Graphviz-(dot) Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/groovy-server-pages.json b/data/monthly/groovy-server-pages.json index 48af99d86042..e1ff3f4049ff 100644 --- a/data/monthly/groovy-server-pages.json +++ b/data/monthly/groovy-server-pages.json @@ -2,6 +2,6 @@ "title": "GitHub Groovy-server-pages Languages Monthly Trending", "description": "Monthly Trending of Groovy-server-pages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/groovy-server-pages.xml b/data/monthly/groovy-server-pages.xml index 873d8c78b2e9..83f404ed2c09 100644 --- a/data/monthly/groovy-server-pages.xml +++ b/data/monthly/groovy-server-pages.xml @@ -3,6 +3,6 @@ GitHub Groovy-server-pages Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Groovy-server-pages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/groovy.json b/data/monthly/groovy.json index 1e5f2fc30ced..0a10f21b4c4a 100644 --- a/data/monthly/groovy.json +++ b/data/monthly/groovy.json @@ -2,7 +2,7 @@ "title": "GitHub Groovy Languages Monthly Trending", "description": "Monthly Trending of Groovy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gradle/gradle", @@ -10,8 +10,8 @@ "description": "Adaptable, fast automation for all", "language": "Groovy", "languageColor": "#4298b8", - "stars": "16,987", - "forks": "4,765", + "stars": "16,989", + "forks": "4,767", "addStars": "213", "contributors": [ { @@ -47,7 +47,7 @@ "description": "A DSL for data-driven computational pipelines", "language": "Groovy", "languageColor": "#4298b8", - "stars": "2,774", + "stars": "2,775", "forks": "632", "addStars": "42", "contributors": [ @@ -85,7 +85,7 @@ "language": "Groovy", "languageColor": "#4298b8", "stars": "3,770", - "forks": "395", + "forks": "396", "addStars": "45", "contributors": [ { @@ -321,43 +321,6 @@ "url": "https://github.com/vishabhguptaadobe" } ] - }, - { - "title": "jenkinsci/pipeline-examples", - "url": "https://github.com/jenkinsci/pipeline-examples", - "description": "A collection of examples, tips and tricks and snippets of scripting for the Jenkins Pipeline plugin", - "language": "Groovy", - "languageColor": "#4298b8", - "stars": "4,218", - "forks": "3,496", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/120218?s=40&v=4", - "name": "abayer", - "url": "https://github.com/abayer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/223853?s=40&v=4", - "name": "batmat", - "url": "https://github.com/batmat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/154109?s=40&v=4", - "name": "jglick", - "url": "https://github.com/jglick" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8872896?s=40&v=4", - "name": "carlosrodlop", - "url": "https://github.com/carlosrodlop" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/102495?s=40&v=4", - "name": "ssbarnea", - "url": "https://github.com/ssbarnea" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/groovy.xml b/data/monthly/groovy.xml index 5b1088aefdde..052bd182cb28 100644 --- a/data/monthly/groovy.xml +++ b/data/monthly/groovy.xml @@ -3,7 +3,7 @@ GitHub Groovy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Groovy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gradle/gradle https://github.com/gradle/gradle @@ -11,8 +11,8 @@ https://github.com/gradle/gradle Groovy #4298b8 - 16,987 - 4,765 + 16,989 + 4,767 213 @@ -49,7 +49,7 @@ https://github.com/nextflow-io/nextflow Groovy #4298b8 - 2,774 + 2,775 632 42 @@ -88,7 +88,7 @@ Groovy #4298b8 3,770 - 395 + 396 45 @@ -331,43 +331,5 @@ - - jenkinsci/pipeline-examples - https://github.com/jenkinsci/pipeline-examples - A collection of examples, tips and tricks and snippets of scripting for the Jenkins Pipeline plugin - https://github.com/jenkinsci/pipeline-examples - Groovy - #4298b8 - 4,218 - 3,496 - 9 - - - https://avatars.githubusercontent.com/u/120218?s=40&v=4 - abayer - https://github.com/abayer - - - https://avatars.githubusercontent.com/u/223853?s=40&v=4 - batmat - https://github.com/batmat - - - https://avatars.githubusercontent.com/u/154109?s=40&v=4 - jglick - https://github.com/jglick - - - https://avatars.githubusercontent.com/u/8872896?s=40&v=4 - carlosrodlop - https://github.com/carlosrodlop - - - https://avatars.githubusercontent.com/u/102495?s=40&v=4 - ssbarnea - https://github.com/ssbarnea - - - \ No newline at end of file diff --git a/data/monthly/gsc.json b/data/monthly/gsc.json index 0fcac996a4aa..06907353a385 100644 --- a/data/monthly/gsc.json +++ b/data/monthly/gsc.json @@ -2,6 +2,6 @@ "title": "GitHub Gsc Languages Monthly Trending", "description": "Monthly Trending of Gsc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/gsc.xml b/data/monthly/gsc.xml index bb606ea83b3d..a6ea9265a3a4 100644 --- a/data/monthly/gsc.xml +++ b/data/monthly/gsc.xml @@ -3,6 +3,6 @@ GitHub Gsc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Gsc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/hack.json b/data/monthly/hack.json index dce83ca6eb66..84b0f5b459d7 100644 --- a/data/monthly/hack.json +++ b/data/monthly/hack.json @@ -2,6 +2,6 @@ "title": "GitHub Hack Languages Monthly Trending", "description": "Monthly Trending of Hack Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hack.xml b/data/monthly/hack.xml index e3fd005995bf..bc43a61e6674 100644 --- a/data/monthly/hack.xml +++ b/data/monthly/hack.xml @@ -3,6 +3,6 @@ GitHub Hack Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hack Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/haml.json b/data/monthly/haml.json index 6ac16e11494e..ea24cb35bcf9 100644 --- a/data/monthly/haml.json +++ b/data/monthly/haml.json @@ -2,7 +2,7 @@ "title": "GitHub Haml Languages Monthly Trending", "description": "Monthly Trending of Haml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "olivierlacan/keep-a-changelog", diff --git a/data/monthly/haml.xml b/data/monthly/haml.xml index 15984a0ff39a..2400a2829249 100644 --- a/data/monthly/haml.xml +++ b/data/monthly/haml.xml @@ -3,7 +3,7 @@ GitHub Haml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Haml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT olivierlacan/keep-a-changelog https://github.com/olivierlacan/keep-a-changelog diff --git a/data/monthly/handlebars.json b/data/monthly/handlebars.json index 0b4383faf04b..1d33062051fe 100644 --- a/data/monthly/handlebars.json +++ b/data/monthly/handlebars.json @@ -2,7 +2,7 @@ "title": "GitHub Handlebars Languages Monthly Trending", "description": "Monthly Trending of Handlebars Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "rust-lang/rust-by-example", @@ -47,7 +47,7 @@ "description": "Elastic Integrations", "language": "Handlebars", "languageColor": "#f7931e", - "stars": "30", + "stars": "32", "forks": "448", "addStars": "11", "contributors": [ @@ -78,6 +78,43 @@ } ] }, + { + "title": "CrunchyData/postgres-operator-examples", + "url": "https://github.com/CrunchyData/postgres-operator-examples", + "description": "Examples for deploying applications with PGO, the Postgres Operator from Crunchy Data", + "language": "Handlebars", + "languageColor": "#f7931e", + "stars": "191", + "forks": "4,766", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1694?s=40&v=4", + "name": "jkatz", + "url": "https://github.com/jkatz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8959656?s=40&v=4", + "name": "ValClarkson", + "url": "https://github.com/ValClarkson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4651855?s=40&v=4", + "name": "benjaminjb", + "url": "https://github.com/benjaminjb" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43458182?s=40&v=4", + "name": "andrewlecuyer", + "url": "https://github.com/andrewlecuyer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16840147?s=40&v=4", + "name": "jmckulk", + "url": "https://github.com/jmckulk" + } + ] + }, { "title": "huggingface/hub-docs", "url": "https://github.com/huggingface/hub-docs", @@ -114,43 +151,6 @@ "url": "https://github.com/Wauplin" } ] - }, - { - "title": "CrunchyData/postgres-operator-examples", - "url": "https://github.com/CrunchyData/postgres-operator-examples", - "description": "Examples for deploying applications with PGO, the Postgres Operator from Crunchy Data", - "language": "Handlebars", - "languageColor": "#f7931e", - "stars": "191", - "forks": "4,762", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1694?s=40&v=4", - "name": "jkatz", - "url": "https://github.com/jkatz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8959656?s=40&v=4", - "name": "ValClarkson", - "url": "https://github.com/ValClarkson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4651855?s=40&v=4", - "name": "benjaminjb", - "url": "https://github.com/benjaminjb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43458182?s=40&v=4", - "name": "andrewlecuyer", - "url": "https://github.com/andrewlecuyer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16840147?s=40&v=4", - "name": "jmckulk", - "url": "https://github.com/jmckulk" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/handlebars.xml b/data/monthly/handlebars.xml index 491725f17c94..f96af5607d62 100644 --- a/data/monthly/handlebars.xml +++ b/data/monthly/handlebars.xml @@ -3,7 +3,7 @@ GitHub Handlebars Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Handlebars Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT rust-lang/rust-by-example https://github.com/rust-lang/rust-by-example @@ -49,7 +49,7 @@ https://github.com/elastic/integrations Handlebars #f7931e - 30 + 32 448 11 @@ -80,6 +80,44 @@ + + CrunchyData/postgres-operator-examples + https://github.com/CrunchyData/postgres-operator-examples + Examples for deploying applications with PGO, the Postgres Operator from Crunchy Data + https://github.com/CrunchyData/postgres-operator-examples + Handlebars + #f7931e + 191 + 4,766 + 3 + + + https://avatars.githubusercontent.com/u/1694?s=40&v=4 + jkatz + https://github.com/jkatz + + + https://avatars.githubusercontent.com/u/8959656?s=40&v=4 + ValClarkson + https://github.com/ValClarkson + + + https://avatars.githubusercontent.com/u/4651855?s=40&v=4 + benjaminjb + https://github.com/benjaminjb + + + https://avatars.githubusercontent.com/u/43458182?s=40&v=4 + andrewlecuyer + https://github.com/andrewlecuyer + + + https://avatars.githubusercontent.com/u/16840147?s=40&v=4 + jmckulk + https://github.com/jmckulk + + + huggingface/hub-docs https://github.com/huggingface/hub-docs @@ -118,43 +156,5 @@ - - CrunchyData/postgres-operator-examples - https://github.com/CrunchyData/postgres-operator-examples - Examples for deploying applications with PGO, the Postgres Operator from Crunchy Data - https://github.com/CrunchyData/postgres-operator-examples - Handlebars - #f7931e - 191 - 4,762 - 3 - - - https://avatars.githubusercontent.com/u/1694?s=40&v=4 - jkatz - https://github.com/jkatz - - - https://avatars.githubusercontent.com/u/8959656?s=40&v=4 - ValClarkson - https://github.com/ValClarkson - - - https://avatars.githubusercontent.com/u/4651855?s=40&v=4 - benjaminjb - https://github.com/benjaminjb - - - https://avatars.githubusercontent.com/u/43458182?s=40&v=4 - andrewlecuyer - https://github.com/andrewlecuyer - - - https://avatars.githubusercontent.com/u/16840147?s=40&v=4 - jmckulk - https://github.com/jmckulk - - - \ No newline at end of file diff --git a/data/monthly/haproxy.json b/data/monthly/haproxy.json index 80396337711b..047f10fd85bb 100644 --- a/data/monthly/haproxy.json +++ b/data/monthly/haproxy.json @@ -2,6 +2,6 @@ "title": "GitHub Haproxy Languages Monthly Trending", "description": "Monthly Trending of Haproxy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/haproxy.xml b/data/monthly/haproxy.xml index bbb3b18424ac..fdd72ad7db28 100644 --- a/data/monthly/haproxy.xml +++ b/data/monthly/haproxy.xml @@ -3,6 +3,6 @@ GitHub Haproxy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Haproxy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/harbour.json b/data/monthly/harbour.json index fb654c5e2f9b..8f89685c4c75 100644 --- a/data/monthly/harbour.json +++ b/data/monthly/harbour.json @@ -2,6 +2,6 @@ "title": "GitHub Harbour Languages Monthly Trending", "description": "Monthly Trending of Harbour Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/harbour.xml b/data/monthly/harbour.xml index 96176c52ab36..24fe9b7113e9 100644 --- a/data/monthly/harbour.xml +++ b/data/monthly/harbour.xml @@ -3,6 +3,6 @@ GitHub Harbour Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Harbour Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/haskell.json b/data/monthly/haskell.json index 7c6b6e67a16a..ea02e0f010d5 100644 --- a/data/monthly/haskell.json +++ b/data/monthly/haskell.json @@ -2,7 +2,7 @@ "title": "GitHub Haskell Languages Monthly Trending", "description": "Monthly Trending of Haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "koalaman/shellcheck", @@ -10,7 +10,7 @@ "description": "ShellCheck, a static analysis tool for shell scripts", "language": "Haskell", "languageColor": "#5e5086", - "stars": "36,497", + "stars": "36,502", "forks": "1,780", "addStars": "228", "contributors": [ @@ -84,7 +84,7 @@ "description": "Dockerfile linter, validate inline bash, written in Haskell", "language": "Haskell", "languageColor": "#5e5086", - "stars": "10,477", + "stars": "10,478", "forks": "424", "addStars": "93", "contributors": [ @@ -153,7 +153,7 @@ "description": "SimpleX - the first messaging network operating without user identifiers of any kind - 100% private by design! iOS, Android and desktop apps 📱!", "language": "Haskell", "languageColor": "#5e5086", - "stars": "7,330", + "stars": "7,333", "forks": "331", "addStars": "241", "contributors": [ @@ -221,50 +221,13 @@ } ] }, - { - "title": "jgm/pandoc", - "url": "https://github.com/jgm/pandoc", - "description": "Universal markup converter", - "language": "Haskell", - "languageColor": "#5e5086", - "stars": "34,790", - "forks": "3,387", - "addStars": "407", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3044?s=40&v=4", - "name": "jgm", - "url": "https://github.com/jgm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/507994?s=40&v=4", - "name": "tarleb", - "url": "https://github.com/tarleb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/835121?s=40&v=4", - "name": "jkr", - "url": "https://github.com/jkr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1216657?s=40&v=4", - "name": "mpickering", - "url": "https://github.com/mpickering" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/157497?s=40&v=4", - "name": "mb21", - "url": "https://github.com/mb21" - } - ] - }, { "title": "PostgREST/postgrest", "url": "https://github.com/PostgREST/postgrest", "description": "REST API for any Postgres database", "language": "Haskell", "languageColor": "#5e5086", - "stars": "23,468", + "stars": "23,471", "forks": "1,032", "addStars": "122", "contributors": [ @@ -296,76 +259,39 @@ ] }, { - "title": "MercuryTechnologies/moat", - "url": "https://github.com/MercuryTechnologies/moat", - "description": "mobile type (currently Swift, Kotlin) generation from Haskell types", - "language": "Haskell", - "languageColor": "#5e5086", - "stars": "31", - "forks": "5", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3086255?s=40&v=4", - "name": "chiroptical", - "url": "https://github.com/chiroptical" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/129148?s=40&v=4", - "name": "tadfisher", - "url": "https://github.com/tadfisher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18648043?s=40&v=4", - "name": "chessai", - "url": "https://github.com/chessai" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13813526?s=40&v=4", - "name": "josephsumabat", - "url": "https://github.com/josephsumabat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3526783?s=40&v=4", - "name": "kylebshr", - "url": "https://github.com/kylebshr" - } - ] - }, - { - "title": "simonmichael/hledger", - "url": "https://github.com/simonmichael/hledger", - "description": "Robust, fast, intuitive plain text accounting tool with CLI, TUI and web interfaces.", + "title": "jgm/pandoc", + "url": "https://github.com/jgm/pandoc", + "description": "Universal markup converter", "language": "Haskell", "languageColor": "#5e5086", - "stars": "3,063", - "forks": "320", - "addStars": "65", + "stars": "34,795", + "forks": "3,387", + "addStars": "407", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10144?s=40&v=4", - "name": "simonmichael", - "url": "https://github.com/simonmichael" + "avatar": "https://avatars.githubusercontent.com/u/3044?s=40&v=4", + "name": "jgm", + "url": "https://github.com/jgm" }, { - "avatar": "https://avatars.githubusercontent.com/u/18479956?s=40&v=4", - "name": "Xitian9", - "url": "https://github.com/Xitian9" + "avatar": "https://avatars.githubusercontent.com/u/507994?s=40&v=4", + "name": "tarleb", + "url": "https://github.com/tarleb" }, { - "avatar": "https://avatars.githubusercontent.com/u/118058?s=40&v=4", - "name": "adept", - "url": "https://github.com/adept" + "avatar": "https://avatars.githubusercontent.com/u/835121?s=40&v=4", + "name": "jkr", + "url": "https://github.com/jkr" }, { - "avatar": "https://avatars.githubusercontent.com/u/898989?s=40&v=4", - "name": "thielema", - "url": "https://github.com/thielema" + "avatar": "https://avatars.githubusercontent.com/u/1216657?s=40&v=4", + "name": "mpickering", + "url": "https://github.com/mpickering" }, { - "avatar": "https://avatars.githubusercontent.com/u/14352645?s=40&v=4", - "name": "zhelezov", - "url": "https://github.com/zhelezov" + "avatar": "https://avatars.githubusercontent.com/u/157497?s=40&v=4", + "name": "mb21", + "url": "https://github.com/mb21" } ] }, diff --git a/data/monthly/haskell.xml b/data/monthly/haskell.xml index c62b5ad1fbff..4293b7f73006 100644 --- a/data/monthly/haskell.xml +++ b/data/monthly/haskell.xml @@ -3,7 +3,7 @@ GitHub Haskell Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT koalaman/shellcheck https://github.com/koalaman/shellcheck @@ -11,7 +11,7 @@ https://github.com/koalaman/shellcheck Haskell #5e5086 - 36,497 + 36,502 1,780 228 @@ -87,7 +87,7 @@ https://github.com/hadolint/hadolint Haskell #5e5086 - 10,477 + 10,478 424 93 @@ -158,7 +158,7 @@ https://github.com/simplex-chat/simplex-chat Haskell #5e5086 - 7,330 + 7,333 331 241 @@ -227,44 +227,6 @@ - - jgm/pandoc - https://github.com/jgm/pandoc - Universal markup converter - https://github.com/jgm/pandoc - Haskell - #5e5086 - 34,790 - 3,387 - 407 - - - https://avatars.githubusercontent.com/u/3044?s=40&v=4 - jgm - https://github.com/jgm - - - https://avatars.githubusercontent.com/u/507994?s=40&v=4 - tarleb - https://github.com/tarleb - - - https://avatars.githubusercontent.com/u/835121?s=40&v=4 - jkr - https://github.com/jkr - - - https://avatars.githubusercontent.com/u/1216657?s=40&v=4 - mpickering - https://github.com/mpickering - - - https://avatars.githubusercontent.com/u/157497?s=40&v=4 - mb21 - https://github.com/mb21 - - - PostgREST/postgrest https://github.com/PostgREST/postgrest @@ -272,7 +234,7 @@ https://github.com/PostgREST/postgrest Haskell #5e5086 - 23,468 + 23,471 1,032 122 @@ -304,78 +266,40 @@ - MercuryTechnologies/moat - https://github.com/MercuryTechnologies/moat - mobile type (currently Swift, Kotlin) generation from Haskell types - https://github.com/MercuryTechnologies/moat - Haskell - #5e5086 - 31 - 5 - 1 - - - https://avatars.githubusercontent.com/u/3086255?s=40&v=4 - chiroptical - https://github.com/chiroptical - - - https://avatars.githubusercontent.com/u/129148?s=40&v=4 - tadfisher - https://github.com/tadfisher - - - https://avatars.githubusercontent.com/u/18648043?s=40&v=4 - chessai - https://github.com/chessai - - - https://avatars.githubusercontent.com/u/13813526?s=40&v=4 - josephsumabat - https://github.com/josephsumabat - - - https://avatars.githubusercontent.com/u/3526783?s=40&v=4 - kylebshr - https://github.com/kylebshr - - - - - simonmichael/hledger - https://github.com/simonmichael/hledger - Robust, fast, intuitive plain text accounting tool with CLI, TUI and web interfaces. - https://github.com/simonmichael/hledger + jgm/pandoc + https://github.com/jgm/pandoc + Universal markup converter + https://github.com/jgm/pandoc Haskell #5e5086 - 3,063 - 320 - 65 + 34,795 + 3,387 + 407 - https://avatars.githubusercontent.com/u/10144?s=40&v=4 - simonmichael - https://github.com/simonmichael + https://avatars.githubusercontent.com/u/3044?s=40&v=4 + jgm + https://github.com/jgm - https://avatars.githubusercontent.com/u/18479956?s=40&v=4 - Xitian9 - https://github.com/Xitian9 + https://avatars.githubusercontent.com/u/507994?s=40&v=4 + tarleb + https://github.com/tarleb - https://avatars.githubusercontent.com/u/118058?s=40&v=4 - adept - https://github.com/adept + https://avatars.githubusercontent.com/u/835121?s=40&v=4 + jkr + https://github.com/jkr - https://avatars.githubusercontent.com/u/898989?s=40&v=4 - thielema - https://github.com/thielema + https://avatars.githubusercontent.com/u/1216657?s=40&v=4 + mpickering + https://github.com/mpickering - https://avatars.githubusercontent.com/u/14352645?s=40&v=4 - zhelezov - https://github.com/zhelezov + https://avatars.githubusercontent.com/u/157497?s=40&v=4 + mb21 + https://github.com/mb21 diff --git a/data/monthly/haxe.json b/data/monthly/haxe.json index c11d797db0cc..ab7a9d0c8a00 100644 --- a/data/monthly/haxe.json +++ b/data/monthly/haxe.json @@ -2,7 +2,7 @@ "title": "GitHub Haxe Languages Monthly Trending", "description": "Monthly Trending of Haxe Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ShadowMario/FNF-PsychEngine", @@ -151,43 +151,6 @@ "url": "https://github.com/lemz1" } ] - }, - { - "title": "FunkinCrew/funkin.assets", - "url": "https://github.com/FunkinCrew/funkin.assets", - "description": "", - "language": "Haxe", - "languageColor": "#df7900", - "stars": "51", - "forks": "87", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4635334?s=40&v=4", - "name": "EliteMasterEric", - "url": "https://github.com/EliteMasterEric" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22229331?s=40&v=4", - "name": "ninjamuffin99", - "url": "https://github.com/ninjamuffin99" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60322424?s=40&v=4", - "name": "FabsTheFabs", - "url": "https://github.com/FabsTheFabs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/84867412?s=40&v=4", - "name": "Cheemsandfriends", - "url": "https://github.com/Cheemsandfriends" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/740769?s=40&v=4", - "name": "saharan", - "url": "https://github.com/saharan" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/haxe.xml b/data/monthly/haxe.xml index 61eaf2c1503f..fb37d2dd959f 100644 --- a/data/monthly/haxe.xml +++ b/data/monthly/haxe.xml @@ -3,7 +3,7 @@ GitHub Haxe Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Haxe Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ShadowMario/FNF-PsychEngine https://github.com/ShadowMario/FNF-PsychEngine @@ -156,43 +156,5 @@ - - FunkinCrew/funkin.assets - https://github.com/FunkinCrew/funkin.assets - - https://github.com/FunkinCrew/funkin.assets - Haxe - #df7900 - 51 - 87 - 3 - - - https://avatars.githubusercontent.com/u/4635334?s=40&v=4 - EliteMasterEric - https://github.com/EliteMasterEric - - - https://avatars.githubusercontent.com/u/22229331?s=40&v=4 - ninjamuffin99 - https://github.com/ninjamuffin99 - - - https://avatars.githubusercontent.com/u/60322424?s=40&v=4 - FabsTheFabs - https://github.com/FabsTheFabs - - - https://avatars.githubusercontent.com/u/84867412?s=40&v=4 - Cheemsandfriends - https://github.com/Cheemsandfriends - - - https://avatars.githubusercontent.com/u/740769?s=40&v=4 - saharan - https://github.com/saharan - - - \ No newline at end of file diff --git a/data/monthly/hcl.json b/data/monthly/hcl.json index cf45dcd00266..dc95e7b87487 100644 --- a/data/monthly/hcl.json +++ b/data/monthly/hcl.json @@ -2,7 +2,7 @@ "title": "GitHub Hcl Languages Monthly Trending", "description": "Monthly Trending of Hcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ChristianLempa/boilerplates", @@ -11,7 +11,7 @@ "language": "HCL", "languageColor": "#844FBA", "stars": "4,767", - "forks": "1,540", + "forks": "1,538", "addStars": "147", "contributors": [ { @@ -42,7 +42,7 @@ "description": "End-to-end modular samples and landing zones toolkit for Terraform on GCP.", "language": "HCL", "languageColor": "#844FBA", - "stars": "1,545", + "stars": "1,548", "forks": "888", "addStars": "38", "contributors": [ @@ -110,60 +110,6 @@ } ] }, - { - "title": "philips-labs/terraform-aws-github-runner", - "url": "https://github.com/philips-labs/terraform-aws-github-runner", - "description": "Terraform module for scalable GitHub action runners on AWS", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "2,619", - "forks": "627", - "addStars": "44", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11609620?s=40&v=4", - "name": "npalm", - "url": "https://github.com/npalm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" - } - ] - }, - { - "title": "kube-hetzner/terraform-hcloud-kube-hetzner", - "url": "https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner", - "description": "Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command!", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "2,409", - "forks": "372", - "addStars": "100", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/518555?s=40&v=4", - "name": "mysticaltech", - "url": "https://github.com/mysticaltech" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31509435?s=40&v=4", - "name": "aleksasiriski", - "url": "https://github.com/aleksasiriski" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/101753?s=40&v=4", - "name": "phaer", - "url": "https://github.com/phaer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/116259817?s=40&v=4", - "name": "valkenburg-prevue-ch", - "url": "https://github.com/valkenburg-prevue-ch" - } - ] - }, { "title": "brikis98/terraform-up-and-running-code", "url": "https://github.com/brikis98/terraform-up-and-running-code", @@ -192,39 +138,24 @@ ] }, { - "title": "antonputra/tutorials", - "url": "https://github.com/antonputra/tutorials", - "description": "DevOps Tutorials", + "title": "philips-labs/terraform-aws-github-runner", + "url": "https://github.com/philips-labs/terraform-aws-github-runner", + "description": "Terraform module for scalable GitHub action runners on AWS", "language": "HCL", "languageColor": "#844FBA", - "stars": "3,200", - "forks": "2,502", - "addStars": "238", + "stars": "2,619", + "forks": "627", + "addStars": "44", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9362325?s=40&v=4", - "name": "antonputra", - "url": "https://github.com/antonputra" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/628926?s=40&v=4", - "name": "melroy89", - "url": "https://github.com/melroy89" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/109270?s=40&v=4", - "name": "cookieo9", - "url": "https://github.com/cookieo9" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/290555?s=40&v=4", - "name": "vorandrew", - "url": "https://github.com/vorandrew" + "avatar": "https://avatars.githubusercontent.com/u/11609620?s=40&v=4", + "name": "npalm", + "url": "https://github.com/npalm" }, { - "avatar": "https://avatars.githubusercontent.com/u/1674393?s=40&v=4", - "name": "simpl1g", - "url": "https://github.com/simpl1g" + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" } ] }, @@ -235,7 +166,7 @@ "language": "HCL", "languageColor": "#844FBA", "stars": "1,137", - "forks": "1,669", + "forks": "1,670", "addStars": "23", "contributors": [ { @@ -266,39 +197,34 @@ ] }, { - "title": "terraform-aws-modules/terraform-aws-lambda", - "url": "https://github.com/terraform-aws-modules/terraform-aws-lambda", - "description": "Terraform module, which takes care of a lot of AWS Lambda/serverless tasks (build dependencies, packages, updates, deployments) in countless combinations 🇺🇦", + "title": "kube-hetzner/terraform-hcloud-kube-hetzner", + "url": "https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner", + "description": "Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command!", "language": "HCL", "languageColor": "#844FBA", - "stars": "932", - "forks": "690", - "addStars": "11", + "stars": "2,410", + "forks": "372", + "addStars": "100", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/393243?s=40&v=4", - "name": "antonbabenko", - "url": "https://github.com/antonbabenko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" + "avatar": "https://avatars.githubusercontent.com/u/518555?s=40&v=4", + "name": "mysticaltech", + "url": "https://github.com/mysticaltech" }, { - "avatar": "https://avatars.githubusercontent.com/u/14666676?s=40&v=4", - "name": "ahlinc", - "url": "https://github.com/ahlinc" + "avatar": "https://avatars.githubusercontent.com/u/31509435?s=40&v=4", + "name": "aleksasiriski", + "url": "https://github.com/aleksasiriski" }, { - "avatar": "https://avatars.githubusercontent.com/u/10913471?s=40&v=4", - "name": "bryantbiggs", - "url": "https://github.com/bryantbiggs" + "avatar": "https://avatars.githubusercontent.com/u/101753?s=40&v=4", + "name": "phaer", + "url": "https://github.com/phaer" }, { - "avatar": "https://avatars.githubusercontent.com/u/318490?s=40&v=4", - "name": "pdecat", - "url": "https://github.com/pdecat" + "avatar": "https://avatars.githubusercontent.com/u/116259817?s=40&v=4", + "name": "valkenburg-prevue-ch", + "url": "https://github.com/valkenburg-prevue-ch" } ] }, @@ -340,66 +266,76 @@ ] }, { - "title": "terraform-aws-modules/terraform-aws-iam", - "url": "https://github.com/terraform-aws-modules/terraform-aws-iam", - "description": "Terraform module to create AWS IAM resources 🇺🇦", + "title": "antonputra/tutorials", + "url": "https://github.com/antonputra/tutorials", + "description": "DevOps Tutorials", "language": "HCL", "languageColor": "#844FBA", - "stars": "792", - "forks": "1,007", - "addStars": "8", + "stars": "3,200", + "forks": "2,502", + "addStars": "238", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" + "avatar": "https://avatars.githubusercontent.com/u/9362325?s=40&v=4", + "name": "antonputra", + "url": "https://github.com/antonputra" }, { - "avatar": "https://avatars.githubusercontent.com/u/393243?s=40&v=4", - "name": "antonbabenko", - "url": "https://github.com/antonbabenko" + "avatar": "https://avatars.githubusercontent.com/u/628926?s=40&v=4", + "name": "melroy89", + "url": "https://github.com/melroy89" }, { - "avatar": "https://avatars.githubusercontent.com/u/10913471?s=40&v=4", - "name": "bryantbiggs", - "url": "https://github.com/bryantbiggs" + "avatar": "https://avatars.githubusercontent.com/u/109270?s=40&v=4", + "name": "cookieo9", + "url": "https://github.com/cookieo9" }, { - "avatar": "https://avatars.githubusercontent.com/u/28572351?s=40&v=4", - "name": "betajobot", - "url": "https://github.com/betajobot" + "avatar": "https://avatars.githubusercontent.com/u/290555?s=40&v=4", + "name": "vorandrew", + "url": "https://github.com/vorandrew" }, { - "avatar": "https://avatars.githubusercontent.com/u/16338056?s=40&v=4", - "name": "vutny", - "url": "https://github.com/vutny" + "avatar": "https://avatars.githubusercontent.com/u/1674393?s=40&v=4", + "name": "simpl1g", + "url": "https://github.com/simpl1g" } ] }, { - "title": "terraform-google-modules/terraform-google-network", - "url": "https://github.com/terraform-google-modules/terraform-google-network", - "description": "Sets up a new VPC network on Google Cloud", + "title": "aws-ia/terraform-aws-eks-blueprints-addons", + "url": "https://github.com/aws-ia/terraform-aws-eks-blueprints-addons", + "description": "Terraform module which provisions addons on Amazon EKS clusters", "language": "HCL", "languageColor": "#844FBA", - "stars": "423", - "forks": "1,232", - "addStars": "6", + "stars": "270", + "forks": "127", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1627801?s=40&v=4", - "name": "morgante", - "url": "https://github.com/morgante" + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" }, { - "avatar": "https://avatars.githubusercontent.com/u/10655063?s=40&v=4", - "name": "aaron-lane", - "url": "https://github.com/aaron-lane" + "avatar": "https://avatars.githubusercontent.com/u/10913471?s=40&v=4", + "name": "bryantbiggs", + "url": "https://github.com/bryantbiggs" }, { - "avatar": "https://avatars.githubusercontent.com/u/1406172?s=40&v=4", - "name": "taylorludwig", - "url": "https://github.com/taylorludwig" + "avatar": "https://avatars.githubusercontent.com/u/23385273?s=40&v=4", + "name": "rodrigobersa", + "url": "https://github.com/rodrigobersa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4845260?s=40&v=4", + "name": "askulkarni2", + "url": "https://github.com/askulkarni2" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1094878?s=40&v=4", + "name": "csantanapr", + "url": "https://github.com/csantanapr" } ] }, @@ -436,19 +372,51 @@ ] }, { - "title": "aws-ia/terraform-aws-eks-blueprints-addons", - "url": "https://github.com/aws-ia/terraform-aws-eks-blueprints-addons", - "description": "Terraform module which provisions addons on Amazon EKS clusters", + "title": "terraform-google-modules/terraform-google-network", + "url": "https://github.com/terraform-google-modules/terraform-google-network", + "description": "Sets up a new VPC network on Google Cloud", "language": "HCL", "languageColor": "#844FBA", - "stars": "270", - "forks": "127", - "addStars": "16", + "stars": "423", + "forks": "1,232", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/1627801?s=40&v=4", + "name": "morgante", + "url": "https://github.com/morgante" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10655063?s=40&v=4", + "name": "aaron-lane", + "url": "https://github.com/aaron-lane" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1406172?s=40&v=4", + "name": "taylorludwig", + "url": "https://github.com/taylorludwig" + } + ] + }, + { + "title": "terraform-aws-modules/terraform-aws-iam", + "url": "https://github.com/terraform-aws-modules/terraform-aws-iam", + "description": "Terraform module to create AWS IAM resources 🇺🇦", + "language": "HCL", + "languageColor": "#844FBA", + "stars": "792", + "forks": "1,007", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/393243?s=40&v=4", + "name": "antonbabenko", + "url": "https://github.com/antonbabenko" }, { "avatar": "https://avatars.githubusercontent.com/u/10913471?s=40&v=4", @@ -456,19 +424,14 @@ "url": "https://github.com/bryantbiggs" }, { - "avatar": "https://avatars.githubusercontent.com/u/23385273?s=40&v=4", - "name": "rodrigobersa", - "url": "https://github.com/rodrigobersa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4845260?s=40&v=4", - "name": "askulkarni2", - "url": "https://github.com/askulkarni2" + "avatar": "https://avatars.githubusercontent.com/u/28572351?s=40&v=4", + "name": "betajobot", + "url": "https://github.com/betajobot" }, { - "avatar": "https://avatars.githubusercontent.com/u/1094878?s=40&v=4", - "name": "csantanapr", - "url": "https://github.com/csantanapr" + "avatar": "https://avatars.githubusercontent.com/u/16338056?s=40&v=4", + "name": "vutny", + "url": "https://github.com/vutny" } ] }, @@ -493,6 +456,43 @@ "url": "https://github.com/chambersaj" } ] + }, + { + "title": "terraform-aws-modules/terraform-aws-lambda", + "url": "https://github.com/terraform-aws-modules/terraform-aws-lambda", + "description": "Terraform module, which takes care of a lot of AWS Lambda/serverless tasks (build dependencies, packages, updates, deployments) in countless combinations 🇺🇦", + "language": "HCL", + "languageColor": "#844FBA", + "stars": "932", + "forks": "691", + "addStars": "11", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/393243?s=40&v=4", + "name": "antonbabenko", + "url": "https://github.com/antonbabenko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14666676?s=40&v=4", + "name": "ahlinc", + "url": "https://github.com/ahlinc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10913471?s=40&v=4", + "name": "bryantbiggs", + "url": "https://github.com/bryantbiggs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/318490?s=40&v=4", + "name": "pdecat", + "url": "https://github.com/pdecat" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/hcl.xml b/data/monthly/hcl.xml index cd6937ae0123..8a7822bac834 100644 --- a/data/monthly/hcl.xml +++ b/data/monthly/hcl.xml @@ -3,7 +3,7 @@ GitHub Hcl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ChristianLempa/boilerplates https://github.com/ChristianLempa/boilerplates @@ -12,7 +12,7 @@ HCL #844FBA 4,767 - 1,540 + 1,538 147 @@ -44,7 +44,7 @@ https://github.com/GoogleCloudPlatform/cloud-foundation-fabric HCL #844FBA - 1,545 + 1,548 888 38 @@ -113,62 +113,6 @@ - - philips-labs/terraform-aws-github-runner - https://github.com/philips-labs/terraform-aws-github-runner - Terraform module for scalable GitHub action runners on AWS - https://github.com/philips-labs/terraform-aws-github-runner - HCL - #844FBA - 2,619 - 627 - 44 - - - https://avatars.githubusercontent.com/u/11609620?s=40&v=4 - npalm - https://github.com/npalm - - - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot - - - - - kube-hetzner/terraform-hcloud-kube-hetzner - https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner - Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command! - https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner - HCL - #844FBA - 2,409 - 372 - 100 - - - https://avatars.githubusercontent.com/u/518555?s=40&v=4 - mysticaltech - https://github.com/mysticaltech - - - https://avatars.githubusercontent.com/u/31509435?s=40&v=4 - aleksasiriski - https://github.com/aleksasiriski - - - https://avatars.githubusercontent.com/u/101753?s=40&v=4 - phaer - https://github.com/phaer - - - https://avatars.githubusercontent.com/u/116259817?s=40&v=4 - valkenburg-prevue-ch - https://github.com/valkenburg-prevue-ch - - - brikis98/terraform-up-and-running-code https://github.com/brikis98/terraform-up-and-running-code @@ -198,40 +142,25 @@ - antonputra/tutorials - https://github.com/antonputra/tutorials - DevOps Tutorials - https://github.com/antonputra/tutorials + philips-labs/terraform-aws-github-runner + https://github.com/philips-labs/terraform-aws-github-runner + Terraform module for scalable GitHub action runners on AWS + https://github.com/philips-labs/terraform-aws-github-runner HCL #844FBA - 3,200 - 2,502 - 238 + 2,619 + 627 + 44 - https://avatars.githubusercontent.com/u/9362325?s=40&v=4 - antonputra - https://github.com/antonputra - - - https://avatars.githubusercontent.com/u/628926?s=40&v=4 - melroy89 - https://github.com/melroy89 - - - https://avatars.githubusercontent.com/u/109270?s=40&v=4 - cookieo9 - https://github.com/cookieo9 - - - https://avatars.githubusercontent.com/u/290555?s=40&v=4 - vorandrew - https://github.com/vorandrew + https://avatars.githubusercontent.com/u/11609620?s=40&v=4 + npalm + https://github.com/npalm - https://avatars.githubusercontent.com/u/1674393?s=40&v=4 - simpl1g - https://github.com/simpl1g + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot @@ -243,7 +172,7 @@ HCL #844FBA 1,137 - 1,669 + 1,670 23 @@ -274,40 +203,35 @@ - terraform-aws-modules/terraform-aws-lambda - https://github.com/terraform-aws-modules/terraform-aws-lambda - Terraform module, which takes care of a lot of AWS Lambda/serverless tasks (build dependencies, packages, updates, deployments) in countless combinations 🇺🇦 - https://github.com/terraform-aws-modules/terraform-aws-lambda + kube-hetzner/terraform-hcloud-kube-hetzner + https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner + Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command! + https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner HCL #844FBA - 932 - 690 - 11 + 2,410 + 372 + 100 - https://avatars.githubusercontent.com/u/393243?s=40&v=4 - antonbabenko - https://github.com/antonbabenko - - - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot + https://avatars.githubusercontent.com/u/518555?s=40&v=4 + mysticaltech + https://github.com/mysticaltech - https://avatars.githubusercontent.com/u/14666676?s=40&v=4 - ahlinc - https://github.com/ahlinc + https://avatars.githubusercontent.com/u/31509435?s=40&v=4 + aleksasiriski + https://github.com/aleksasiriski - https://avatars.githubusercontent.com/u/10913471?s=40&v=4 - bryantbiggs - https://github.com/bryantbiggs + https://avatars.githubusercontent.com/u/101753?s=40&v=4 + phaer + https://github.com/phaer - https://avatars.githubusercontent.com/u/318490?s=40&v=4 - pdecat - https://github.com/pdecat + https://avatars.githubusercontent.com/u/116259817?s=40&v=4 + valkenburg-prevue-ch + https://github.com/valkenburg-prevue-ch @@ -350,68 +274,78 @@ - terraform-aws-modules/terraform-aws-iam - https://github.com/terraform-aws-modules/terraform-aws-iam - Terraform module to create AWS IAM resources 🇺🇦 - https://github.com/terraform-aws-modules/terraform-aws-iam + antonputra/tutorials + https://github.com/antonputra/tutorials + DevOps Tutorials + https://github.com/antonputra/tutorials HCL #844FBA - 792 - 1,007 - 8 + 3,200 + 2,502 + 238 - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot + https://avatars.githubusercontent.com/u/9362325?s=40&v=4 + antonputra + https://github.com/antonputra - https://avatars.githubusercontent.com/u/393243?s=40&v=4 - antonbabenko - https://github.com/antonbabenko + https://avatars.githubusercontent.com/u/628926?s=40&v=4 + melroy89 + https://github.com/melroy89 - https://avatars.githubusercontent.com/u/10913471?s=40&v=4 - bryantbiggs - https://github.com/bryantbiggs + https://avatars.githubusercontent.com/u/109270?s=40&v=4 + cookieo9 + https://github.com/cookieo9 - https://avatars.githubusercontent.com/u/28572351?s=40&v=4 - betajobot - https://github.com/betajobot + https://avatars.githubusercontent.com/u/290555?s=40&v=4 + vorandrew + https://github.com/vorandrew - https://avatars.githubusercontent.com/u/16338056?s=40&v=4 - vutny - https://github.com/vutny + https://avatars.githubusercontent.com/u/1674393?s=40&v=4 + simpl1g + https://github.com/simpl1g - terraform-google-modules/terraform-google-network - https://github.com/terraform-google-modules/terraform-google-network - Sets up a new VPC network on Google Cloud - https://github.com/terraform-google-modules/terraform-google-network + aws-ia/terraform-aws-eks-blueprints-addons + https://github.com/aws-ia/terraform-aws-eks-blueprints-addons + Terraform module which provisions addons on Amazon EKS clusters + https://github.com/aws-ia/terraform-aws-eks-blueprints-addons HCL #844FBA - 423 - 1,232 - 6 + 270 + 127 + 16 - https://avatars.githubusercontent.com/u/1627801?s=40&v=4 - morgante - https://github.com/morgante + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user - https://avatars.githubusercontent.com/u/10655063?s=40&v=4 - aaron-lane - https://github.com/aaron-lane + https://avatars.githubusercontent.com/u/10913471?s=40&v=4 + bryantbiggs + https://github.com/bryantbiggs - https://avatars.githubusercontent.com/u/1406172?s=40&v=4 - taylorludwig - https://github.com/taylorludwig + https://avatars.githubusercontent.com/u/23385273?s=40&v=4 + rodrigobersa + https://github.com/rodrigobersa + + + https://avatars.githubusercontent.com/u/4845260?s=40&v=4 + askulkarni2 + https://github.com/askulkarni2 + + + https://avatars.githubusercontent.com/u/1094878?s=40&v=4 + csantanapr + https://github.com/csantanapr @@ -449,20 +383,53 @@ - aws-ia/terraform-aws-eks-blueprints-addons - https://github.com/aws-ia/terraform-aws-eks-blueprints-addons - Terraform module which provisions addons on Amazon EKS clusters - https://github.com/aws-ia/terraform-aws-eks-blueprints-addons + terraform-google-modules/terraform-google-network + https://github.com/terraform-google-modules/terraform-google-network + Sets up a new VPC network on Google Cloud + https://github.com/terraform-google-modules/terraform-google-network HCL #844FBA - 270 - 127 - 16 + 423 + 1,232 + 6 - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/1627801?s=40&v=4 + morgante + https://github.com/morgante + + + https://avatars.githubusercontent.com/u/10655063?s=40&v=4 + aaron-lane + https://github.com/aaron-lane + + + https://avatars.githubusercontent.com/u/1406172?s=40&v=4 + taylorludwig + https://github.com/taylorludwig + + + + + terraform-aws-modules/terraform-aws-iam + https://github.com/terraform-aws-modules/terraform-aws-iam + Terraform module to create AWS IAM resources 🇺🇦 + https://github.com/terraform-aws-modules/terraform-aws-iam + HCL + #844FBA + 792 + 1,007 + 8 + + + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot + + + https://avatars.githubusercontent.com/u/393243?s=40&v=4 + antonbabenko + https://github.com/antonbabenko https://avatars.githubusercontent.com/u/10913471?s=40&v=4 @@ -470,19 +437,14 @@ https://github.com/bryantbiggs - https://avatars.githubusercontent.com/u/23385273?s=40&v=4 - rodrigobersa - https://github.com/rodrigobersa - - - https://avatars.githubusercontent.com/u/4845260?s=40&v=4 - askulkarni2 - https://github.com/askulkarni2 + https://avatars.githubusercontent.com/u/28572351?s=40&v=4 + betajobot + https://github.com/betajobot - https://avatars.githubusercontent.com/u/1094878?s=40&v=4 - csantanapr - https://github.com/csantanapr + https://avatars.githubusercontent.com/u/16338056?s=40&v=4 + vutny + https://github.com/vutny @@ -509,5 +471,43 @@ + + terraform-aws-modules/terraform-aws-lambda + https://github.com/terraform-aws-modules/terraform-aws-lambda + Terraform module, which takes care of a lot of AWS Lambda/serverless tasks (build dependencies, packages, updates, deployments) in countless combinations 🇺🇦 + https://github.com/terraform-aws-modules/terraform-aws-lambda + HCL + #844FBA + 932 + 691 + 11 + + + https://avatars.githubusercontent.com/u/393243?s=40&v=4 + antonbabenko + https://github.com/antonbabenko + + + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot + + + https://avatars.githubusercontent.com/u/14666676?s=40&v=4 + ahlinc + https://github.com/ahlinc + + + https://avatars.githubusercontent.com/u/10913471?s=40&v=4 + bryantbiggs + https://github.com/bryantbiggs + + + https://avatars.githubusercontent.com/u/318490?s=40&v=4 + pdecat + https://github.com/pdecat + + + \ No newline at end of file diff --git a/data/monthly/hiveql.json b/data/monthly/hiveql.json index 144b24f83fdc..2ca4b51047ce 100644 --- a/data/monthly/hiveql.json +++ b/data/monthly/hiveql.json @@ -2,6 +2,6 @@ "title": "GitHub Hiveql Languages Monthly Trending", "description": "Monthly Trending of Hiveql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hiveql.xml b/data/monthly/hiveql.xml index db85fba1af97..999e53383b0d 100644 --- a/data/monthly/hiveql.xml +++ b/data/monthly/hiveql.xml @@ -3,6 +3,6 @@ GitHub Hiveql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hiveql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/hlsl.json b/data/monthly/hlsl.json index 1d7e60f7dcb1..f8da885fe9a0 100644 --- a/data/monthly/hlsl.json +++ b/data/monthly/hlsl.json @@ -2,7 +2,7 @@ "title": "GitHub Hlsl Languages Monthly Trending", "description": "Monthly Trending of Hlsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Blinue/Magpie", @@ -10,7 +10,7 @@ "description": "An all-purpose window upscaler for Windows 10/11.", "language": "HLSL", "languageColor": "#aace60", - "stars": "9,663", + "stars": "9,665", "forks": "496", "addStars": "361", "contributors": [ diff --git a/data/monthly/hlsl.xml b/data/monthly/hlsl.xml index c58a7fb502b6..582847a8e1b8 100644 --- a/data/monthly/hlsl.xml +++ b/data/monthly/hlsl.xml @@ -3,7 +3,7 @@ GitHub Hlsl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hlsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Blinue/Magpie https://github.com/Blinue/Magpie @@ -11,7 +11,7 @@ https://github.com/Blinue/Magpie HLSL #aace60 - 9,663 + 9,665 496 361 diff --git a/data/monthly/hocon.json b/data/monthly/hocon.json index cf8bd4651f6f..7adbe7354ecc 100644 --- a/data/monthly/hocon.json +++ b/data/monthly/hocon.json @@ -2,6 +2,6 @@ "title": "GitHub Hocon Languages Monthly Trending", "description": "Monthly Trending of Hocon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hocon.xml b/data/monthly/hocon.xml index 4029c68021b3..36a63ae4c56b 100644 --- a/data/monthly/hocon.xml +++ b/data/monthly/hocon.xml @@ -3,6 +3,6 @@ GitHub Hocon Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hocon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/holyc.json b/data/monthly/holyc.json index 6738c2b0c8aa..e2f5d7da5090 100644 --- a/data/monthly/holyc.json +++ b/data/monthly/holyc.json @@ -2,6 +2,6 @@ "title": "GitHub Holyc Languages Monthly Trending", "description": "Monthly Trending of Holyc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/holyc.xml b/data/monthly/holyc.xml index 09d7bb5888bf..791533590bd6 100644 --- a/data/monthly/holyc.xml +++ b/data/monthly/holyc.xml @@ -3,6 +3,6 @@ GitHub Holyc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Holyc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/hoon.json b/data/monthly/hoon.json index 039f7ab2fe24..6c2d11e72d34 100644 --- a/data/monthly/hoon.json +++ b/data/monthly/hoon.json @@ -2,6 +2,6 @@ "title": "GitHub Hoon Languages Monthly Trending", "description": "Monthly Trending of Hoon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hoon.xml b/data/monthly/hoon.xml index 6769e9e27c70..6dc12b889df3 100644 --- a/data/monthly/hoon.xml +++ b/data/monthly/hoon.xml @@ -3,6 +3,6 @@ GitHub Hoon Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hoon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/hosts-file.json b/data/monthly/hosts-file.json index 869e1ce057d7..6123a3edc60f 100644 --- a/data/monthly/hosts-file.json +++ b/data/monthly/hosts-file.json @@ -2,6 +2,6 @@ "title": "GitHub Hosts-file Languages Monthly Trending", "description": "Monthly Trending of Hosts-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hosts-file.xml b/data/monthly/hosts-file.xml index 218dd6fcf999..366346a86ff8 100644 --- a/data/monthly/hosts-file.xml +++ b/data/monthly/hosts-file.xml @@ -3,6 +3,6 @@ GitHub Hosts-file Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hosts-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/html+ecr.json b/data/monthly/html+ecr.json index 404425cad453..d86d5a0fc0cc 100644 --- a/data/monthly/html+ecr.json +++ b/data/monthly/html+ecr.json @@ -2,6 +2,6 @@ "title": "GitHub Html+ecr Languages Monthly Trending", "description": "Monthly Trending of Html+ecr Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/html+ecr.xml b/data/monthly/html+ecr.xml index 24ddb73104bd..046be05953c7 100644 --- a/data/monthly/html+ecr.xml +++ b/data/monthly/html+ecr.xml @@ -3,6 +3,6 @@ GitHub Html+ecr Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Html+ecr Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/html+eex.json b/data/monthly/html+eex.json index 33f409f25196..80d1ff3deaae 100644 --- a/data/monthly/html+eex.json +++ b/data/monthly/html+eex.json @@ -2,6 +2,6 @@ "title": "GitHub Html+eex Languages Monthly Trending", "description": "Monthly Trending of Html+eex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/html+eex.xml b/data/monthly/html+eex.xml index b13b8e7f36f4..491ec49cf7fc 100644 --- a/data/monthly/html+eex.xml +++ b/data/monthly/html+eex.xml @@ -3,6 +3,6 @@ GitHub Html+eex Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Html+eex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/html+erb.json b/data/monthly/html+erb.json index 6ed145fb39e5..732a062b35e5 100644 --- a/data/monthly/html+erb.json +++ b/data/monthly/html+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Html+erb Languages Monthly Trending", "description": "Monthly Trending of Html+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/html+erb.xml b/data/monthly/html+erb.xml index 468f9f516b07..db58394d6f7f 100644 --- a/data/monthly/html+erb.xml +++ b/data/monthly/html+erb.xml @@ -3,6 +3,6 @@ GitHub Html+erb Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Html+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/html+php.json b/data/monthly/html+php.json index 528a0c07065f..e35645664207 100644 --- a/data/monthly/html+php.json +++ b/data/monthly/html+php.json @@ -2,6 +2,6 @@ "title": "GitHub Html+php Languages Monthly Trending", "description": "Monthly Trending of Html+php Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/html+php.xml b/data/monthly/html+php.xml index 54fd1df1496e..6bc709ea8ddc 100644 --- a/data/monthly/html+php.xml +++ b/data/monthly/html+php.xml @@ -3,6 +3,6 @@ GitHub Html+php Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Html+php Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/html+razor.json b/data/monthly/html+razor.json index a3e9c41f56d7..83ed8f63e1ee 100644 --- a/data/monthly/html+razor.json +++ b/data/monthly/html+razor.json @@ -2,6 +2,6 @@ "title": "GitHub Html+razor Languages Monthly Trending", "description": "Monthly Trending of Html+razor Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/html+razor.xml b/data/monthly/html+razor.xml index b0a95b8f1af6..ed4d5d023a3e 100644 --- a/data/monthly/html+razor.xml +++ b/data/monthly/html+razor.xml @@ -3,6 +3,6 @@ GitHub Html+razor Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Html+razor Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/html.json b/data/monthly/html.json index ae7809ec69f0..c298e8cd843f 100644 --- a/data/monthly/html.json +++ b/data/monthly/html.json @@ -2,7 +2,7 @@ "title": "GitHub Html Languages Monthly Trending", "description": "Monthly Trending of Html Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "htr-tech/zphisher", @@ -10,8 +10,8 @@ "description": "An automated phishing tool with 30+ templates. This Tool is made for educational purpose only ! Author will not be responsible for any misuse of this toolkit !", "language": "HTML", "languageColor": "#e34c26", - "stars": "11,511", - "forks": "3,878", + "stars": "11,515", + "forks": "3,880", "addStars": "205", "contributors": [ { @@ -47,7 +47,7 @@ "description": "Open source courseware for Git and GitHub", "language": "HTML", "languageColor": "#e34c26", - "stars": "4,359", + "stars": "4,360", "forks": "4,359", "addStars": "58", "contributors": [ @@ -85,7 +85,7 @@ "language": "HTML", "languageColor": "#e34c26", "stars": "10,288", - "forks": "2,728", + "forks": "2,729", "addStars": "472", "contributors": [ { @@ -121,7 +121,7 @@ "description": "Convert PDF to HTML without losing text or format.", "language": "HTML", "languageColor": "#e34c26", - "stars": "4,527", + "stars": "4,530", "forks": "418", "addStars": "745", "contributors": [ @@ -158,7 +158,7 @@ "description": "Open-source developer platform to power your entire infra and turn scripts into webhooks, workflows and UIs. Fastest workflow engine (13x vs Airflow). Open-source alternative to Retool and Temporal.", "language": "HTML", "languageColor": "#e34c26", - "stars": "10,997", + "stars": "10,998", "forks": "536", "addStars": "1,014", "contributors": [ @@ -185,39 +185,34 @@ ] }, { - "title": "docker/awesome-compose", - "url": "https://github.com/docker/awesome-compose", - "description": "Awesome Docker Compose samples", + "title": "home-assistant/home-assistant.io", + "url": "https://github.com/home-assistant/home-assistant.io", + "description": "📘 Home Assistant User documentation", "language": "HTML", "languageColor": "#e34c26", - "stars": "35,621", - "forks": "6,746", - "addStars": "1,177", + "stars": "5,015", + "forks": "7,307", + "addStars": "137", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/705411?s=40&v=4", - "name": "glours", - "url": "https://github.com/glours" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/809903?s=40&v=4", - "name": "aiordache", - "url": "https://github.com/aiordache" + "avatar": "https://avatars.githubusercontent.com/u/195327?s=40&v=4", + "name": "frenck", + "url": "https://github.com/frenck" }, { - "avatar": "https://avatars.githubusercontent.com/u/841263?s=40&v=4", - "name": "milas", - "url": "https://github.com/milas" + "avatar": "https://avatars.githubusercontent.com/u/116184?s=40&v=4", + "name": "fabaff", + "url": "https://github.com/fabaff" }, { - "avatar": "https://avatars.githubusercontent.com/u/6329508?s=40&v=4", - "name": "jdrouet", - "url": "https://github.com/jdrouet" + "avatar": "https://avatars.githubusercontent.com/u/1444314?s=40&v=4", + "name": "balloob", + "url": "https://github.com/balloob" }, { - "avatar": "https://avatars.githubusercontent.com/u/31759512?s=40&v=4", - "name": "LeonStoldt", - "url": "https://github.com/LeonStoldt" + "avatar": "https://avatars.githubusercontent.com/u/38767475?s=40&v=4", + "name": "c0ffeeca7", + "url": "https://github.com/c0ffeeca7" } ] }, @@ -227,7 +222,7 @@ "description": "Grab cam shots from target's phone front camera or PC webcam just sending a link.", "language": "HTML", "languageColor": "#e34c26", - "stars": "3,239", + "stars": "3,240", "forks": "877", "addStars": "84", "contributors": [ @@ -243,14 +238,51 @@ } ] }, + { + "title": "docker/awesome-compose", + "url": "https://github.com/docker/awesome-compose", + "description": "Awesome Docker Compose samples", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "35,629", + "forks": "6,747", + "addStars": "1,177", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/705411?s=40&v=4", + "name": "glours", + "url": "https://github.com/glours" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/809903?s=40&v=4", + "name": "aiordache", + "url": "https://github.com/aiordache" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/841263?s=40&v=4", + "name": "milas", + "url": "https://github.com/milas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6329508?s=40&v=4", + "name": "jdrouet", + "url": "https://github.com/jdrouet" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31759512?s=40&v=4", + "name": "LeonStoldt", + "url": "https://github.com/LeonStoldt" + } + ] + }, { "title": "kananinirav/AWS-Certified-Cloud-Practitioner-Notes", "url": "https://github.com/kananinirav/AWS-Certified-Cloud-Practitioner-Notes", "description": "AWS Certified Cloud Practitioner Short Notes And Practice Exams (CLF-C02)", "language": "HTML", "languageColor": "#e34c26", - "stars": "1,932", - "forks": "673", + "stars": "1,934", + "forks": "675", "addStars": "194", "contributors": [ { @@ -287,7 +319,7 @@ "language": "HTML", "languageColor": "#e34c26", "stars": "4,386", - "forks": "7,102", + "forks": "7,103", "addStars": "135", "contributors": [ { @@ -317,45 +349,13 @@ } ] }, - { - "title": "home-assistant/home-assistant.io", - "url": "https://github.com/home-assistant/home-assistant.io", - "description": "📘 Home Assistant User documentation", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "5,014", - "forks": "7,307", - "addStars": "137", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/195327?s=40&v=4", - "name": "frenck", - "url": "https://github.com/frenck" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/116184?s=40&v=4", - "name": "fabaff", - "url": "https://github.com/fabaff" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1444314?s=40&v=4", - "name": "balloob", - "url": "https://github.com/balloob" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38767475?s=40&v=4", - "name": "c0ffeeca7", - "url": "https://github.com/c0ffeeca7" - } - ] - }, { "title": "squidfunk/mkdocs-material", "url": "https://github.com/squidfunk/mkdocs-material", "description": "Documentation that simply works", "language": "HTML", "languageColor": "#e34c26", - "stars": "21,124", + "stars": "21,131", "forks": "3,569", "addStars": "435", "contributors": [ @@ -376,45 +376,13 @@ } ] }, - { - "title": "google/styleguide", - "url": "https://github.com/google/styleguide", - "description": "Style guides for Google-originated open-source projects", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "37,529", - "forks": "13,302", - "addStars": "176", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1343864?s=40&v=4", - "name": "tonyruscoe", - "url": "https://github.com/tonyruscoe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/243524?s=40&v=4", - "name": "IsaacG", - "url": "https://github.com/IsaacG" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12462303?s=40&v=4", - "name": "tituswinters", - "url": "https://github.com/tituswinters" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68491?s=40&v=4", - "name": "gpshead", - "url": "https://github.com/gpshead" - } - ] - }, { "title": "CaiJimmy/hugo-theme-stack", "url": "https://github.com/CaiJimmy/hugo-theme-stack", "description": "Card-style Hugo theme designed for bloggers", "language": "HTML", "languageColor": "#e34c26", - "stars": "5,071", + "stars": "5,072", "forks": "1,671", "addStars": "133", "contributors": [ @@ -445,14 +413,41 @@ } ] }, + { + "title": "cotes2020/jekyll-theme-chirpy", + "url": "https://github.com/cotes2020/jekyll-theme-chirpy", + "description": "A minimal, responsive, and feature-rich Jekyll theme for technical writing.", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "7,591", + "forks": "5,949", + "addStars": "232", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11371340?s=40&v=4", + "name": "cotes2020", + "url": "https://github.com/cotes2020" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10548881?s=40&v=4", + "name": "kungfux", + "url": "https://github.com/kungfux" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" + } + ] + }, { "title": "mmistakes/minimal-mistakes", "url": "https://github.com/mmistakes/minimal-mistakes", "description": "📐 Jekyll theme for building a personal site, blog, project documentation, or portfolio.", "language": "HTML", "languageColor": "#e34c26", - "stars": "12,460", - "forks": "25,674", + "stars": "12,463", + "forks": "25,681", "addStars": "123", "contributors": [ { @@ -483,103 +478,71 @@ ] }, { - "title": "QSCTech/zju-icicles", - "url": "https://github.com/QSCTech/zju-icicles", - "description": "浙江大学课程攻略共享计划", + "title": "rfordatascience/tidytuesday", + "url": "https://github.com/rfordatascience/tidytuesday", + "description": "Official repo for the #tidytuesday project", "language": "HTML", "languageColor": "#e34c26", - "stars": "37,389", - "forks": "9,449", - "addStars": "252", + "stars": "6,926", + "forks": "2,410", + "addStars": "92", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/56341685?s=40&v=4", - "name": "palemoons", - "url": "https://github.com/palemoons" + "avatar": "https://avatars.githubusercontent.com/u/29187501?s=40&v=4", + "name": "jthomasmock", + "url": "https://github.com/jthomasmock" }, { - "avatar": "https://avatars.githubusercontent.com/u/60426396?s=40&v=4", - "name": "LukeLIN-web", - "url": "https://github.com/LukeLIN-web" + "avatar": "https://avatars.githubusercontent.com/u/33983824?s=40&v=4", + "name": "jonthegeek", + "url": "https://github.com/jonthegeek" }, { - "avatar": "https://avatars.githubusercontent.com/u/17450343?s=40&v=4", - "name": "tespent", - "url": "https://github.com/tespent" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40828563?s=40&v=4", - "name": "dougefla", - "url": "https://github.com/dougefla" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60204373?s=40&v=4", - "name": "OE-Heart", - "url": "https://github.com/OE-Heart" - } - ] - }, - { - "title": "cotes2020/jekyll-theme-chirpy", - "url": "https://github.com/cotes2020/jekyll-theme-chirpy", - "description": "A minimal, responsive, and feature-rich Jekyll theme for technical writing.", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "7,588", - "forks": "5,943", - "addStars": "232", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11371340?s=40&v=4", - "name": "cotes2020", - "url": "https://github.com/cotes2020" + "avatar": "https://avatars.githubusercontent.com/u/889238?s=40&v=4", + "name": "tracykteal", + "url": "https://github.com/tracykteal" }, { - "avatar": "https://avatars.githubusercontent.com/u/10548881?s=40&v=4", - "name": "kungfux", - "url": "https://github.com/kungfux" + "avatar": "https://avatars.githubusercontent.com/u/22481467?s=40&v=4", + "name": "thebioengineer", + "url": "https://github.com/thebioengineer" }, { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" + "avatar": "https://avatars.githubusercontent.com/u/61802414?s=40&v=4", + "name": "Fgazzelloni", + "url": "https://github.com/Fgazzelloni" } ] }, { - "title": "Chuyu-Team/Dism-Multi-language", - "url": "https://github.com/Chuyu-Team/Dism-Multi-language", - "description": "Dism++ Multi-language Support & BUG Report", + "title": "google/styleguide", + "url": "https://github.com/google/styleguide", + "description": "Style guides for Google-originated open-source projects", "language": "HTML", "languageColor": "#e34c26", - "stars": "15,201", - "forks": "1,026", - "addStars": "285", + "stars": "37,531", + "forks": "13,303", + "addStars": "176", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19919717?s=40&v=4", - "name": "mingkuang-Chuyu", - "url": "https://github.com/mingkuang-Chuyu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1387035?s=40&v=4", - "name": "verdy-p", - "url": "https://github.com/verdy-p" + "avatar": "https://avatars.githubusercontent.com/u/1343864?s=40&v=4", + "name": "tonyruscoe", + "url": "https://github.com/tonyruscoe" }, { - "avatar": "https://avatars.githubusercontent.com/u/17091825?s=40&v=4", - "name": "kulaLin", - "url": "https://github.com/kulaLin" + "avatar": "https://avatars.githubusercontent.com/u/243524?s=40&v=4", + "name": "IsaacG", + "url": "https://github.com/IsaacG" }, { - "avatar": "https://avatars.githubusercontent.com/u/30766228?s=40&v=4", - "name": "MS-PC2", - "url": "https://github.com/MS-PC2" + "avatar": "https://avatars.githubusercontent.com/u/12462303?s=40&v=4", + "name": "tituswinters", + "url": "https://github.com/tituswinters" }, { - "avatar": "https://avatars.githubusercontent.com/u/10867563?s=40&v=4", - "name": "MouriNaruto", - "url": "https://github.com/MouriNaruto" + "avatar": "https://avatars.githubusercontent.com/u/68491?s=40&v=4", + "name": "gpshead", + "url": "https://github.com/gpshead" } ] } diff --git a/data/monthly/html.xml b/data/monthly/html.xml index 5cf1e5f549bc..eae8b6833e3f 100644 --- a/data/monthly/html.xml +++ b/data/monthly/html.xml @@ -3,7 +3,7 @@ GitHub Html Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Html Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT htr-tech/zphisher https://github.com/htr-tech/zphisher @@ -11,8 +11,8 @@ https://github.com/htr-tech/zphisher HTML #e34c26 - 11,511 - 3,878 + 11,515 + 3,880 205 @@ -49,7 +49,7 @@ https://github.com/github/training-kit HTML #e34c26 - 4,359 + 4,360 4,359 58 @@ -88,7 +88,7 @@ HTML #e34c26 10,288 - 2,728 + 2,729 472 @@ -125,7 +125,7 @@ https://github.com/pdf2htmlEX/pdf2htmlEX HTML #e34c26 - 4,527 + 4,530 418 745 @@ -163,7 +163,7 @@ https://github.com/windmill-labs/windmill HTML #e34c26 - 10,997 + 10,998 536 1,014 @@ -190,40 +190,35 @@ - docker/awesome-compose - https://github.com/docker/awesome-compose - Awesome Docker Compose samples - https://github.com/docker/awesome-compose + home-assistant/home-assistant.io + https://github.com/home-assistant/home-assistant.io + 📘 Home Assistant User documentation + https://github.com/home-assistant/home-assistant.io HTML #e34c26 - 35,621 - 6,746 - 1,177 + 5,015 + 7,307 + 137 - https://avatars.githubusercontent.com/u/705411?s=40&v=4 - glours - https://github.com/glours - - - https://avatars.githubusercontent.com/u/809903?s=40&v=4 - aiordache - https://github.com/aiordache + https://avatars.githubusercontent.com/u/195327?s=40&v=4 + frenck + https://github.com/frenck - https://avatars.githubusercontent.com/u/841263?s=40&v=4 - milas - https://github.com/milas + https://avatars.githubusercontent.com/u/116184?s=40&v=4 + fabaff + https://github.com/fabaff - https://avatars.githubusercontent.com/u/6329508?s=40&v=4 - jdrouet - https://github.com/jdrouet + https://avatars.githubusercontent.com/u/1444314?s=40&v=4 + balloob + https://github.com/balloob - https://avatars.githubusercontent.com/u/31759512?s=40&v=4 - LeonStoldt - https://github.com/LeonStoldt + https://avatars.githubusercontent.com/u/38767475?s=40&v=4 + c0ffeeca7 + https://github.com/c0ffeeca7 @@ -234,7 +229,7 @@ https://github.com/techchipnet/CamPhish HTML #e34c26 - 3,239 + 3,240 877 84 @@ -250,6 +245,44 @@ + + docker/awesome-compose + https://github.com/docker/awesome-compose + Awesome Docker Compose samples + https://github.com/docker/awesome-compose + HTML + #e34c26 + 35,629 + 6,747 + 1,177 + + + https://avatars.githubusercontent.com/u/705411?s=40&v=4 + glours + https://github.com/glours + + + https://avatars.githubusercontent.com/u/809903?s=40&v=4 + aiordache + https://github.com/aiordache + + + https://avatars.githubusercontent.com/u/841263?s=40&v=4 + milas + https://github.com/milas + + + https://avatars.githubusercontent.com/u/6329508?s=40&v=4 + jdrouet + https://github.com/jdrouet + + + https://avatars.githubusercontent.com/u/31759512?s=40&v=4 + LeonStoldt + https://github.com/LeonStoldt + + + kananinirav/AWS-Certified-Cloud-Practitioner-Notes https://github.com/kananinirav/AWS-Certified-Cloud-Practitioner-Notes @@ -257,8 +290,8 @@ https://github.com/kananinirav/AWS-Certified-Cloud-Practitioner-Notes HTML #e34c26 - 1,932 - 673 + 1,934 + 675 194 @@ -296,7 +329,7 @@ HTML #e34c26 4,386 - 7,102 + 7,103 135 @@ -326,39 +359,6 @@ - - home-assistant/home-assistant.io - https://github.com/home-assistant/home-assistant.io - 📘 Home Assistant User documentation - https://github.com/home-assistant/home-assistant.io - HTML - #e34c26 - 5,014 - 7,307 - 137 - - - https://avatars.githubusercontent.com/u/195327?s=40&v=4 - frenck - https://github.com/frenck - - - https://avatars.githubusercontent.com/u/116184?s=40&v=4 - fabaff - https://github.com/fabaff - - - https://avatars.githubusercontent.com/u/1444314?s=40&v=4 - balloob - https://github.com/balloob - - - https://avatars.githubusercontent.com/u/38767475?s=40&v=4 - c0ffeeca7 - https://github.com/c0ffeeca7 - - - squidfunk/mkdocs-material https://github.com/squidfunk/mkdocs-material @@ -366,7 +366,7 @@ https://github.com/squidfunk/mkdocs-material HTML #e34c26 - 21,124 + 21,131 3,569 435 @@ -387,39 +387,6 @@ - - google/styleguide - https://github.com/google/styleguide - Style guides for Google-originated open-source projects - https://github.com/google/styleguide - HTML - #e34c26 - 37,529 - 13,302 - 176 - - - https://avatars.githubusercontent.com/u/1343864?s=40&v=4 - tonyruscoe - https://github.com/tonyruscoe - - - https://avatars.githubusercontent.com/u/243524?s=40&v=4 - IsaacG - https://github.com/IsaacG - - - https://avatars.githubusercontent.com/u/12462303?s=40&v=4 - tituswinters - https://github.com/tituswinters - - - https://avatars.githubusercontent.com/u/68491?s=40&v=4 - gpshead - https://github.com/gpshead - - - CaiJimmy/hugo-theme-stack https://github.com/CaiJimmy/hugo-theme-stack @@ -427,7 +394,7 @@ https://github.com/CaiJimmy/hugo-theme-stack HTML #e34c26 - 5,071 + 5,072 1,671 133 @@ -458,6 +425,34 @@ + + cotes2020/jekyll-theme-chirpy + https://github.com/cotes2020/jekyll-theme-chirpy + A minimal, responsive, and feature-rich Jekyll theme for technical writing. + https://github.com/cotes2020/jekyll-theme-chirpy + HTML + #e34c26 + 7,591 + 5,949 + 232 + + + https://avatars.githubusercontent.com/u/11371340?s=40&v=4 + cotes2020 + https://github.com/cotes2020 + + + https://avatars.githubusercontent.com/u/10548881?s=40&v=4 + kungfux + https://github.com/kungfux + + + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot + + + mmistakes/minimal-mistakes https://github.com/mmistakes/minimal-mistakes @@ -465,8 +460,8 @@ https://github.com/mmistakes/minimal-mistakes HTML #e34c26 - 12,460 - 25,674 + 12,463 + 25,681 123 @@ -497,106 +492,73 @@ - QSCTech/zju-icicles - https://github.com/QSCTech/zju-icicles - 浙江大学课程攻略共享计划 - https://github.com/QSCTech/zju-icicles + rfordatascience/tidytuesday + https://github.com/rfordatascience/tidytuesday + Official repo for the #tidytuesday project + https://github.com/rfordatascience/tidytuesday HTML #e34c26 - 37,389 - 9,449 - 252 + 6,926 + 2,410 + 92 - https://avatars.githubusercontent.com/u/56341685?s=40&v=4 - palemoons - https://github.com/palemoons - - - https://avatars.githubusercontent.com/u/60426396?s=40&v=4 - LukeLIN-web - https://github.com/LukeLIN-web - - - https://avatars.githubusercontent.com/u/17450343?s=40&v=4 - tespent - https://github.com/tespent - - - https://avatars.githubusercontent.com/u/40828563?s=40&v=4 - dougefla - https://github.com/dougefla + https://avatars.githubusercontent.com/u/29187501?s=40&v=4 + jthomasmock + https://github.com/jthomasmock - https://avatars.githubusercontent.com/u/60204373?s=40&v=4 - OE-Heart - https://github.com/OE-Heart + https://avatars.githubusercontent.com/u/33983824?s=40&v=4 + jonthegeek + https://github.com/jonthegeek - - - - cotes2020/jekyll-theme-chirpy - https://github.com/cotes2020/jekyll-theme-chirpy - A minimal, responsive, and feature-rich Jekyll theme for technical writing. - https://github.com/cotes2020/jekyll-theme-chirpy - HTML - #e34c26 - 7,588 - 5,943 - 232 - - https://avatars.githubusercontent.com/u/11371340?s=40&v=4 - cotes2020 - https://github.com/cotes2020 + https://avatars.githubusercontent.com/u/889238?s=40&v=4 + tracykteal + https://github.com/tracykteal - https://avatars.githubusercontent.com/u/10548881?s=40&v=4 - kungfux - https://github.com/kungfux + https://avatars.githubusercontent.com/u/22481467?s=40&v=4 + thebioengineer + https://github.com/thebioengineer - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot + https://avatars.githubusercontent.com/u/61802414?s=40&v=4 + Fgazzelloni + https://github.com/Fgazzelloni - Chuyu-Team/Dism-Multi-language - https://github.com/Chuyu-Team/Dism-Multi-language - Dism++ Multi-language Support & BUG Report - https://github.com/Chuyu-Team/Dism-Multi-language + google/styleguide + https://github.com/google/styleguide + Style guides for Google-originated open-source projects + https://github.com/google/styleguide HTML #e34c26 - 15,201 - 1,026 - 285 + 37,531 + 13,303 + 176 - https://avatars.githubusercontent.com/u/19919717?s=40&v=4 - mingkuang-Chuyu - https://github.com/mingkuang-Chuyu - - - https://avatars.githubusercontent.com/u/1387035?s=40&v=4 - verdy-p - https://github.com/verdy-p + https://avatars.githubusercontent.com/u/1343864?s=40&v=4 + tonyruscoe + https://github.com/tonyruscoe - https://avatars.githubusercontent.com/u/17091825?s=40&v=4 - kulaLin - https://github.com/kulaLin + https://avatars.githubusercontent.com/u/243524?s=40&v=4 + IsaacG + https://github.com/IsaacG - https://avatars.githubusercontent.com/u/30766228?s=40&v=4 - MS-PC2 - https://github.com/MS-PC2 + https://avatars.githubusercontent.com/u/12462303?s=40&v=4 + tituswinters + https://github.com/tituswinters - https://avatars.githubusercontent.com/u/10867563?s=40&v=4 - MouriNaruto - https://github.com/MouriNaruto + https://avatars.githubusercontent.com/u/68491?s=40&v=4 + gpshead + https://github.com/gpshead diff --git a/data/monthly/http.json b/data/monthly/http.json index c9b7a1a3b5f6..fb8952b4105a 100644 --- a/data/monthly/http.json +++ b/data/monthly/http.json @@ -2,6 +2,6 @@ "title": "GitHub Http Languages Monthly Trending", "description": "Monthly Trending of Http Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/http.xml b/data/monthly/http.xml index 85373727633d..ead673908b9a 100644 --- a/data/monthly/http.xml +++ b/data/monthly/http.xml @@ -3,6 +3,6 @@ GitHub Http Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Http Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/hxml.json b/data/monthly/hxml.json index 87fbe08e8720..8e539bdabab2 100644 --- a/data/monthly/hxml.json +++ b/data/monthly/hxml.json @@ -2,6 +2,6 @@ "title": "GitHub Hxml Languages Monthly Trending", "description": "Monthly Trending of Hxml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hxml.xml b/data/monthly/hxml.xml index 4e121fc6eca7..326e71344ff6 100644 --- a/data/monthly/hxml.xml +++ b/data/monthly/hxml.xml @@ -3,6 +3,6 @@ GitHub Hxml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hxml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/hy.json b/data/monthly/hy.json index 91f387cf851d..91283bbc55e5 100644 --- a/data/monthly/hy.json +++ b/data/monthly/hy.json @@ -2,6 +2,6 @@ "title": "GitHub Hy Languages Monthly Trending", "description": "Monthly Trending of Hy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hy.xml b/data/monthly/hy.xml index 143fba49e955..640881e9927c 100644 --- a/data/monthly/hy.xml +++ b/data/monthly/hy.xml @@ -3,6 +3,6 @@ GitHub Hy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/hyphy.json b/data/monthly/hyphy.json index 01a234241962..9cf0e0c34329 100644 --- a/data/monthly/hyphy.json +++ b/data/monthly/hyphy.json @@ -2,6 +2,6 @@ "title": "GitHub Hyphy Languages Monthly Trending", "description": "Monthly Trending of Hyphy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/hyphy.xml b/data/monthly/hyphy.xml index c91188c0bd8d..ef4c12920a24 100644 --- a/data/monthly/hyphy.xml +++ b/data/monthly/hyphy.xml @@ -3,6 +3,6 @@ GitHub Hyphy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Hyphy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/idl.json b/data/monthly/idl.json index cc327e545c95..7dcca09eea14 100644 --- a/data/monthly/idl.json +++ b/data/monthly/idl.json @@ -2,6 +2,6 @@ "title": "GitHub Idl Languages Monthly Trending", "description": "Monthly Trending of Idl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/idl.xml b/data/monthly/idl.xml index 778304f5c7d4..6bb965d2d0a7 100644 --- a/data/monthly/idl.xml +++ b/data/monthly/idl.xml @@ -3,6 +3,6 @@ GitHub Idl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Idl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/idris.json b/data/monthly/idris.json index 38fb05111940..8a5ef40ca82d 100644 --- a/data/monthly/idris.json +++ b/data/monthly/idris.json @@ -2,44 +2,6 @@ "title": "GitHub Idris Languages Monthly Trending", "description": "Monthly Trending of Idris Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "idris-lang/Idris2", - "url": "https://github.com/idris-lang/Idris2", - "description": "A purely functional programming language with first class types", - "language": "Idris", - "languageColor": "#b30000", - "stars": "2,530", - "forks": "378", - "addStars": "26", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1094905?s=40&v=4", - "name": "gallais", - "url": "https://github.com/gallais" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/255926?s=40&v=4", - "name": "edwinb", - "url": "https://github.com/edwinb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2602116?s=40&v=4", - "name": "buzden", - "url": "https://github.com/buzden" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/219997?s=40&v=4", - "name": "melted", - "url": "https://github.com/melted" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25706208?s=40&v=4", - "name": "andrevidela", - "url": "https://github.com/andrevidela" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/monthly/idris.xml b/data/monthly/idris.xml index 6eada703c990..78147905417f 100644 --- a/data/monthly/idris.xml +++ b/data/monthly/idris.xml @@ -3,44 +3,6 @@ GitHub Idris Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Idris Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - idris-lang/Idris2 - https://github.com/idris-lang/Idris2 - A purely functional programming language with first class types - https://github.com/idris-lang/Idris2 - Idris - #b30000 - 2,530 - 378 - 26 - - - https://avatars.githubusercontent.com/u/1094905?s=40&v=4 - gallais - https://github.com/gallais - - - https://avatars.githubusercontent.com/u/255926?s=40&v=4 - edwinb - https://github.com/edwinb - - - https://avatars.githubusercontent.com/u/2602116?s=40&v=4 - buzden - https://github.com/buzden - - - https://avatars.githubusercontent.com/u/219997?s=40&v=4 - melted - https://github.com/melted - - - https://avatars.githubusercontent.com/u/25706208?s=40&v=4 - andrevidela - https://github.com/andrevidela - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ignore-list.json b/data/monthly/ignore-list.json index 9ecdf64aaf26..156d49d13c09 100644 --- a/data/monthly/ignore-list.json +++ b/data/monthly/ignore-list.json @@ -2,6 +2,6 @@ "title": "GitHub Ignore-list Languages Monthly Trending", "description": "Monthly Trending of Ignore-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ignore-list.xml b/data/monthly/ignore-list.xml index 9b0a687c9f3a..4ff780e1bcbf 100644 --- a/data/monthly/ignore-list.xml +++ b/data/monthly/ignore-list.xml @@ -3,6 +3,6 @@ GitHub Ignore-list Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ignore-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/igor-pro.json b/data/monthly/igor-pro.json index 3449f95cd4c8..433cc142606e 100644 --- a/data/monthly/igor-pro.json +++ b/data/monthly/igor-pro.json @@ -2,6 +2,6 @@ "title": "GitHub Igor-pro Languages Monthly Trending", "description": "Monthly Trending of Igor-pro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/igor-pro.xml b/data/monthly/igor-pro.xml index 7cb16e3c51e7..dff736804f83 100644 --- a/data/monthly/igor-pro.xml +++ b/data/monthly/igor-pro.xml @@ -3,6 +3,6 @@ GitHub Igor-pro Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Igor-pro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/imagej-macro.json b/data/monthly/imagej-macro.json index 1b84e63e7c5c..1b94839ccc28 100644 --- a/data/monthly/imagej-macro.json +++ b/data/monthly/imagej-macro.json @@ -2,6 +2,6 @@ "title": "GitHub Imagej-macro Languages Monthly Trending", "description": "Monthly Trending of Imagej-macro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/imagej-macro.xml b/data/monthly/imagej-macro.xml index 97d55185f7a7..06e3be6a1f04 100644 --- a/data/monthly/imagej-macro.xml +++ b/data/monthly/imagej-macro.xml @@ -3,6 +3,6 @@ GitHub Imagej-macro Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Imagej-macro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/imba.json b/data/monthly/imba.json index a337c7c17bf0..63102d3deb49 100644 --- a/data/monthly/imba.json +++ b/data/monthly/imba.json @@ -2,6 +2,6 @@ "title": "GitHub Imba Languages Monthly Trending", "description": "Monthly Trending of Imba Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/imba.xml b/data/monthly/imba.xml index f41e98614190..852121922d0f 100644 --- a/data/monthly/imba.xml +++ b/data/monthly/imba.xml @@ -3,6 +3,6 @@ GitHub Imba Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Imba Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/inform-7.json b/data/monthly/inform-7.json index 12be9245f0e2..f6d80ecc0ea6 100644 --- a/data/monthly/inform-7.json +++ b/data/monthly/inform-7.json @@ -2,7 +2,7 @@ "title": "GitHub Inform-7 Languages Monthly Trending", "description": "Monthly Trending of Inform-7 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Nuku/Flexible-Survival", diff --git a/data/monthly/inform-7.xml b/data/monthly/inform-7.xml index a49063b506a6..df3638326139 100644 --- a/data/monthly/inform-7.xml +++ b/data/monthly/inform-7.xml @@ -3,7 +3,7 @@ GitHub Inform-7 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Inform-7 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Nuku/Flexible-Survival https://github.com/Nuku/Flexible-Survival diff --git a/data/monthly/ini.json b/data/monthly/ini.json index e541e2d526fe..2d579dd6d582 100644 --- a/data/monthly/ini.json +++ b/data/monthly/ini.json @@ -2,6 +2,6 @@ "title": "GitHub Ini Languages Monthly Trending", "description": "Monthly Trending of Ini Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ini.xml b/data/monthly/ini.xml index 30de038d6204..fa069febd0e1 100644 --- a/data/monthly/ini.xml +++ b/data/monthly/ini.xml @@ -3,6 +3,6 @@ GitHub Ini Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ini Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ink.json b/data/monthly/ink.json index 59ff92e56cb6..aefa2beb7c07 100644 --- a/data/monthly/ink.json +++ b/data/monthly/ink.json @@ -2,6 +2,6 @@ "title": "GitHub Ink Languages Monthly Trending", "description": "Monthly Trending of Ink Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ink.xml b/data/monthly/ink.xml index c71b6ee780b4..51c6aef73a46 100644 --- a/data/monthly/ink.xml +++ b/data/monthly/ink.xml @@ -3,6 +3,6 @@ GitHub Ink Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ink Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/inno-setup.json b/data/monthly/inno-setup.json index 0eff3717928d..b06d8019f4a9 100644 --- a/data/monthly/inno-setup.json +++ b/data/monthly/inno-setup.json @@ -2,6 +2,6 @@ "title": "GitHub Inno-setup Languages Monthly Trending", "description": "Monthly Trending of Inno-setup Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/inno-setup.xml b/data/monthly/inno-setup.xml index d9e0f0d8b803..076ad0f38d3f 100644 --- a/data/monthly/inno-setup.xml +++ b/data/monthly/inno-setup.xml @@ -3,6 +3,6 @@ GitHub Inno-setup Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Inno-setup Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/io.json b/data/monthly/io.json index c330bd5bbbcb..5287e979b9c0 100644 --- a/data/monthly/io.json +++ b/data/monthly/io.json @@ -2,6 +2,6 @@ "title": "GitHub Io Languages Monthly Trending", "description": "Monthly Trending of Io Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/io.xml b/data/monthly/io.xml index 192d31be1940..421e7549ce8c 100644 --- a/data/monthly/io.xml +++ b/data/monthly/io.xml @@ -3,6 +3,6 @@ GitHub Io Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Io Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ioke.json b/data/monthly/ioke.json index 134e7c118fd7..3c3bc12eb0ba 100644 --- a/data/monthly/ioke.json +++ b/data/monthly/ioke.json @@ -2,6 +2,6 @@ "title": "GitHub Ioke Languages Monthly Trending", "description": "Monthly Trending of Ioke Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ioke.xml b/data/monthly/ioke.xml index d060ce1b4a82..cd2e245f0df4 100644 --- a/data/monthly/ioke.xml +++ b/data/monthly/ioke.xml @@ -3,6 +3,6 @@ GitHub Ioke Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ioke Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/irc-log.json b/data/monthly/irc-log.json index 0e665c8c5bfb..a8c480222782 100644 --- a/data/monthly/irc-log.json +++ b/data/monthly/irc-log.json @@ -2,6 +2,6 @@ "title": "GitHub Irc-log Languages Monthly Trending", "description": "Monthly Trending of Irc-log Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/irc-log.xml b/data/monthly/irc-log.xml index b9e5f7e2ce1f..75e50908e22e 100644 --- a/data/monthly/irc-log.xml +++ b/data/monthly/irc-log.xml @@ -3,6 +3,6 @@ GitHub Irc-log Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Irc-log Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/isabelle-root.json b/data/monthly/isabelle-root.json index 6b3dfe11de70..ddd134ea7a9f 100644 --- a/data/monthly/isabelle-root.json +++ b/data/monthly/isabelle-root.json @@ -2,6 +2,6 @@ "title": "GitHub Isabelle-root Languages Monthly Trending", "description": "Monthly Trending of Isabelle-root Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/isabelle-root.xml b/data/monthly/isabelle-root.xml index dca04cd6648e..55f7dc0f62c4 100644 --- a/data/monthly/isabelle-root.xml +++ b/data/monthly/isabelle-root.xml @@ -3,6 +3,6 @@ GitHub Isabelle-root Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Isabelle-root Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/isabelle.json b/data/monthly/isabelle.json index 2474213fddd2..4ef42b48e13a 100644 --- a/data/monthly/isabelle.json +++ b/data/monthly/isabelle.json @@ -2,6 +2,6 @@ "title": "GitHub Isabelle Languages Monthly Trending", "description": "Monthly Trending of Isabelle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/isabelle.xml b/data/monthly/isabelle.xml index e4d96caa100c..f30abb5b3a1e 100644 --- a/data/monthly/isabelle.xml +++ b/data/monthly/isabelle.xml @@ -3,6 +3,6 @@ GitHub Isabelle Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Isabelle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/j.json b/data/monthly/j.json index 1c396693052b..ea4343689645 100644 --- a/data/monthly/j.json +++ b/data/monthly/j.json @@ -2,6 +2,6 @@ "title": "GitHub J Languages Monthly Trending", "description": "Monthly Trending of J Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/j.xml b/data/monthly/j.xml index 24f61c5c2a98..67e8c54ceaec 100644 --- a/data/monthly/j.xml +++ b/data/monthly/j.xml @@ -3,6 +3,6 @@ GitHub J Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of J Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/janet.json b/data/monthly/janet.json index 4c1e51305e5a..62f79415db11 100644 --- a/data/monthly/janet.json +++ b/data/monthly/janet.json @@ -2,7 +2,7 @@ "title": "GitHub Janet Languages Monthly Trending", "description": "Monthly Trending of Janet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nvim-treesitter/nvim-treesitter-context", diff --git a/data/monthly/janet.xml b/data/monthly/janet.xml index 3eb576739968..3fa5b7471862 100644 --- a/data/monthly/janet.xml +++ b/data/monthly/janet.xml @@ -3,7 +3,7 @@ GitHub Janet Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Janet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nvim-treesitter/nvim-treesitter-context https://github.com/nvim-treesitter/nvim-treesitter-context diff --git a/data/monthly/jar-manifest.json b/data/monthly/jar-manifest.json index 70af67ff4010..d8d22d68a68a 100644 --- a/data/monthly/jar-manifest.json +++ b/data/monthly/jar-manifest.json @@ -2,6 +2,6 @@ "title": "GitHub Jar-manifest Languages Monthly Trending", "description": "Monthly Trending of Jar-manifest Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jar-manifest.xml b/data/monthly/jar-manifest.xml index 1c318ef31cd0..067b951a276c 100644 --- a/data/monthly/jar-manifest.xml +++ b/data/monthly/jar-manifest.xml @@ -3,6 +3,6 @@ GitHub Jar-manifest Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jar-manifest Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jasmin.json b/data/monthly/jasmin.json index 835cd9bfe0d0..6df935cb0dcc 100644 --- a/data/monthly/jasmin.json +++ b/data/monthly/jasmin.json @@ -2,6 +2,6 @@ "title": "GitHub Jasmin Languages Monthly Trending", "description": "Monthly Trending of Jasmin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jasmin.xml b/data/monthly/jasmin.xml index 70af576af421..02556ebddb49 100644 --- a/data/monthly/jasmin.xml +++ b/data/monthly/jasmin.xml @@ -3,6 +3,6 @@ GitHub Jasmin Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jasmin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/java-properties.json b/data/monthly/java-properties.json index c1413ab033af..8eb9de8e4853 100644 --- a/data/monthly/java-properties.json +++ b/data/monthly/java-properties.json @@ -2,6 +2,6 @@ "title": "GitHub Java-properties Languages Monthly Trending", "description": "Monthly Trending of Java-properties Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/java-properties.xml b/data/monthly/java-properties.xml index de731e12cffd..4f5b3765af4c 100644 --- a/data/monthly/java-properties.xml +++ b/data/monthly/java-properties.xml @@ -3,6 +3,6 @@ GitHub Java-properties Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Java-properties Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/java-server-pages.json b/data/monthly/java-server-pages.json index e0efc17a29ab..6292e63caf5c 100644 --- a/data/monthly/java-server-pages.json +++ b/data/monthly/java-server-pages.json @@ -2,6 +2,6 @@ "title": "GitHub Java-server-pages Languages Monthly Trending", "description": "Monthly Trending of Java-server-pages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/java-server-pages.xml b/data/monthly/java-server-pages.xml index 7d131fc976e4..1b1a64bce759 100644 --- a/data/monthly/java-server-pages.xml +++ b/data/monthly/java-server-pages.xml @@ -3,6 +3,6 @@ GitHub Java-server-pages Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Java-server-pages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/java.json b/data/monthly/java.json index 3bc65fe672ba..aa8d43a62f64 100644 --- a/data/monthly/java.json +++ b/data/monthly/java.json @@ -2,52 +2,15 @@ "title": "GitHub Java Languages Monthly Trending", "description": "Monthly Trending of Java Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "CodePhiliaX/Chat2DB", - "url": "https://github.com/CodePhiliaX/Chat2DB", - "description": "🔥🔥🔥AI-driven database tool and SQL client, The hottest GUI client, supporting MySQL, Oracle, PostgreSQL, DB2, SQL Server, DB2, SQLite, H2, ClickHouse, and more.", - "language": "Java", - "languageColor": "#b07219", - "stars": "17,037", - "forks": "1,910", - "addStars": "1,913", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/86969353?s=40&v=4", - "name": "shanhexi", - "url": "https://github.com/shanhexi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22975773?s=40&v=4", - "name": "Chat2DB-Pro", - "url": "https://github.com/Chat2DB-Pro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7688101?s=40&v=4", - "name": "JerryFan626", - "url": "https://github.com/JerryFan626" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/137198536?s=40&v=4", - "name": "chat2db-jerry", - "url": "https://github.com/chat2db-jerry" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/137188352?s=40&v=4", - "name": "robinji0", - "url": "https://github.com/robinji0" - } - ] - }, { "title": "dataease/dataease", "url": "https://github.com/dataease/dataease", "description": "🔥 人人可用的开源 BI 工具,Tableau、帆软的开源替代。", "language": "Java", "languageColor": "#b07219", - "stars": "18,344", + "stars": "18,347", "forks": "3,292", "addStars": "620", "contributors": [ @@ -78,14 +41,51 @@ } ] }, + { + "title": "CodePhiliaX/Chat2DB", + "url": "https://github.com/CodePhiliaX/Chat2DB", + "description": "🔥🔥🔥AI-driven database tool and SQL client, The hottest GUI client, supporting MySQL, Oracle, PostgreSQL, DB2, SQL Server, DB2, SQLite, H2, ClickHouse, and more.", + "language": "Java", + "languageColor": "#b07219", + "stars": "17,046", + "forks": "1,911", + "addStars": "1,913", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/86969353?s=40&v=4", + "name": "shanhexi", + "url": "https://github.com/shanhexi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22975773?s=40&v=4", + "name": "Chat2DB-Pro", + "url": "https://github.com/Chat2DB-Pro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7688101?s=40&v=4", + "name": "JerryFan626", + "url": "https://github.com/JerryFan626" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/137198536?s=40&v=4", + "name": "chat2db-jerry", + "url": "https://github.com/chat2db-jerry" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/137188352?s=40&v=4", + "name": "robinji0", + "url": "https://github.com/robinji0" + } + ] + }, { "title": "binarywang/WxJava", "url": "https://github.com/binarywang/WxJava", "description": "微信开发 Java SDK ,支持包括微信支付,开放平台,小程序,企业微信,视频号,公众号等的后端开发", "language": "Java", "languageColor": "#b07219", - "stars": "30,044", - "forks": "8,694", + "stars": "30,049", + "forks": "8,695", "addStars": "239", "contributors": [ { @@ -115,13 +115,50 @@ } ] }, + { + "title": "doocs/advanced-java", + "url": "https://github.com/doocs/advanced-java", + "description": "😮 Core Interview Questions & Answers For Experienced Java(Backend) Developers | 互联网 Java 工程师进阶知识完全扫盲:涵盖高并发、分布式、高可用、微服务、海量数据处理等领域知识", + "language": "Java", + "languageColor": "#b07219", + "stars": "76,353", + "forks": "19,089", + "addStars": "490", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/21008209?s=40&v=4", + "name": "yanglbme", + "url": "https://github.com/yanglbme" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31427850?s=40&v=4", + "name": "ImgBotApp", + "url": "https://github.com/ImgBotApp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15151483?s=40&v=4", + "name": "chenqimiao", + "url": "https://github.com/chenqimiao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11981281?s=40&v=4", + "name": "ZhenghaeHo", + "url": "https://github.com/ZhenghaeHo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26766909?s=40&v=4", + "name": "huifer", + "url": "https://github.com/huifer" + } + ] + }, { "title": "dromara/Sa-Token", "url": "https://github.com/dromara/Sa-Token", "description": "一个轻量级 Java 权限认证框架,让鉴权变得简单、优雅!—— 登录认证、权限认证、分布式Session会话、微服务网关鉴权、单点登录、OAuth2.0", "language": "Java", "languageColor": "#b07219", - "stars": "16,644", + "stars": "16,648", "forks": "2,614", "addStars": "394", "contributors": [ @@ -148,8 +185,8 @@ "description": "Termux - a terminal emulator application for Android OS extendible by variety of packages.", "language": "Java", "languageColor": "#b07219", - "stars": "36,709", - "forks": "3,855", + "stars": "36,726", + "forks": "3,857", "addStars": "1,052", "contributors": [ { @@ -185,7 +222,7 @@ "description": "快速、简洁、解决大文件内存溢出的java处理Excel工具", "language": "Java", "languageColor": "#b07219", - "stars": "32,743", + "stars": "32,744", "forks": "7,588", "addStars": "607", "contributors": [ @@ -216,13 +253,50 @@ } ] }, + { + "title": "krahets/hello-algo", + "url": "https://github.com/krahets/hello-algo", + "description": "《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing", + "language": "Java", + "languageColor": "#b07219", + "stars": "100,154", + "forks": "12,589", + "addStars": "2,486", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26993056?s=40&v=4", + "name": "krahets", + "url": "https://github.com/krahets" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24556310?s=40&v=4", + "name": "justin-tse", + "url": "https://github.com/justin-tse" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/99076655?s=40&v=4", + "name": "coderonion", + "url": "https://github.com/coderonion" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3739017?s=40&v=4", + "name": "nuomi1", + "url": "https://github.com/nuomi1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39959756?s=40&v=4", + "name": "Gonglja", + "url": "https://github.com/Gonglja" + } + ] + }, { "title": "yuliskov/SmartTube", "url": "https://github.com/yuliskov/SmartTube", "description": "SmartTube - an advanced player for set-top boxes and tvs running Android OS", "language": "Java", "languageColor": "#b07219", - "stars": "20,027", + "stars": "20,033", "forks": "1,078", "addStars": "881", "contributors": [ @@ -253,45 +327,13 @@ } ] }, - { - "title": "ZCShou/GoGoGo", - "url": "https://github.com/ZCShou/GoGoGo", - "description": "一个基于 Android 调试 API + 百度地图实现的虚拟定位工具,并且同时实现了一个可以自由移动的摇杆", - "language": "Java", - "languageColor": "#b07219", - "stars": "5,037", - "forks": "599", - "addStars": "1,229", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8591065?s=40&v=4", - "name": "ZCShou", - "url": "https://github.com/ZCShou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3223890?s=40&v=4", - "name": "netcore-jroger", - "url": "https://github.com/netcore-jroger" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32832476?s=40&v=4", - "name": "BXYMartin", - "url": "https://github.com/BXYMartin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40651411?s=40&v=4", - "name": "Caulm", - "url": "https://github.com/Caulm" - } - ] - }, { "title": "NationalSecurityAgency/ghidra", "url": "https://github.com/NationalSecurityAgency/ghidra", "description": "Ghidra is a software reverse engineering (SRE) framework", "language": "Java", "languageColor": "#b07219", - "stars": "52,072", + "stars": "52,081", "forks": "5,901", "addStars": "826", "contributors": [ @@ -322,14 +364,51 @@ } ] }, + { + "title": "apache/tomcat", + "url": "https://github.com/apache/tomcat", + "description": "Apache Tomcat", + "language": "Java", + "languageColor": "#b07219", + "stars": "7,586", + "forks": "5,036", + "addStars": "64", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4690029?s=40&v=4", + "name": "markt-asf", + "url": "https://github.com/markt-asf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/324250?s=40&v=4", + "name": "rmaucher", + "url": "https://github.com/rmaucher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/607429?s=40&v=4", + "name": "fhanik", + "url": "https://github.com/fhanik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/696661?s=40&v=4", + "name": "violetagg", + "url": "https://github.com/violetagg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1997314?s=40&v=4", + "name": "FSchumacher", + "url": "https://github.com/FSchumacher" + } + ] + }, { "title": "CarGuo/GSYVideoPlayer", "url": "https://github.com/CarGuo/GSYVideoPlayer", "description": "视频播放器(IJKplayer、ExoPlayer、MediaPlayer),HTTPS,16k page size,支持弹幕,外挂字幕,支持滤镜、水印、gif截图,片头广告、中间广告,多个同时播放,支持基本的拖动,声音、亮度调节,支持边播边缓存,支持视频自带rotation的旋转(90,270之类),重力旋转与手动旋转的同步支持,支持列表播放 ,列表全屏动画,视频加载速度,列表小窗口支持拖动,动画效果,调整比例,多分辨率切换,支持切换播放器,进度条小窗口预览,列表切换详情页面无缝播放,rtsp、concat、mpeg。", "language": "Java", "languageColor": "#b07219", - "stars": "20,276", - "forks": "4,201", + "stars": "20,277", + "forks": "4,202", "addStars": "121", "contributors": [ { @@ -360,113 +439,34 @@ ] }, { - "title": "skylot/jadx", - "url": "https://github.com/skylot/jadx", - "description": "Dex to Java decompiler", - "language": "Java", - "languageColor": "#b07219", - "stars": "41,884", - "forks": "4,888", - "addStars": "481", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/118523?s=40&v=4", - "name": "skylot", - "url": "https://github.com/skylot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/924885?s=40&v=4", - "name": "jpstotz", - "url": "https://github.com/jpstotz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2410127?s=40&v=4", - "name": "asashour", - "url": "https://github.com/asashour" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15173437?s=40&v=4", - "name": "bagipro", - "url": "https://github.com/bagipro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3058740?s=40&v=4", - "name": "S-trace", - "url": "https://github.com/S-trace" - } - ] - }, - { - "title": "apolloconfig/apollo", - "url": "https://github.com/apolloconfig/apollo", - "description": "Apollo is a reliable configuration management system suitable for microservice configuration management scenarios.", - "language": "Java", - "languageColor": "#b07219", - "stars": "29,191", - "forks": "10,207", - "addStars": "94", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/837658?s=40&v=4", - "name": "nobodyiam", - "url": "https://github.com/nobodyiam" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1217700?s=40&v=4", - "name": "yiming187", - "url": "https://github.com/yiming187" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4991116?s=40&v=4", - "name": "lepdou", - "url": "https://github.com/lepdou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15523186?s=40&v=4", - "name": "Anilople", - "url": "https://github.com/Anilople" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18591662?s=40&v=4", - "name": "klboke", - "url": "https://github.com/klboke" - } - ] - }, - { - "title": "apache/tomcat", - "url": "https://github.com/apache/tomcat", - "description": "Apache Tomcat", + "title": "ZCShou/GoGoGo", + "url": "https://github.com/ZCShou/GoGoGo", + "description": "一个基于 Android 调试 API + 百度地图实现的虚拟定位工具,并且同时实现了一个可以自由移动的摇杆", "language": "Java", "languageColor": "#b07219", - "stars": "7,585", - "forks": "5,035", - "addStars": "64", + "stars": "5,045", + "forks": "601", + "addStars": "1,229", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4690029?s=40&v=4", - "name": "markt-asf", - "url": "https://github.com/markt-asf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/324250?s=40&v=4", - "name": "rmaucher", - "url": "https://github.com/rmaucher" + "avatar": "https://avatars.githubusercontent.com/u/8591065?s=40&v=4", + "name": "ZCShou", + "url": "https://github.com/ZCShou" }, { - "avatar": "https://avatars.githubusercontent.com/u/607429?s=40&v=4", - "name": "fhanik", - "url": "https://github.com/fhanik" + "avatar": "https://avatars.githubusercontent.com/u/3223890?s=40&v=4", + "name": "netcore-jroger", + "url": "https://github.com/netcore-jroger" }, { - "avatar": "https://avatars.githubusercontent.com/u/696661?s=40&v=4", - "name": "violetagg", - "url": "https://github.com/violetagg" + "avatar": "https://avatars.githubusercontent.com/u/32832476?s=40&v=4", + "name": "BXYMartin", + "url": "https://github.com/BXYMartin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1997314?s=40&v=4", - "name": "FSchumacher", - "url": "https://github.com/FSchumacher" + "avatar": "https://avatars.githubusercontent.com/u/40651411?s=40&v=4", + "name": "Caulm", + "url": "https://github.com/Caulm" } ] }, @@ -476,7 +476,7 @@ "description": "⚡ Workflow Automation Platform. Orchestrate & Schedule code in any language, run anywhere, 500+ plugins. Alternative to Zapier, Rundeck, Camunda, Airflow...", "language": "Java", "languageColor": "#b07219", - "stars": "13,219", + "stars": "13,228", "forks": "1,153", "addStars": "2,483", "contributors": [ @@ -507,6 +507,43 @@ } ] }, + { + "title": "skylot/jadx", + "url": "https://github.com/skylot/jadx", + "description": "Dex to Java decompiler", + "language": "Java", + "languageColor": "#b07219", + "stars": "41,892", + "forks": "4,888", + "addStars": "481", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/118523?s=40&v=4", + "name": "skylot", + "url": "https://github.com/skylot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/924885?s=40&v=4", + "name": "jpstotz", + "url": "https://github.com/jpstotz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2410127?s=40&v=4", + "name": "asashour", + "url": "https://github.com/asashour" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15173437?s=40&v=4", + "name": "bagipro", + "url": "https://github.com/bagipro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3058740?s=40&v=4", + "name": "S-trace", + "url": "https://github.com/S-trace" + } + ] + }, { "title": "apache/flink-cdc", "url": "https://github.com/apache/flink-cdc", @@ -544,13 +581,50 @@ } ] }, + { + "title": "apolloconfig/apollo", + "url": "https://github.com/apolloconfig/apollo", + "description": "Apollo is a reliable configuration management system suitable for microservice configuration management scenarios.", + "language": "Java", + "languageColor": "#b07219", + "stars": "29,191", + "forks": "10,208", + "addStars": "94", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/837658?s=40&v=4", + "name": "nobodyiam", + "url": "https://github.com/nobodyiam" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1217700?s=40&v=4", + "name": "yiming187", + "url": "https://github.com/yiming187" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4991116?s=40&v=4", + "name": "lepdou", + "url": "https://github.com/lepdou" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15523186?s=40&v=4", + "name": "Anilople", + "url": "https://github.com/Anilople" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18591662?s=40&v=4", + "name": "klboke", + "url": "https://github.com/klboke" + } + ] + }, { "title": "StarRocks/starrocks", "url": "https://github.com/StarRocks/starrocks", "description": "The world's fastest open query engine for sub-second analytics both on and off the data lakehouse. With the flexibility to support nearly any scenario, StarRocks provides best-in-class performance for multi-dimensional analytics, real-time analytics, and ad-hoc queries. A Linux Foundation project.", "language": "Java", "languageColor": "#b07219", - "stars": "9,154", + "stars": "9,164", "forks": "1,822", "addStars": "293", "contributors": [ @@ -581,50 +655,13 @@ } ] }, - { - "title": "doocs/advanced-java", - "url": "https://github.com/doocs/advanced-java", - "description": "😮 Core Interview Questions & Answers For Experienced Java(Backend) Developers | 互联网 Java 工程师进阶知识完全扫盲:涵盖高并发、分布式、高可用、微服务、海量数据处理等领域知识", - "language": "Java", - "languageColor": "#b07219", - "stars": "76,331", - "forks": "19,090", - "addStars": "490", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/21008209?s=40&v=4", - "name": "yanglbme", - "url": "https://github.com/yanglbme" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31427850?s=40&v=4", - "name": "ImgBotApp", - "url": "https://github.com/ImgBotApp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15151483?s=40&v=4", - "name": "chenqimiao", - "url": "https://github.com/chenqimiao" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11981281?s=40&v=4", - "name": "ZhenghaeHo", - "url": "https://github.com/ZhenghaeHo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26766909?s=40&v=4", - "name": "huifer", - "url": "https://github.com/huifer" - } - ] - }, { "title": "ReChronoRain/HyperCeiler", "url": "https://github.com/ReChronoRain/HyperCeiler", "description": "MIUI & HyperOS enhancement module - Make MIUI & HyperOS Great Again!", "language": "Java", "languageColor": "#b07219", - "stars": "2,720", + "stars": "2,723", "forks": "154", "addStars": "266", "contributors": [ @@ -661,7 +698,7 @@ "description": "ehviewer,用爱发电,快乐前行", "language": "Java", "languageColor": "#b07219", - "stars": "14,485", + "stars": "14,488", "forks": "416", "addStars": "896", "contributors": [ @@ -692,50 +729,13 @@ } ] }, - { - "title": "apache/dolphinscheduler", - "url": "https://github.com/apache/dolphinscheduler", - "description": "Apache DolphinScheduler is the modern data orchestration platform. Agile to create high performance workflow with low-code", - "language": "Java", - "languageColor": "#b07219", - "stars": "12,897", - "forks": "4,634", - "addStars": "107", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/28628088?s=40&v=4", - "name": "break60", - "url": "https://github.com/break60" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23756105?s=40&v=4", - "name": "qiaozhanwei", - "url": "https://github.com/qiaozhanwei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15833811?s=40&v=4", - "name": "davidzollo", - "url": "https://github.com/davidzollo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22415594?s=40&v=4", - "name": "ruanwenjun", - "url": "https://github.com/ruanwenjun" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29528966?s=40&v=4", - "name": "lenboo", - "url": "https://github.com/lenboo" - } - ] - }, { "title": "OpenRefine/OpenRefine", "url": "https://github.com/OpenRefine/OpenRefine", "description": "OpenRefine is a free, open source power tool for working with messy data and improving it", "language": "Java", "languageColor": "#b07219", - "stars": "10,928", + "stars": "10,930", "forks": "1,967", "addStars": "84", "contributors": [ @@ -762,98 +762,39 @@ ] }, { - "title": "Eanya-Tonic/CCTV_Viewer", - "url": "https://github.com/Eanya-Tonic/CCTV_Viewer", - "description": "电视浏览器,一款简易电视视频收看软件,用于方便的在机顶盒上收看网页视频", - "language": "Java", - "languageColor": "#b07219", - "stars": "1,768", - "forks": "179", - "addStars": "231", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/74545593?s=40&v=4", - "name": "Eanya-Tonic", - "url": "https://github.com/Eanya-Tonic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/64117916?s=40&v=4", - "name": "zkitefly", - "url": "https://github.com/zkitefly" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18008498?s=40&v=4", - "name": "vcheckzen", - "url": "https://github.com/vcheckzen" - } - ] - }, - { - "title": "PBH-BTN/PeerBanHelper", - "url": "https://github.com/PBH-BTN/PeerBanHelper", - "description": "Automatically block unwanted, leeches and abnormal BT peers with support for customized and cloud rules.| BT 反吸血工具 - 自动封禁不受欢迎、吸血和异常的 BT 客户端,并支持自定义规则。支持 qB/qBEE/Deluge/BiglyBT/Azureus/Vuze/BitComet", - "language": "Java", - "languageColor": "#b07219", - "stars": "2,774", - "forks": "86", - "addStars": "920", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/30802565?s=40&v=4", - "name": "Ghost-chu", - "url": "https://github.com/Ghost-chu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19235246?s=40&v=4", - "name": "Gaojianli", - "url": "https://github.com/Gaojianli" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28055560?s=40&v=4", - "name": "paulzzh", - "url": "https://github.com/paulzzh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8318053?s=40&v=4", - "name": "PluieM", - "url": "https://github.com/PluieM" - } - ] - }, - { - "title": "gyoogle/tech-interview-for-developer", - "url": "https://github.com/gyoogle/tech-interview-for-developer", - "description": "👶🏻 신입 개발자 전공 지식 & 기술 면접 백과사전 📖", + "title": "apache/dolphinscheduler", + "url": "https://github.com/apache/dolphinscheduler", + "description": "Apache DolphinScheduler is the modern data orchestration platform. Agile to create high performance workflow with low-code", "language": "Java", "languageColor": "#b07219", - "stars": "14,823", - "forks": "3,404", - "addStars": "249", + "stars": "12,898", + "forks": "4,634", + "addStars": "107", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37679254?s=40&v=4", - "name": "b2narae", - "url": "https://github.com/b2narae" + "avatar": "https://avatars.githubusercontent.com/u/28628088?s=40&v=4", + "name": "break60", + "url": "https://github.com/break60" }, { - "avatar": "https://avatars.githubusercontent.com/u/34904741?s=40&v=4", - "name": "gyoogle", - "url": "https://github.com/gyoogle" + "avatar": "https://avatars.githubusercontent.com/u/23756105?s=40&v=4", + "name": "qiaozhanwei", + "url": "https://github.com/qiaozhanwei" }, { - "avatar": "https://avatars.githubusercontent.com/u/21700738?s=40&v=4", - "name": "ssungwxx", - "url": "https://github.com/ssungwxx" + "avatar": "https://avatars.githubusercontent.com/u/15833811?s=40&v=4", + "name": "davidzollo", + "url": "https://github.com/davidzollo" }, { - "avatar": "https://avatars.githubusercontent.com/u/46181475?s=40&v=4", - "name": "GimunLee", - "url": "https://github.com/GimunLee" + "avatar": "https://avatars.githubusercontent.com/u/22415594?s=40&v=4", + "name": "ruanwenjun", + "url": "https://github.com/ruanwenjun" }, { - "avatar": "https://avatars.githubusercontent.com/u/32220765?s=40&v=4", - "name": "hsh2001", - "url": "https://github.com/hsh2001" + "avatar": "https://avatars.githubusercontent.com/u/29528966?s=40&v=4", + "name": "lenboo", + "url": "https://github.com/lenboo" } ] } diff --git a/data/monthly/java.xml b/data/monthly/java.xml index 758aaaaefd04..6a347d4bef23 100644 --- a/data/monthly/java.xml +++ b/data/monthly/java.xml @@ -3,45 +3,7 @@ GitHub Java Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Java Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - CodePhiliaX/Chat2DB - https://github.com/CodePhiliaX/Chat2DB - 🔥🔥🔥AI-driven database tool and SQL client, The hottest GUI client, supporting MySQL, Oracle, PostgreSQL, DB2, SQL Server, DB2, SQLite, H2, ClickHouse, and more. - https://github.com/CodePhiliaX/Chat2DB - Java - #b07219 - 17,037 - 1,910 - 1,913 - - - https://avatars.githubusercontent.com/u/86969353?s=40&v=4 - shanhexi - https://github.com/shanhexi - - - https://avatars.githubusercontent.com/u/22975773?s=40&v=4 - Chat2DB-Pro - https://github.com/Chat2DB-Pro - - - https://avatars.githubusercontent.com/u/7688101?s=40&v=4 - JerryFan626 - https://github.com/JerryFan626 - - - https://avatars.githubusercontent.com/u/137198536?s=40&v=4 - chat2db-jerry - https://github.com/chat2db-jerry - - - https://avatars.githubusercontent.com/u/137188352?s=40&v=4 - robinji0 - https://github.com/robinji0 - - - + Mon, 25 Nov 2024 13:30:36 GMT dataease/dataease https://github.com/dataease/dataease @@ -49,7 +11,7 @@ https://github.com/dataease/dataease Java #b07219 - 18,344 + 18,347 3,292 620 @@ -80,6 +42,44 @@ + + CodePhiliaX/Chat2DB + https://github.com/CodePhiliaX/Chat2DB + 🔥🔥🔥AI-driven database tool and SQL client, The hottest GUI client, supporting MySQL, Oracle, PostgreSQL, DB2, SQL Server, DB2, SQLite, H2, ClickHouse, and more. + https://github.com/CodePhiliaX/Chat2DB + Java + #b07219 + 17,046 + 1,911 + 1,913 + + + https://avatars.githubusercontent.com/u/86969353?s=40&v=4 + shanhexi + https://github.com/shanhexi + + + https://avatars.githubusercontent.com/u/22975773?s=40&v=4 + Chat2DB-Pro + https://github.com/Chat2DB-Pro + + + https://avatars.githubusercontent.com/u/7688101?s=40&v=4 + JerryFan626 + https://github.com/JerryFan626 + + + https://avatars.githubusercontent.com/u/137198536?s=40&v=4 + chat2db-jerry + https://github.com/chat2db-jerry + + + https://avatars.githubusercontent.com/u/137188352?s=40&v=4 + robinji0 + https://github.com/robinji0 + + + binarywang/WxJava https://github.com/binarywang/WxJava @@ -87,8 +87,8 @@ https://github.com/binarywang/WxJava Java #b07219 - 30,044 - 8,694 + 30,049 + 8,695 239 @@ -118,6 +118,44 @@ + + doocs/advanced-java + https://github.com/doocs/advanced-java + 😮 Core Interview Questions & Answers For Experienced Java(Backend) Developers | 互联网 Java 工程师进阶知识完全扫盲:涵盖高并发、分布式、高可用、微服务、海量数据处理等领域知识 + https://github.com/doocs/advanced-java + Java + #b07219 + 76,353 + 19,089 + 490 + + + https://avatars.githubusercontent.com/u/21008209?s=40&v=4 + yanglbme + https://github.com/yanglbme + + + https://avatars.githubusercontent.com/u/31427850?s=40&v=4 + ImgBotApp + https://github.com/ImgBotApp + + + https://avatars.githubusercontent.com/u/15151483?s=40&v=4 + chenqimiao + https://github.com/chenqimiao + + + https://avatars.githubusercontent.com/u/11981281?s=40&v=4 + ZhenghaeHo + https://github.com/ZhenghaeHo + + + https://avatars.githubusercontent.com/u/26766909?s=40&v=4 + huifer + https://github.com/huifer + + + dromara/Sa-Token https://github.com/dromara/Sa-Token @@ -125,7 +163,7 @@ https://github.com/dromara/Sa-Token Java #b07219 - 16,644 + 16,648 2,614 394 @@ -153,8 +191,8 @@ https://github.com/termux/termux-app Java #b07219 - 36,709 - 3,855 + 36,726 + 3,857 1,052 @@ -191,7 +229,7 @@ https://github.com/alibaba/easyexcel Java #b07219 - 32,743 + 32,744 7,588 607 @@ -222,6 +260,44 @@ + + krahets/hello-algo + https://github.com/krahets/hello-algo + 《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing + https://github.com/krahets/hello-algo + Java + #b07219 + 100,154 + 12,589 + 2,486 + + + https://avatars.githubusercontent.com/u/26993056?s=40&v=4 + krahets + https://github.com/krahets + + + https://avatars.githubusercontent.com/u/24556310?s=40&v=4 + justin-tse + https://github.com/justin-tse + + + https://avatars.githubusercontent.com/u/99076655?s=40&v=4 + coderonion + https://github.com/coderonion + + + https://avatars.githubusercontent.com/u/3739017?s=40&v=4 + nuomi1 + https://github.com/nuomi1 + + + https://avatars.githubusercontent.com/u/39959756?s=40&v=4 + Gonglja + https://github.com/Gonglja + + + yuliskov/SmartTube https://github.com/yuliskov/SmartTube @@ -229,7 +305,7 @@ https://github.com/yuliskov/SmartTube Java #b07219 - 20,027 + 20,033 1,078 881 @@ -260,39 +336,6 @@ - - ZCShou/GoGoGo - https://github.com/ZCShou/GoGoGo - 一个基于 Android 调试 API + 百度地图实现的虚拟定位工具,并且同时实现了一个可以自由移动的摇杆 - https://github.com/ZCShou/GoGoGo - Java - #b07219 - 5,037 - 599 - 1,229 - - - https://avatars.githubusercontent.com/u/8591065?s=40&v=4 - ZCShou - https://github.com/ZCShou - - - https://avatars.githubusercontent.com/u/3223890?s=40&v=4 - netcore-jroger - https://github.com/netcore-jroger - - - https://avatars.githubusercontent.com/u/32832476?s=40&v=4 - BXYMartin - https://github.com/BXYMartin - - - https://avatars.githubusercontent.com/u/40651411?s=40&v=4 - Caulm - https://github.com/Caulm - - - NationalSecurityAgency/ghidra https://github.com/NationalSecurityAgency/ghidra @@ -300,7 +343,7 @@ https://github.com/NationalSecurityAgency/ghidra Java #b07219 - 52,072 + 52,081 5,901 826 @@ -331,6 +374,44 @@ + + apache/tomcat + https://github.com/apache/tomcat + Apache Tomcat + https://github.com/apache/tomcat + Java + #b07219 + 7,586 + 5,036 + 64 + + + https://avatars.githubusercontent.com/u/4690029?s=40&v=4 + markt-asf + https://github.com/markt-asf + + + https://avatars.githubusercontent.com/u/324250?s=40&v=4 + rmaucher + https://github.com/rmaucher + + + https://avatars.githubusercontent.com/u/607429?s=40&v=4 + fhanik + https://github.com/fhanik + + + https://avatars.githubusercontent.com/u/696661?s=40&v=4 + violetagg + https://github.com/violetagg + + + https://avatars.githubusercontent.com/u/1997314?s=40&v=4 + FSchumacher + https://github.com/FSchumacher + + + CarGuo/GSYVideoPlayer https://github.com/CarGuo/GSYVideoPlayer @@ -338,8 +419,8 @@ https://github.com/CarGuo/GSYVideoPlayer Java #b07219 - 20,276 - 4,201 + 20,277 + 4,202 121 @@ -370,116 +451,35 @@ - skylot/jadx - https://github.com/skylot/jadx - Dex to Java decompiler - https://github.com/skylot/jadx - Java - #b07219 - 41,884 - 4,888 - 481 - - - https://avatars.githubusercontent.com/u/118523?s=40&v=4 - skylot - https://github.com/skylot - - - https://avatars.githubusercontent.com/u/924885?s=40&v=4 - jpstotz - https://github.com/jpstotz - - - https://avatars.githubusercontent.com/u/2410127?s=40&v=4 - asashour - https://github.com/asashour - - - https://avatars.githubusercontent.com/u/15173437?s=40&v=4 - bagipro - https://github.com/bagipro - - - https://avatars.githubusercontent.com/u/3058740?s=40&v=4 - S-trace - https://github.com/S-trace - - - - - apolloconfig/apollo - https://github.com/apolloconfig/apollo - Apollo is a reliable configuration management system suitable for microservice configuration management scenarios. - https://github.com/apolloconfig/apollo - Java - #b07219 - 29,191 - 10,207 - 94 - - - https://avatars.githubusercontent.com/u/837658?s=40&v=4 - nobodyiam - https://github.com/nobodyiam - - - https://avatars.githubusercontent.com/u/1217700?s=40&v=4 - yiming187 - https://github.com/yiming187 - - - https://avatars.githubusercontent.com/u/4991116?s=40&v=4 - lepdou - https://github.com/lepdou - - - https://avatars.githubusercontent.com/u/15523186?s=40&v=4 - Anilople - https://github.com/Anilople - - - https://avatars.githubusercontent.com/u/18591662?s=40&v=4 - klboke - https://github.com/klboke - - - - - apache/tomcat - https://github.com/apache/tomcat - Apache Tomcat - https://github.com/apache/tomcat + ZCShou/GoGoGo + https://github.com/ZCShou/GoGoGo + 一个基于 Android 调试 API + 百度地图实现的虚拟定位工具,并且同时实现了一个可以自由移动的摇杆 + https://github.com/ZCShou/GoGoGo Java #b07219 - 7,585 - 5,035 - 64 + 5,045 + 601 + 1,229 - https://avatars.githubusercontent.com/u/4690029?s=40&v=4 - markt-asf - https://github.com/markt-asf - - - https://avatars.githubusercontent.com/u/324250?s=40&v=4 - rmaucher - https://github.com/rmaucher + https://avatars.githubusercontent.com/u/8591065?s=40&v=4 + ZCShou + https://github.com/ZCShou - https://avatars.githubusercontent.com/u/607429?s=40&v=4 - fhanik - https://github.com/fhanik + https://avatars.githubusercontent.com/u/3223890?s=40&v=4 + netcore-jroger + https://github.com/netcore-jroger - https://avatars.githubusercontent.com/u/696661?s=40&v=4 - violetagg - https://github.com/violetagg + https://avatars.githubusercontent.com/u/32832476?s=40&v=4 + BXYMartin + https://github.com/BXYMartin - https://avatars.githubusercontent.com/u/1997314?s=40&v=4 - FSchumacher - https://github.com/FSchumacher + https://avatars.githubusercontent.com/u/40651411?s=40&v=4 + Caulm + https://github.com/Caulm @@ -490,7 +490,7 @@ https://github.com/kestra-io/kestra Java #b07219 - 13,219 + 13,228 1,153 2,483 @@ -521,6 +521,44 @@ + + skylot/jadx + https://github.com/skylot/jadx + Dex to Java decompiler + https://github.com/skylot/jadx + Java + #b07219 + 41,892 + 4,888 + 481 + + + https://avatars.githubusercontent.com/u/118523?s=40&v=4 + skylot + https://github.com/skylot + + + https://avatars.githubusercontent.com/u/924885?s=40&v=4 + jpstotz + https://github.com/jpstotz + + + https://avatars.githubusercontent.com/u/2410127?s=40&v=4 + asashour + https://github.com/asashour + + + https://avatars.githubusercontent.com/u/15173437?s=40&v=4 + bagipro + https://github.com/bagipro + + + https://avatars.githubusercontent.com/u/3058740?s=40&v=4 + S-trace + https://github.com/S-trace + + + apache/flink-cdc https://github.com/apache/flink-cdc @@ -559,6 +597,44 @@ + + apolloconfig/apollo + https://github.com/apolloconfig/apollo + Apollo is a reliable configuration management system suitable for microservice configuration management scenarios. + https://github.com/apolloconfig/apollo + Java + #b07219 + 29,191 + 10,208 + 94 + + + https://avatars.githubusercontent.com/u/837658?s=40&v=4 + nobodyiam + https://github.com/nobodyiam + + + https://avatars.githubusercontent.com/u/1217700?s=40&v=4 + yiming187 + https://github.com/yiming187 + + + https://avatars.githubusercontent.com/u/4991116?s=40&v=4 + lepdou + https://github.com/lepdou + + + https://avatars.githubusercontent.com/u/15523186?s=40&v=4 + Anilople + https://github.com/Anilople + + + https://avatars.githubusercontent.com/u/18591662?s=40&v=4 + klboke + https://github.com/klboke + + + StarRocks/starrocks https://github.com/StarRocks/starrocks @@ -566,7 +642,7 @@ https://github.com/StarRocks/starrocks Java #b07219 - 9,154 + 9,164 1,822 293 @@ -597,44 +673,6 @@ - - doocs/advanced-java - https://github.com/doocs/advanced-java - 😮 Core Interview Questions & Answers For Experienced Java(Backend) Developers | 互联网 Java 工程师进阶知识完全扫盲:涵盖高并发、分布式、高可用、微服务、海量数据处理等领域知识 - https://github.com/doocs/advanced-java - Java - #b07219 - 76,331 - 19,090 - 490 - - - https://avatars.githubusercontent.com/u/21008209?s=40&v=4 - yanglbme - https://github.com/yanglbme - - - https://avatars.githubusercontent.com/u/31427850?s=40&v=4 - ImgBotApp - https://github.com/ImgBotApp - - - https://avatars.githubusercontent.com/u/15151483?s=40&v=4 - chenqimiao - https://github.com/chenqimiao - - - https://avatars.githubusercontent.com/u/11981281?s=40&v=4 - ZhenghaeHo - https://github.com/ZhenghaeHo - - - https://avatars.githubusercontent.com/u/26766909?s=40&v=4 - huifer - https://github.com/huifer - - - ReChronoRain/HyperCeiler https://github.com/ReChronoRain/HyperCeiler @@ -642,7 +680,7 @@ https://github.com/ReChronoRain/HyperCeiler Java #b07219 - 2,720 + 2,723 154 266 @@ -680,7 +718,7 @@ https://github.com/xiaojieonly/Ehviewer_CN_SXJ Java #b07219 - 14,485 + 14,488 416 896 @@ -711,44 +749,6 @@ - - apache/dolphinscheduler - https://github.com/apache/dolphinscheduler - Apache DolphinScheduler is the modern data orchestration platform. Agile to create high performance workflow with low-code - https://github.com/apache/dolphinscheduler - Java - #b07219 - 12,897 - 4,634 - 107 - - - https://avatars.githubusercontent.com/u/28628088?s=40&v=4 - break60 - https://github.com/break60 - - - https://avatars.githubusercontent.com/u/23756105?s=40&v=4 - qiaozhanwei - https://github.com/qiaozhanwei - - - https://avatars.githubusercontent.com/u/15833811?s=40&v=4 - davidzollo - https://github.com/davidzollo - - - https://avatars.githubusercontent.com/u/22415594?s=40&v=4 - ruanwenjun - https://github.com/ruanwenjun - - - https://avatars.githubusercontent.com/u/29528966?s=40&v=4 - lenboo - https://github.com/lenboo - - - OpenRefine/OpenRefine https://github.com/OpenRefine/OpenRefine @@ -756,7 +756,7 @@ https://github.com/OpenRefine/OpenRefine Java #b07219 - 10,928 + 10,930 1,967 84 @@ -783,101 +783,40 @@ - Eanya-Tonic/CCTV_Viewer - https://github.com/Eanya-Tonic/CCTV_Viewer - 电视浏览器,一款简易电视视频收看软件,用于方便的在机顶盒上收看网页视频 - https://github.com/Eanya-Tonic/CCTV_Viewer - Java - #b07219 - 1,768 - 179 - 231 - - - https://avatars.githubusercontent.com/u/74545593?s=40&v=4 - Eanya-Tonic - https://github.com/Eanya-Tonic - - - https://avatars.githubusercontent.com/u/64117916?s=40&v=4 - zkitefly - https://github.com/zkitefly - - - https://avatars.githubusercontent.com/u/18008498?s=40&v=4 - vcheckzen - https://github.com/vcheckzen - - - - - PBH-BTN/PeerBanHelper - https://github.com/PBH-BTN/PeerBanHelper - Automatically block unwanted, leeches and abnormal BT peers with support for customized and cloud rules.| BT 反吸血工具 - 自动封禁不受欢迎、吸血和异常的 BT 客户端,并支持自定义规则。支持 qB/qBEE/Deluge/BiglyBT/Azureus/Vuze/BitComet - https://github.com/PBH-BTN/PeerBanHelper - Java - #b07219 - 2,774 - 86 - 920 - - - https://avatars.githubusercontent.com/u/30802565?s=40&v=4 - Ghost-chu - https://github.com/Ghost-chu - - - https://avatars.githubusercontent.com/u/19235246?s=40&v=4 - Gaojianli - https://github.com/Gaojianli - - - https://avatars.githubusercontent.com/u/28055560?s=40&v=4 - paulzzh - https://github.com/paulzzh - - - https://avatars.githubusercontent.com/u/8318053?s=40&v=4 - PluieM - https://github.com/PluieM - - - - - gyoogle/tech-interview-for-developer - https://github.com/gyoogle/tech-interview-for-developer - 👶🏻 신입 개발자 전공 지식 & 기술 면접 백과사전 📖 - https://github.com/gyoogle/tech-interview-for-developer + apache/dolphinscheduler + https://github.com/apache/dolphinscheduler + Apache DolphinScheduler is the modern data orchestration platform. Agile to create high performance workflow with low-code + https://github.com/apache/dolphinscheduler Java #b07219 - 14,823 - 3,404 - 249 + 12,898 + 4,634 + 107 - https://avatars.githubusercontent.com/u/37679254?s=40&v=4 - b2narae - https://github.com/b2narae + https://avatars.githubusercontent.com/u/28628088?s=40&v=4 + break60 + https://github.com/break60 - https://avatars.githubusercontent.com/u/34904741?s=40&v=4 - gyoogle - https://github.com/gyoogle + https://avatars.githubusercontent.com/u/23756105?s=40&v=4 + qiaozhanwei + https://github.com/qiaozhanwei - https://avatars.githubusercontent.com/u/21700738?s=40&v=4 - ssungwxx - https://github.com/ssungwxx + https://avatars.githubusercontent.com/u/15833811?s=40&v=4 + davidzollo + https://github.com/davidzollo - https://avatars.githubusercontent.com/u/46181475?s=40&v=4 - GimunLee - https://github.com/GimunLee + https://avatars.githubusercontent.com/u/22415594?s=40&v=4 + ruanwenjun + https://github.com/ruanwenjun - https://avatars.githubusercontent.com/u/32220765?s=40&v=4 - hsh2001 - https://github.com/hsh2001 + https://avatars.githubusercontent.com/u/29528966?s=40&v=4 + lenboo + https://github.com/lenboo diff --git a/data/monthly/javascript+erb.json b/data/monthly/javascript+erb.json index 84ee28708df1..9fa52906e8ba 100644 --- a/data/monthly/javascript+erb.json +++ b/data/monthly/javascript+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Javascript+erb Languages Monthly Trending", "description": "Monthly Trending of Javascript+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/javascript+erb.xml b/data/monthly/javascript+erb.xml index 900931ae7cc7..90ca53460bbd 100644 --- a/data/monthly/javascript+erb.xml +++ b/data/monthly/javascript+erb.xml @@ -3,6 +3,6 @@ GitHub Javascript+erb Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Javascript+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/javascript.json b/data/monthly/javascript.json index eefb98207dae..aaa131c11079 100644 --- a/data/monthly/javascript.json +++ b/data/monthly/javascript.json @@ -2,53 +2,16 @@ "title": "GitHub Javascript Languages Monthly Trending", "description": "Monthly Trending of Javascript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "ToolJet/ToolJet", - "url": "https://github.com/ToolJet/ToolJet", - "description": "Low-code platform for building business applications. Connect to databases, cloud storages, GraphQL, API endpoints, Airtable, Google sheets, OpenAI, etc and build apps using drag and drop application builder. Built using JavaScript/TypeScript. 🚀", - "language": "JavaScript", - "languageColor": "#f1e05a", - "stars": "33,138", - "forks": "4,287", - "addStars": "2,634", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7828962?s=40&v=4", - "name": "Navaneeth-pk", - "url": "https://github.com/Navaneeth-pk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/67645175?s=40&v=4", - "name": "arpitnath", - "url": "https://github.com/arpitnath" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11629675?s=40&v=4", - "name": "akshaysasidrn", - "url": "https://github.com/akshaysasidrn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44204658?s=40&v=4", - "name": "adishM98", - "url": "https://github.com/adishM98" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25361949?s=40&v=4", - "name": "withshubh", - "url": "https://github.com/withshubh" - } - ] - }, { "title": "kolbytn/mindcraft", "url": "https://github.com/kolbytn/mindcraft", "description": "", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "2,299", - "forks": "280", + "stars": "2,302", + "forks": "281", "addStars": "1,122", "contributors": [ { @@ -79,39 +42,39 @@ ] }, { - "title": "louislam/uptime-kuma", - "url": "https://github.com/louislam/uptime-kuma", - "description": "A fancy self-hosted monitoring tool", + "title": "ToolJet/ToolJet", + "url": "https://github.com/ToolJet/ToolJet", + "description": "Low-code platform for building business applications. Connect to databases, cloud storages, GraphQL, API endpoints, Airtable, Google sheets, OpenAI, etc and build apps using drag and drop application builder. Built using JavaScript/TypeScript. 🚀", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "60,248", - "forks": "5,385", - "addStars": "2,481", + "stars": "33,144", + "forks": "4,288", + "addStars": "2,634", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1336778?s=40&v=4", - "name": "louislam", - "url": "https://github.com/louislam" + "avatar": "https://avatars.githubusercontent.com/u/7828962?s=40&v=4", + "name": "Navaneeth-pk", + "url": "https://github.com/Navaneeth-pk" }, { - "avatar": "https://avatars.githubusercontent.com/u/3271800?s=40&v=4", - "name": "chakflying", - "url": "https://github.com/chakflying" + "avatar": "https://avatars.githubusercontent.com/u/67645175?s=40&v=4", + "name": "arpitnath", + "url": "https://github.com/arpitnath" }, { - "avatar": "https://avatars.githubusercontent.com/u/905878?s=40&v=4", - "name": "Saibamen", - "url": "https://github.com/Saibamen" + "avatar": "https://avatars.githubusercontent.com/u/11629675?s=40&v=4", + "name": "akshaysasidrn", + "url": "https://github.com/akshaysasidrn" }, { - "avatar": "https://avatars.githubusercontent.com/u/26258709?s=40&v=4", - "name": "CommanderStorm", - "url": "https://github.com/CommanderStorm" + "avatar": "https://avatars.githubusercontent.com/u/44204658?s=40&v=4", + "name": "adishM98", + "url": "https://github.com/adishM98" }, { - "avatar": "https://avatars.githubusercontent.com/u/67638596?s=40&v=4", - "name": "Computroniks", - "url": "https://github.com/Computroniks" + "avatar": "https://avatars.githubusercontent.com/u/25361949?s=40&v=4", + "name": "withshubh", + "url": "https://github.com/withshubh" } ] }, @@ -121,8 +84,8 @@ "description": "OpenAI 接口管理 & 分发系统,支持 Azure、Anthropic Claude、Google PaLM 2 & Gemini、智谱 ChatGLM、百度文心一言、讯飞星火认知、阿里通义千问、360 智脑以及腾讯混元,可用于二次分发管理 key,仅单可执行文件,已打包好 Docker 镜像,一键部署,开箱即用. OpenAI key management & redistribution system, using a single API for all LLMs, and features an English UI.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "19,373", - "forks": "4,320", + "stars": "19,387", + "forks": "4,323", "addStars": "778", "contributors": [ { @@ -152,13 +115,50 @@ } ] }, + { + "title": "louislam/uptime-kuma", + "url": "https://github.com/louislam/uptime-kuma", + "description": "A fancy self-hosted monitoring tool", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "60,261", + "forks": "5,387", + "addStars": "2,481", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1336778?s=40&v=4", + "name": "louislam", + "url": "https://github.com/louislam" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3271800?s=40&v=4", + "name": "chakflying", + "url": "https://github.com/chakflying" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/905878?s=40&v=4", + "name": "Saibamen", + "url": "https://github.com/Saibamen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26258709?s=40&v=4", + "name": "CommanderStorm", + "url": "https://github.com/CommanderStorm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67638596?s=40&v=4", + "name": "Computroniks", + "url": "https://github.com/Computroniks" + } + ] + }, { "title": "drawdb-io/drawdb", "url": "https://github.com/drawdb-io/drawdb", "description": "Free, simple, and intuitive online database diagram editor and SQL generator.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "22,735", + "stars": "22,737", "forks": "1,586", "addStars": "2,058", "contributors": [ @@ -195,8 +195,8 @@ "description": "Docker container for managing Nginx proxy hosts with a simple, powerful interface", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "23,306", - "forks": "2,700", + "stars": "23,315", + "forks": "2,701", "addStars": "704", "contributors": [ { @@ -227,8 +227,8 @@ "description": "分流规则、重写写规则及脚本。", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "18,657", - "forks": "3,026", + "stars": "18,662", + "forks": "3,027", "addStars": "776", "contributors": [ { @@ -259,7 +259,7 @@ "description": "A modern ebook manager and reader with sync and backup capacities for Windows, macOS, Linux and Web", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "19,237", + "stars": "19,249", "forks": "1,485", "addStars": "856", "contributors": [ @@ -291,71 +291,39 @@ ] }, { - "title": "github/docs", - "url": "https://github.com/github/docs", - "description": "The open-source repo for docs.github.com", + "title": "langflow-ai/langflow", + "url": "https://github.com/langflow-ai/langflow", + "description": "Langflow is a low-code app builder for RAG and multi-agent AI applications. It’s Python-based and agnostic to any model, API, or database.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "16,403", - "forks": "59,986", - "addStars": "202", + "stars": "35,496", + "forks": "4,226", + "addStars": "3,219", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/63058869?s=40&v=4", - "name": "Octomerger", - "url": "https://github.com/Octomerger" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/77750099?s=40&v=4", - "name": "docs-bot", - "url": "https://github.com/docs-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26739?s=40&v=4", - "name": "peterbe", - "url": "https://github.com/peterbe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42146119?s=40&v=4", - "name": "mchammer01", - "url": "https://github.com/mchammer01" + "avatar": "https://avatars.githubusercontent.com/u/24829397?s=40&v=4", + "name": "ogabrielluiz", + "url": "https://github.com/ogabrielluiz" }, { - "avatar": "https://avatars.githubusercontent.com/u/821071?s=40&v=4", - "name": "sarahs", - "url": "https://github.com/sarahs" - } - ] - }, - { - "title": "huggingface/transformers.js", - "url": "https://github.com/huggingface/transformers.js", - "description": "State-of-the-art Machine Learning for the web. Run 🤗 Transformers directly in your browser, with no need for a server!", - "language": "JavaScript", - "languageColor": "#f1e05a", - "stars": "12,166", - "forks": "771", - "addStars": "607", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/26504141?s=40&v=4", - "name": "xenova", - "url": "https://github.com/xenova" + "avatar": "https://avatars.githubusercontent.com/u/70824914?s=40&v=4", + "name": "anovazzi1", + "url": "https://github.com/anovazzi1" }, { - "avatar": "https://avatars.githubusercontent.com/u/71208698?s=40&v=4", - "name": "chelouche9", - "url": "https://github.com/chelouche9" + "avatar": "https://avatars.githubusercontent.com/u/62335616?s=40&v=4", + "name": "lucaseduoli", + "url": "https://github.com/lucaseduoli" }, { - "avatar": "https://avatars.githubusercontent.com/u/5236548?s=40&v=4", - "name": "kungfooman", - "url": "https://github.com/kungfooman" + "avatar": "https://avatars.githubusercontent.com/u/72977554?s=40&v=4", + "name": "Cristhianzl", + "url": "https://github.com/Cristhianzl" }, { - "avatar": "https://avatars.githubusercontent.com/u/414967?s=40&v=4", - "name": "DavidGOrtega", - "url": "https://github.com/DavidGOrtega" + "avatar": "https://avatars.githubusercontent.com/u/64559670?s=40&v=4", + "name": "igorrCarvalho", + "url": "https://github.com/igorrCarvalho" } ] }, @@ -365,8 +333,8 @@ "description": "JavaScript 3D Library.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "102,910", - "forks": "35,390", + "stars": "102,917", + "forks": "35,392", "addStars": "665", "contributors": [ { @@ -397,39 +365,71 @@ ] }, { - "title": "langflow-ai/langflow", - "url": "https://github.com/langflow-ai/langflow", - "description": "Langflow is a low-code app builder for RAG and multi-agent AI applications. It’s Python-based and agnostic to any model, API, or database.", + "title": "github/docs", + "url": "https://github.com/github/docs", + "description": "The open-source repo for docs.github.com", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "35,454", - "forks": "4,223", - "addStars": "3,219", + "stars": "16,404", + "forks": "59,987", + "addStars": "202", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/24829397?s=40&v=4", - "name": "ogabrielluiz", - "url": "https://github.com/ogabrielluiz" + "avatar": "https://avatars.githubusercontent.com/u/63058869?s=40&v=4", + "name": "Octomerger", + "url": "https://github.com/Octomerger" }, { - "avatar": "https://avatars.githubusercontent.com/u/70824914?s=40&v=4", - "name": "anovazzi1", - "url": "https://github.com/anovazzi1" + "avatar": "https://avatars.githubusercontent.com/u/77750099?s=40&v=4", + "name": "docs-bot", + "url": "https://github.com/docs-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/62335616?s=40&v=4", - "name": "lucaseduoli", - "url": "https://github.com/lucaseduoli" + "avatar": "https://avatars.githubusercontent.com/u/26739?s=40&v=4", + "name": "peterbe", + "url": "https://github.com/peterbe" }, { - "avatar": "https://avatars.githubusercontent.com/u/72977554?s=40&v=4", - "name": "Cristhianzl", - "url": "https://github.com/Cristhianzl" + "avatar": "https://avatars.githubusercontent.com/u/42146119?s=40&v=4", + "name": "mchammer01", + "url": "https://github.com/mchammer01" }, { - "avatar": "https://avatars.githubusercontent.com/u/64559670?s=40&v=4", - "name": "igorrCarvalho", - "url": "https://github.com/igorrCarvalho" + "avatar": "https://avatars.githubusercontent.com/u/821071?s=40&v=4", + "name": "sarahs", + "url": "https://github.com/sarahs" + } + ] + }, + { + "title": "lucide-icons/lucide", + "url": "https://github.com/lucide-icons/lucide", + "description": "Beautiful & consistent icon toolkit made by the community. Open-source project and a fork of Feather Icons.", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "11,497", + "forks": "526", + "addStars": "675", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11825403?s=40&v=4", + "name": "ericfennis", + "url": "https://github.com/ericfennis" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17746067?s=40&v=4", + "name": "karsa-mistmere", + "url": "https://github.com/karsa-mistmere" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25524993?s=40&v=4", + "name": "jguddas", + "url": "https://github.com/jguddas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7797479?s=40&v=4", + "name": "danielbayley", + "url": "https://github.com/danielbayley" } ] }, @@ -439,7 +439,7 @@ "description": "A bundler for javascript and friends. Packs many modules into a few bundled assets. Code Splitting allows for loading parts of the application on demand. Through \"loaders\", modules can be CommonJs, AMD, ES6 modules, CSS, Images, JSON, Coffeescript, LESS, ... and your custom stuff.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "64,817", + "stars": "64,819", "forks": "8,847", "addStars": "240", "contributors": [ @@ -466,76 +466,34 @@ ] }, { - "title": "HeyPuter/puter", - "url": "https://github.com/HeyPuter/puter", - "description": "🌐 The Internet OS! Free, Open-Source, and Self-Hostable.", - "language": "JavaScript", - "languageColor": "#f1e05a", - "stars": "26,648", - "forks": "1,781", - "addStars": "1,172", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7225168?s=40&v=4", - "name": "KernelDeimos", - "url": "https://github.com/KernelDeimos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1715019?s=40&v=4", - "name": "jelveh", - "url": "https://github.com/jelveh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/222642?s=40&v=4", - "name": "AtkinsSJ", - "url": "https://github.com/AtkinsSJ" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/64195132?s=40&v=4", - "name": "FahimFBA", - "url": "https://github.com/FahimFBA" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32158606?s=40&v=4", - "name": "4nshuman", - "url": "https://github.com/4nshuman" - } - ] - }, - { - "title": "UseInterstellar/Interstellar", - "url": "https://github.com/UseInterstellar/Interstellar", - "description": "One of the most popular modern web proxies with blazing fast speeds and a variety of games.", + "title": "huggingface/transformers.js", + "url": "https://github.com/huggingface/transformers.js", + "description": "State-of-the-art Machine Learning for the web. Run 🤗 Transformers directly in your browser, with no need for a server!", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "1,102", - "forks": "13,280", - "addStars": "124", + "stars": "12,168", + "forks": "771", + "addStars": "607", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/85169821?s=40&v=4", - "name": "xbubbo", - "url": "https://github.com/xbubbo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58097612?s=40&v=4", - "name": "3kh0", - "url": "https://github.com/3kh0" + "avatar": "https://avatars.githubusercontent.com/u/26504141?s=40&v=4", + "name": "xenova", + "url": "https://github.com/xenova" }, { - "avatar": "https://avatars.githubusercontent.com/u/120539605?s=40&v=4", - "name": "airtag8892", - "url": "https://github.com/airtag8892" + "avatar": "https://avatars.githubusercontent.com/u/71208698?s=40&v=4", + "name": "chelouche9", + "url": "https://github.com/chelouche9" }, { - "avatar": "https://avatars.githubusercontent.com/u/72777401?s=40&v=4", - "name": "joetheshmoe", - "url": "https://github.com/joetheshmoe" + "avatar": "https://avatars.githubusercontent.com/u/5236548?s=40&v=4", + "name": "kungfooman", + "url": "https://github.com/kungfooman" }, { - "avatar": "https://avatars.githubusercontent.com/u/91590202?s=40&v=4", - "name": "juniorbutyeah", - "url": "https://github.com/juniorbutyeah" + "avatar": "https://avatars.githubusercontent.com/u/414967?s=40&v=4", + "name": "DavidGOrtega", + "url": "https://github.com/DavidGOrtega" } ] }, @@ -545,7 +503,7 @@ "description": "⭐️ Companies that don't have a broken hiring process", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "46,511", + "stars": "46,523", "forks": "3,628", "addStars": "1,132", "contributors": [ @@ -572,34 +530,76 @@ ] }, { - "title": "lucide-icons/lucide", - "url": "https://github.com/lucide-icons/lucide", - "description": "Beautiful & consistent icon toolkit made by the community. Open-source project and a fork of Feather Icons.", + "title": "HeyPuter/puter", + "url": "https://github.com/HeyPuter/puter", + "description": "🌐 The Internet OS! Free, Open-Source, and Self-Hostable.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "11,488", - "forks": "526", - "addStars": "675", + "stars": "26,651", + "forks": "1,783", + "addStars": "1,172", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11825403?s=40&v=4", - "name": "ericfennis", - "url": "https://github.com/ericfennis" + "avatar": "https://avatars.githubusercontent.com/u/7225168?s=40&v=4", + "name": "KernelDeimos", + "url": "https://github.com/KernelDeimos" }, { - "avatar": "https://avatars.githubusercontent.com/u/17746067?s=40&v=4", - "name": "karsa-mistmere", - "url": "https://github.com/karsa-mistmere" + "avatar": "https://avatars.githubusercontent.com/u/1715019?s=40&v=4", + "name": "jelveh", + "url": "https://github.com/jelveh" }, { - "avatar": "https://avatars.githubusercontent.com/u/25524993?s=40&v=4", - "name": "jguddas", - "url": "https://github.com/jguddas" + "avatar": "https://avatars.githubusercontent.com/u/222642?s=40&v=4", + "name": "AtkinsSJ", + "url": "https://github.com/AtkinsSJ" }, { - "avatar": "https://avatars.githubusercontent.com/u/7797479?s=40&v=4", - "name": "danielbayley", - "url": "https://github.com/danielbayley" + "avatar": "https://avatars.githubusercontent.com/u/64195132?s=40&v=4", + "name": "FahimFBA", + "url": "https://github.com/FahimFBA" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32158606?s=40&v=4", + "name": "4nshuman", + "url": "https://github.com/4nshuman" + } + ] + }, + { + "title": "marktext/marktext", + "url": "https://github.com/marktext/marktext", + "description": "📝A simple and elegant markdown editor, available for Linux, macOS and Windows.", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "47,592", + "forks": "3,530", + "addStars": "657", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9712830?s=40&v=4", + "name": "Jocs", + "url": "https://github.com/Jocs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22716132?s=40&v=4", + "name": "fxha", + "url": "https://github.com/fxha" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17591936?s=40&v=4", + "name": "notAlaanor", + "url": "https://github.com/notAlaanor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3466287?s=40&v=4", + "name": "mdogadailo", + "url": "https://github.com/mdogadailo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18595761?s=40&v=4", + "name": "MrHeer", + "url": "https://github.com/MrHeer" } ] }, @@ -609,8 +609,8 @@ "description": "✨ Standard library for JavaScript and Node.js. ✨", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "4,545", - "forks": "508", + "stars": "4,549", + "forks": "507", "addStars": "179", "contributors": [ { @@ -646,7 +646,7 @@ "description": "Opensource IDE For Exploring and Testing Api's (lightweight alternative to postman/insomnia)", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "27,711", + "stars": "27,727", "forks": "1,279", "addStars": "1,333", "contributors": [ @@ -677,14 +677,51 @@ } ] }, + { + "title": "UseInterstellar/Interstellar", + "url": "https://github.com/UseInterstellar/Interstellar", + "description": "One of the most popular modern web proxies with blazing fast speeds and a variety of games.", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "1,102", + "forks": "13,282", + "addStars": "124", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/85169821?s=40&v=4", + "name": "xbubbo", + "url": "https://github.com/xbubbo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/58097612?s=40&v=4", + "name": "3kh0", + "url": "https://github.com/3kh0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/120539605?s=40&v=4", + "name": "airtag8892", + "url": "https://github.com/airtag8892" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/72777401?s=40&v=4", + "name": "joetheshmoe", + "url": "https://github.com/joetheshmoe" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/91590202?s=40&v=4", + "name": "juniorbutyeah", + "url": "https://github.com/juniorbutyeah" + } + ] + }, { "title": "alyssaxuu/screenity", "url": "https://github.com/alyssaxuu/screenity", "description": "The free and privacy-friendly screen recorder with no limits 🎥", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "12,904", - "forks": "1,011", + "stars": "12,907", + "forks": "1,012", "addStars": "867", "contributors": [ { @@ -715,76 +752,76 @@ ] }, { - "title": "poloclub/transformer-explainer", - "url": "https://github.com/poloclub/transformer-explainer", - "description": "Transformer Explained Visually: Learn How LLM Transformer Models Work with Interactive Visualization", + "title": "docmirror/dev-sidecar", + "url": "https://github.com/docmirror/dev-sidecar", + "description": "开发者边车,github打不开,github加速,git clone加速,git release下载加速,stackoverflow加速", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "3,416", - "forks": "299", - "addStars": "625", + "stars": "15,635", + "forks": "1,836", + "addStars": "473", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/29801123?s=40&v=4", - "name": "aereeeee", - "url": "https://github.com/aereeeee" + "avatar": "https://avatars.githubusercontent.com/u/1687298?s=40&v=4", + "name": "greper", + "url": "https://github.com/greper" }, { - "avatar": "https://avatars.githubusercontent.com/u/19506611?s=40&v=4", - "name": "karpekov", - "url": "https://github.com/karpekov" + "avatar": "https://avatars.githubusercontent.com/u/1527893?s=40&v=4", + "name": "wangliang181230", + "url": "https://github.com/wangliang181230" }, { - "avatar": "https://avatars.githubusercontent.com/u/5067740?s=40&v=4", - "name": "polochau", - "url": "https://github.com/polochau" + "avatar": "https://avatars.githubusercontent.com/u/64941905?s=40&v=4", + "name": "starknt", + "url": "https://github.com/starknt" }, { - "avatar": "https://avatars.githubusercontent.com/u/604796?s=40&v=4", - "name": "DennisTraub", - "url": "https://github.com/DennisTraub" + "avatar": "https://avatars.githubusercontent.com/u/40586009?s=40&v=4", + "name": "Mryan2005", + "url": "https://github.com/Mryan2005" }, { - "avatar": "https://avatars.githubusercontent.com/u/30624750?s=40&v=4", - "name": "CasterWx", - "url": "https://github.com/CasterWx" + "avatar": "https://avatars.githubusercontent.com/u/32991121?s=40&v=4", + "name": "Enaium", + "url": "https://github.com/Enaium" } ] }, { - "title": "marktext/marktext", - "url": "https://github.com/marktext/marktext", - "description": "📝A simple and elegant markdown editor, available for Linux, macOS and Windows.", + "title": "wangrongding/wechat-bot", + "url": "https://github.com/wangrongding/wechat-bot", + "description": "🤖一个基于 WeChaty 结合 OpenAi ChatGPT / Kimi / 讯飞等Ai服务实现的微信机器人 ,可以用来帮助你自动回复微信消息,或者管理微信群/好友,检测僵尸粉等...", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "47,584", - "forks": "3,530", - "addStars": "657", + "stars": "5,489", + "forks": "766", + "addStars": "215", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9712830?s=40&v=4", - "name": "Jocs", - "url": "https://github.com/Jocs" + "avatar": "https://avatars.githubusercontent.com/u/42437658?s=40&v=4", + "name": "wangrongding", + "url": "https://github.com/wangrongding" }, { - "avatar": "https://avatars.githubusercontent.com/u/22716132?s=40&v=4", - "name": "fxha", - "url": "https://github.com/fxha" + "avatar": "https://avatars.githubusercontent.com/u/105091166?s=40&v=4", + "name": "lengsukq", + "url": "https://github.com/lengsukq" }, { - "avatar": "https://avatars.githubusercontent.com/u/17591936?s=40&v=4", - "name": "notAlaanor", - "url": "https://github.com/notAlaanor" + "avatar": "https://avatars.githubusercontent.com/u/35028647?s=40&v=4", + "name": "Benature", + "url": "https://github.com/Benature" }, { - "avatar": "https://avatars.githubusercontent.com/u/3466287?s=40&v=4", - "name": "mdogadailo", - "url": "https://github.com/mdogadailo" + "avatar": "https://avatars.githubusercontent.com/u/54298540?s=40&v=4", + "name": "moyueheng", + "url": "https://github.com/moyueheng" }, { - "avatar": "https://avatars.githubusercontent.com/u/18595761?s=40&v=4", - "name": "MrHeer", - "url": "https://github.com/MrHeer" + "avatar": "https://avatars.githubusercontent.com/u/711987?s=40&v=4", + "name": "MarchLiu", + "url": "https://github.com/MarchLiu" } ] } diff --git a/data/monthly/javascript.xml b/data/monthly/javascript.xml index fffd61790d01..7e5eaa25a895 100644 --- a/data/monthly/javascript.xml +++ b/data/monthly/javascript.xml @@ -3,45 +3,7 @@ GitHub Javascript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Javascript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - ToolJet/ToolJet - https://github.com/ToolJet/ToolJet - Low-code platform for building business applications. Connect to databases, cloud storages, GraphQL, API endpoints, Airtable, Google sheets, OpenAI, etc and build apps using drag and drop application builder. Built using JavaScript/TypeScript. 🚀 - https://github.com/ToolJet/ToolJet - JavaScript - #f1e05a - 33,138 - 4,287 - 2,634 - - - https://avatars.githubusercontent.com/u/7828962?s=40&v=4 - Navaneeth-pk - https://github.com/Navaneeth-pk - - - https://avatars.githubusercontent.com/u/67645175?s=40&v=4 - arpitnath - https://github.com/arpitnath - - - https://avatars.githubusercontent.com/u/11629675?s=40&v=4 - akshaysasidrn - https://github.com/akshaysasidrn - - - https://avatars.githubusercontent.com/u/44204658?s=40&v=4 - adishM98 - https://github.com/adishM98 - - - https://avatars.githubusercontent.com/u/25361949?s=40&v=4 - withshubh - https://github.com/withshubh - - - + Mon, 25 Nov 2024 13:30:36 GMT kolbytn/mindcraft https://github.com/kolbytn/mindcraft @@ -49,8 +11,8 @@ https://github.com/kolbytn/mindcraft JavaScript #f1e05a - 2,299 - 280 + 2,302 + 281 1,122 @@ -81,40 +43,40 @@ - louislam/uptime-kuma - https://github.com/louislam/uptime-kuma - A fancy self-hosted monitoring tool - https://github.com/louislam/uptime-kuma + ToolJet/ToolJet + https://github.com/ToolJet/ToolJet + Low-code platform for building business applications. Connect to databases, cloud storages, GraphQL, API endpoints, Airtable, Google sheets, OpenAI, etc and build apps using drag and drop application builder. Built using JavaScript/TypeScript. 🚀 + https://github.com/ToolJet/ToolJet JavaScript #f1e05a - 60,248 - 5,385 - 2,481 + 33,144 + 4,288 + 2,634 - https://avatars.githubusercontent.com/u/1336778?s=40&v=4 - louislam - https://github.com/louislam + https://avatars.githubusercontent.com/u/7828962?s=40&v=4 + Navaneeth-pk + https://github.com/Navaneeth-pk - https://avatars.githubusercontent.com/u/3271800?s=40&v=4 - chakflying - https://github.com/chakflying + https://avatars.githubusercontent.com/u/67645175?s=40&v=4 + arpitnath + https://github.com/arpitnath - https://avatars.githubusercontent.com/u/905878?s=40&v=4 - Saibamen - https://github.com/Saibamen + https://avatars.githubusercontent.com/u/11629675?s=40&v=4 + akshaysasidrn + https://github.com/akshaysasidrn - https://avatars.githubusercontent.com/u/26258709?s=40&v=4 - CommanderStorm - https://github.com/CommanderStorm + https://avatars.githubusercontent.com/u/44204658?s=40&v=4 + adishM98 + https://github.com/adishM98 - https://avatars.githubusercontent.com/u/67638596?s=40&v=4 - Computroniks - https://github.com/Computroniks + https://avatars.githubusercontent.com/u/25361949?s=40&v=4 + withshubh + https://github.com/withshubh @@ -125,8 +87,8 @@ https://github.com/songquanpeng/one-api JavaScript #f1e05a - 19,373 - 4,320 + 19,387 + 4,323 778 @@ -156,6 +118,44 @@ + + louislam/uptime-kuma + https://github.com/louislam/uptime-kuma + A fancy self-hosted monitoring tool + https://github.com/louislam/uptime-kuma + JavaScript + #f1e05a + 60,261 + 5,387 + 2,481 + + + https://avatars.githubusercontent.com/u/1336778?s=40&v=4 + louislam + https://github.com/louislam + + + https://avatars.githubusercontent.com/u/3271800?s=40&v=4 + chakflying + https://github.com/chakflying + + + https://avatars.githubusercontent.com/u/905878?s=40&v=4 + Saibamen + https://github.com/Saibamen + + + https://avatars.githubusercontent.com/u/26258709?s=40&v=4 + CommanderStorm + https://github.com/CommanderStorm + + + https://avatars.githubusercontent.com/u/67638596?s=40&v=4 + Computroniks + https://github.com/Computroniks + + + drawdb-io/drawdb https://github.com/drawdb-io/drawdb @@ -163,7 +163,7 @@ https://github.com/drawdb-io/drawdb JavaScript #f1e05a - 22,735 + 22,737 1,586 2,058 @@ -201,8 +201,8 @@ https://github.com/NginxProxyManager/nginx-proxy-manager JavaScript #f1e05a - 23,306 - 2,700 + 23,315 + 2,701 704 @@ -234,8 +234,8 @@ https://github.com/blackmatrix7/ios_rule_script JavaScript #f1e05a - 18,657 - 3,026 + 18,662 + 3,027 776 @@ -267,7 +267,7 @@ https://github.com/koodo-reader/koodo-reader JavaScript #f1e05a - 19,237 + 19,249 1,485 856 @@ -299,73 +299,40 @@ - github/docs - https://github.com/github/docs - The open-source repo for docs.github.com - https://github.com/github/docs + langflow-ai/langflow + https://github.com/langflow-ai/langflow + Langflow is a low-code app builder for RAG and multi-agent AI applications. It’s Python-based and agnostic to any model, API, or database. + https://github.com/langflow-ai/langflow JavaScript #f1e05a - 16,403 - 59,986 - 202 + 35,496 + 4,226 + 3,219 - https://avatars.githubusercontent.com/u/63058869?s=40&v=4 - Octomerger - https://github.com/Octomerger - - - https://avatars.githubusercontent.com/u/77750099?s=40&v=4 - docs-bot - https://github.com/docs-bot - - - https://avatars.githubusercontent.com/u/26739?s=40&v=4 - peterbe - https://github.com/peterbe - - - https://avatars.githubusercontent.com/u/42146119?s=40&v=4 - mchammer01 - https://github.com/mchammer01 - - - https://avatars.githubusercontent.com/u/821071?s=40&v=4 - sarahs - https://github.com/sarahs + https://avatars.githubusercontent.com/u/24829397?s=40&v=4 + ogabrielluiz + https://github.com/ogabrielluiz - - - - huggingface/transformers.js - https://github.com/huggingface/transformers.js - State-of-the-art Machine Learning for the web. Run 🤗 Transformers directly in your browser, with no need for a server! - https://github.com/huggingface/transformers.js - JavaScript - #f1e05a - 12,166 - 771 - 607 - - https://avatars.githubusercontent.com/u/26504141?s=40&v=4 - xenova - https://github.com/xenova + https://avatars.githubusercontent.com/u/70824914?s=40&v=4 + anovazzi1 + https://github.com/anovazzi1 - https://avatars.githubusercontent.com/u/71208698?s=40&v=4 - chelouche9 - https://github.com/chelouche9 + https://avatars.githubusercontent.com/u/62335616?s=40&v=4 + lucaseduoli + https://github.com/lucaseduoli - https://avatars.githubusercontent.com/u/5236548?s=40&v=4 - kungfooman - https://github.com/kungfooman + https://avatars.githubusercontent.com/u/72977554?s=40&v=4 + Cristhianzl + https://github.com/Cristhianzl - https://avatars.githubusercontent.com/u/414967?s=40&v=4 - DavidGOrtega - https://github.com/DavidGOrtega + https://avatars.githubusercontent.com/u/64559670?s=40&v=4 + igorrCarvalho + https://github.com/igorrCarvalho @@ -376,8 +343,8 @@ https://github.com/mrdoob/three.js JavaScript #f1e05a - 102,910 - 35,390 + 102,917 + 35,392 665 @@ -408,40 +375,73 @@ - langflow-ai/langflow - https://github.com/langflow-ai/langflow - Langflow is a low-code app builder for RAG and multi-agent AI applications. It’s Python-based and agnostic to any model, API, or database. - https://github.com/langflow-ai/langflow + github/docs + https://github.com/github/docs + The open-source repo for docs.github.com + https://github.com/github/docs JavaScript #f1e05a - 35,454 - 4,223 - 3,219 + 16,404 + 59,987 + 202 - https://avatars.githubusercontent.com/u/24829397?s=40&v=4 - ogabrielluiz - https://github.com/ogabrielluiz + https://avatars.githubusercontent.com/u/63058869?s=40&v=4 + Octomerger + https://github.com/Octomerger - https://avatars.githubusercontent.com/u/70824914?s=40&v=4 - anovazzi1 - https://github.com/anovazzi1 + https://avatars.githubusercontent.com/u/77750099?s=40&v=4 + docs-bot + https://github.com/docs-bot - https://avatars.githubusercontent.com/u/62335616?s=40&v=4 - lucaseduoli - https://github.com/lucaseduoli + https://avatars.githubusercontent.com/u/26739?s=40&v=4 + peterbe + https://github.com/peterbe - https://avatars.githubusercontent.com/u/72977554?s=40&v=4 - Cristhianzl - https://github.com/Cristhianzl + https://avatars.githubusercontent.com/u/42146119?s=40&v=4 + mchammer01 + https://github.com/mchammer01 - https://avatars.githubusercontent.com/u/64559670?s=40&v=4 - igorrCarvalho - https://github.com/igorrCarvalho + https://avatars.githubusercontent.com/u/821071?s=40&v=4 + sarahs + https://github.com/sarahs + + + + + lucide-icons/lucide + https://github.com/lucide-icons/lucide + Beautiful & consistent icon toolkit made by the community. Open-source project and a fork of Feather Icons. + https://github.com/lucide-icons/lucide + JavaScript + #f1e05a + 11,497 + 526 + 675 + + + https://avatars.githubusercontent.com/u/11825403?s=40&v=4 + ericfennis + https://github.com/ericfennis + + + https://avatars.githubusercontent.com/u/17746067?s=40&v=4 + karsa-mistmere + https://github.com/karsa-mistmere + + + https://avatars.githubusercontent.com/u/25524993?s=40&v=4 + jguddas + https://github.com/jguddas + + + https://avatars.githubusercontent.com/u/7797479?s=40&v=4 + danielbayley + https://github.com/danielbayley @@ -452,7 +452,7 @@ https://github.com/webpack/webpack JavaScript #f1e05a - 64,817 + 64,819 8,847 240 @@ -479,78 +479,35 @@ - HeyPuter/puter - https://github.com/HeyPuter/puter - 🌐 The Internet OS! Free, Open-Source, and Self-Hostable. - https://github.com/HeyPuter/puter - JavaScript - #f1e05a - 26,648 - 1,781 - 1,172 - - - https://avatars.githubusercontent.com/u/7225168?s=40&v=4 - KernelDeimos - https://github.com/KernelDeimos - - - https://avatars.githubusercontent.com/u/1715019?s=40&v=4 - jelveh - https://github.com/jelveh - - - https://avatars.githubusercontent.com/u/222642?s=40&v=4 - AtkinsSJ - https://github.com/AtkinsSJ - - - https://avatars.githubusercontent.com/u/64195132?s=40&v=4 - FahimFBA - https://github.com/FahimFBA - - - https://avatars.githubusercontent.com/u/32158606?s=40&v=4 - 4nshuman - https://github.com/4nshuman - - - - - UseInterstellar/Interstellar - https://github.com/UseInterstellar/Interstellar - One of the most popular modern web proxies with blazing fast speeds and a variety of games. - https://github.com/UseInterstellar/Interstellar + huggingface/transformers.js + https://github.com/huggingface/transformers.js + State-of-the-art Machine Learning for the web. Run 🤗 Transformers directly in your browser, with no need for a server! + https://github.com/huggingface/transformers.js JavaScript #f1e05a - 1,102 - 13,280 - 124 + 12,168 + 771 + 607 - https://avatars.githubusercontent.com/u/85169821?s=40&v=4 - xbubbo - https://github.com/xbubbo - - - https://avatars.githubusercontent.com/u/58097612?s=40&v=4 - 3kh0 - https://github.com/3kh0 + https://avatars.githubusercontent.com/u/26504141?s=40&v=4 + xenova + https://github.com/xenova - https://avatars.githubusercontent.com/u/120539605?s=40&v=4 - airtag8892 - https://github.com/airtag8892 + https://avatars.githubusercontent.com/u/71208698?s=40&v=4 + chelouche9 + https://github.com/chelouche9 - https://avatars.githubusercontent.com/u/72777401?s=40&v=4 - joetheshmoe - https://github.com/joetheshmoe + https://avatars.githubusercontent.com/u/5236548?s=40&v=4 + kungfooman + https://github.com/kungfooman - https://avatars.githubusercontent.com/u/91590202?s=40&v=4 - juniorbutyeah - https://github.com/juniorbutyeah + https://avatars.githubusercontent.com/u/414967?s=40&v=4 + DavidGOrtega + https://github.com/DavidGOrtega @@ -561,7 +518,7 @@ https://github.com/poteto/hiring-without-whiteboards JavaScript #f1e05a - 46,511 + 46,523 3,628 1,132 @@ -588,35 +545,78 @@ - lucide-icons/lucide - https://github.com/lucide-icons/lucide - Beautiful & consistent icon toolkit made by the community. Open-source project and a fork of Feather Icons. - https://github.com/lucide-icons/lucide + HeyPuter/puter + https://github.com/HeyPuter/puter + 🌐 The Internet OS! Free, Open-Source, and Self-Hostable. + https://github.com/HeyPuter/puter JavaScript #f1e05a - 11,488 - 526 - 675 + 26,651 + 1,783 + 1,172 - https://avatars.githubusercontent.com/u/11825403?s=40&v=4 - ericfennis - https://github.com/ericfennis + https://avatars.githubusercontent.com/u/7225168?s=40&v=4 + KernelDeimos + https://github.com/KernelDeimos - https://avatars.githubusercontent.com/u/17746067?s=40&v=4 - karsa-mistmere - https://github.com/karsa-mistmere + https://avatars.githubusercontent.com/u/1715019?s=40&v=4 + jelveh + https://github.com/jelveh - https://avatars.githubusercontent.com/u/25524993?s=40&v=4 - jguddas - https://github.com/jguddas + https://avatars.githubusercontent.com/u/222642?s=40&v=4 + AtkinsSJ + https://github.com/AtkinsSJ - https://avatars.githubusercontent.com/u/7797479?s=40&v=4 - danielbayley - https://github.com/danielbayley + https://avatars.githubusercontent.com/u/64195132?s=40&v=4 + FahimFBA + https://github.com/FahimFBA + + + https://avatars.githubusercontent.com/u/32158606?s=40&v=4 + 4nshuman + https://github.com/4nshuman + + + + + marktext/marktext + https://github.com/marktext/marktext + 📝A simple and elegant markdown editor, available for Linux, macOS and Windows. + https://github.com/marktext/marktext + JavaScript + #f1e05a + 47,592 + 3,530 + 657 + + + https://avatars.githubusercontent.com/u/9712830?s=40&v=4 + Jocs + https://github.com/Jocs + + + https://avatars.githubusercontent.com/u/22716132?s=40&v=4 + fxha + https://github.com/fxha + + + https://avatars.githubusercontent.com/u/17591936?s=40&v=4 + notAlaanor + https://github.com/notAlaanor + + + https://avatars.githubusercontent.com/u/3466287?s=40&v=4 + mdogadailo + https://github.com/mdogadailo + + + https://avatars.githubusercontent.com/u/18595761?s=40&v=4 + MrHeer + https://github.com/MrHeer @@ -627,8 +627,8 @@ https://github.com/stdlib-js/stdlib JavaScript #f1e05a - 4,545 - 508 + 4,549 + 507 179 @@ -665,7 +665,7 @@ https://github.com/usebruno/bruno JavaScript #f1e05a - 27,711 + 27,727 1,279 1,333 @@ -696,6 +696,44 @@ + + UseInterstellar/Interstellar + https://github.com/UseInterstellar/Interstellar + One of the most popular modern web proxies with blazing fast speeds and a variety of games. + https://github.com/UseInterstellar/Interstellar + JavaScript + #f1e05a + 1,102 + 13,282 + 124 + + + https://avatars.githubusercontent.com/u/85169821?s=40&v=4 + xbubbo + https://github.com/xbubbo + + + https://avatars.githubusercontent.com/u/58097612?s=40&v=4 + 3kh0 + https://github.com/3kh0 + + + https://avatars.githubusercontent.com/u/120539605?s=40&v=4 + airtag8892 + https://github.com/airtag8892 + + + https://avatars.githubusercontent.com/u/72777401?s=40&v=4 + joetheshmoe + https://github.com/joetheshmoe + + + https://avatars.githubusercontent.com/u/91590202?s=40&v=4 + juniorbutyeah + https://github.com/juniorbutyeah + + + alyssaxuu/screenity https://github.com/alyssaxuu/screenity @@ -703,8 +741,8 @@ https://github.com/alyssaxuu/screenity JavaScript #f1e05a - 12,904 - 1,011 + 12,907 + 1,012 867 @@ -735,78 +773,78 @@ - poloclub/transformer-explainer - https://github.com/poloclub/transformer-explainer - Transformer Explained Visually: Learn How LLM Transformer Models Work with Interactive Visualization - https://github.com/poloclub/transformer-explainer + docmirror/dev-sidecar + https://github.com/docmirror/dev-sidecar + 开发者边车,github打不开,github加速,git clone加速,git release下载加速,stackoverflow加速 + https://github.com/docmirror/dev-sidecar JavaScript #f1e05a - 3,416 - 299 - 625 + 15,635 + 1,836 + 473 - https://avatars.githubusercontent.com/u/29801123?s=40&v=4 - aereeeee - https://github.com/aereeeee + https://avatars.githubusercontent.com/u/1687298?s=40&v=4 + greper + https://github.com/greper - https://avatars.githubusercontent.com/u/19506611?s=40&v=4 - karpekov - https://github.com/karpekov + https://avatars.githubusercontent.com/u/1527893?s=40&v=4 + wangliang181230 + https://github.com/wangliang181230 - https://avatars.githubusercontent.com/u/5067740?s=40&v=4 - polochau - https://github.com/polochau + https://avatars.githubusercontent.com/u/64941905?s=40&v=4 + starknt + https://github.com/starknt - https://avatars.githubusercontent.com/u/604796?s=40&v=4 - DennisTraub - https://github.com/DennisTraub + https://avatars.githubusercontent.com/u/40586009?s=40&v=4 + Mryan2005 + https://github.com/Mryan2005 - https://avatars.githubusercontent.com/u/30624750?s=40&v=4 - CasterWx - https://github.com/CasterWx + https://avatars.githubusercontent.com/u/32991121?s=40&v=4 + Enaium + https://github.com/Enaium - marktext/marktext - https://github.com/marktext/marktext - 📝A simple and elegant markdown editor, available for Linux, macOS and Windows. - https://github.com/marktext/marktext + wangrongding/wechat-bot + https://github.com/wangrongding/wechat-bot + 🤖一个基于 WeChaty 结合 OpenAi ChatGPT / Kimi / 讯飞等Ai服务实现的微信机器人 ,可以用来帮助你自动回复微信消息,或者管理微信群/好友,检测僵尸粉等... + https://github.com/wangrongding/wechat-bot JavaScript #f1e05a - 47,584 - 3,530 - 657 + 5,489 + 766 + 215 - https://avatars.githubusercontent.com/u/9712830?s=40&v=4 - Jocs - https://github.com/Jocs + https://avatars.githubusercontent.com/u/42437658?s=40&v=4 + wangrongding + https://github.com/wangrongding - https://avatars.githubusercontent.com/u/22716132?s=40&v=4 - fxha - https://github.com/fxha + https://avatars.githubusercontent.com/u/105091166?s=40&v=4 + lengsukq + https://github.com/lengsukq - https://avatars.githubusercontent.com/u/17591936?s=40&v=4 - notAlaanor - https://github.com/notAlaanor + https://avatars.githubusercontent.com/u/35028647?s=40&v=4 + Benature + https://github.com/Benature - https://avatars.githubusercontent.com/u/3466287?s=40&v=4 - mdogadailo - https://github.com/mdogadailo + https://avatars.githubusercontent.com/u/54298540?s=40&v=4 + moyueheng + https://github.com/moyueheng - https://avatars.githubusercontent.com/u/18595761?s=40&v=4 - MrHeer - https://github.com/MrHeer + https://avatars.githubusercontent.com/u/711987?s=40&v=4 + MarchLiu + https://github.com/MarchLiu diff --git a/data/monthly/jcl.json b/data/monthly/jcl.json index e1f24507182c..a9ec874e6c13 100644 --- a/data/monthly/jcl.json +++ b/data/monthly/jcl.json @@ -2,6 +2,6 @@ "title": "GitHub Jcl Languages Monthly Trending", "description": "Monthly Trending of Jcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jcl.xml b/data/monthly/jcl.xml index db23f33cd000..2abf7ce485b0 100644 --- a/data/monthly/jcl.xml +++ b/data/monthly/jcl.xml @@ -3,6 +3,6 @@ GitHub Jcl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jest-snapshot.json b/data/monthly/jest-snapshot.json index 2f9c2b2a7d03..74f61eb58ddc 100644 --- a/data/monthly/jest-snapshot.json +++ b/data/monthly/jest-snapshot.json @@ -2,6 +2,6 @@ "title": "GitHub Jest-snapshot Languages Monthly Trending", "description": "Monthly Trending of Jest-snapshot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jest-snapshot.xml b/data/monthly/jest-snapshot.xml index fe31d6889d52..67a2342146b6 100644 --- a/data/monthly/jest-snapshot.xml +++ b/data/monthly/jest-snapshot.xml @@ -3,6 +3,6 @@ GitHub Jest-snapshot Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jest-snapshot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jetbrains-mps.json b/data/monthly/jetbrains-mps.json index 24b6d2421fc5..a9183a03a007 100644 --- a/data/monthly/jetbrains-mps.json +++ b/data/monthly/jetbrains-mps.json @@ -2,6 +2,6 @@ "title": "GitHub Jetbrains-mps Languages Monthly Trending", "description": "Monthly Trending of Jetbrains-mps Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jetbrains-mps.xml b/data/monthly/jetbrains-mps.xml index cdbaf60d65ad..4d0f696477fe 100644 --- a/data/monthly/jetbrains-mps.xml +++ b/data/monthly/jetbrains-mps.xml @@ -3,6 +3,6 @@ GitHub Jetbrains-mps Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jetbrains-mps Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jflex.json b/data/monthly/jflex.json index 4aa862ccee20..2219b00477d8 100644 --- a/data/monthly/jflex.json +++ b/data/monthly/jflex.json @@ -2,6 +2,6 @@ "title": "GitHub Jflex Languages Monthly Trending", "description": "Monthly Trending of Jflex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jflex.xml b/data/monthly/jflex.xml index 1a20300f16da..878dc917985e 100644 --- a/data/monthly/jflex.xml +++ b/data/monthly/jflex.xml @@ -3,6 +3,6 @@ GitHub Jflex Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jflex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jinja.json b/data/monthly/jinja.json index 0be96939df10..8a8d051dffb9 100644 --- a/data/monthly/jinja.json +++ b/data/monthly/jinja.json @@ -2,6 +2,6 @@ "title": "GitHub Jinja Languages Monthly Trending", "description": "Monthly Trending of Jinja Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jinja.xml b/data/monthly/jinja.xml index cb1f8c17fa4e..6e2c6b78bf14 100644 --- a/data/monthly/jinja.xml +++ b/data/monthly/jinja.xml @@ -3,6 +3,6 @@ GitHub Jinja Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jinja Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jison-lex.json b/data/monthly/jison-lex.json index 46dd44dedd15..395c8aa458e8 100644 --- a/data/monthly/jison-lex.json +++ b/data/monthly/jison-lex.json @@ -2,6 +2,6 @@ "title": "GitHub Jison-lex Languages Monthly Trending", "description": "Monthly Trending of Jison-lex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jison-lex.xml b/data/monthly/jison-lex.xml index 7df5e79a2bd8..8d6833ae7a18 100644 --- a/data/monthly/jison-lex.xml +++ b/data/monthly/jison-lex.xml @@ -3,6 +3,6 @@ GitHub Jison-lex Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jison-lex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jison.json b/data/monthly/jison.json index b276600fd387..4ae3f28654bc 100644 --- a/data/monthly/jison.json +++ b/data/monthly/jison.json @@ -2,6 +2,6 @@ "title": "GitHub Jison Languages Monthly Trending", "description": "Monthly Trending of Jison Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jison.xml b/data/monthly/jison.xml index 2e625c2af91f..44e20e0a67ce 100644 --- a/data/monthly/jison.xml +++ b/data/monthly/jison.xml @@ -3,6 +3,6 @@ GitHub Jison Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jison Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jolie.json b/data/monthly/jolie.json index c5d3b69f3b85..27beda3d815b 100644 --- a/data/monthly/jolie.json +++ b/data/monthly/jolie.json @@ -2,6 +2,6 @@ "title": "GitHub Jolie Languages Monthly Trending", "description": "Monthly Trending of Jolie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jolie.xml b/data/monthly/jolie.xml index def4cc9374b8..35454c20e83a 100644 --- a/data/monthly/jolie.xml +++ b/data/monthly/jolie.xml @@ -3,6 +3,6 @@ GitHub Jolie Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jolie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jq.json b/data/monthly/jq.json index 9fae9eaff44f..ea8bed36ae16 100644 --- a/data/monthly/jq.json +++ b/data/monthly/jq.json @@ -2,6 +2,6 @@ "title": "GitHub Jq Languages Monthly Trending", "description": "Monthly Trending of Jq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jq.xml b/data/monthly/jq.xml index 5ef4d7fa5d53..13f53fa0be8b 100644 --- a/data/monthly/jq.xml +++ b/data/monthly/jq.xml @@ -3,6 +3,6 @@ GitHub Jq Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/json-with-comments.json b/data/monthly/json-with-comments.json index d437cb9ce906..4bc3aeaf3369 100644 --- a/data/monthly/json-with-comments.json +++ b/data/monthly/json-with-comments.json @@ -2,6 +2,6 @@ "title": "GitHub Json-with-comments Languages Monthly Trending", "description": "Monthly Trending of Json-with-comments Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/json-with-comments.xml b/data/monthly/json-with-comments.xml index 0ade454eaccf..aa4f84470118 100644 --- a/data/monthly/json-with-comments.xml +++ b/data/monthly/json-with-comments.xml @@ -3,6 +3,6 @@ GitHub Json-with-comments Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Json-with-comments Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/json.json b/data/monthly/json.json index 3d76600e777b..87105ff973bf 100644 --- a/data/monthly/json.json +++ b/data/monthly/json.json @@ -2,7 +2,7 @@ "title": "GitHub Json Languages Monthly Trending", "description": "Monthly Trending of Json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "mdn/browser-compat-data", @@ -10,7 +10,7 @@ "description": "This repository contains compatibility data for Web technologies as displayed on MDN", "language": "JSON", "languageColor": "#292929", - "stars": "5,005", + "stars": "5,006", "forks": "2,006", "addStars": "67", "contributors": [ diff --git a/data/monthly/json.xml b/data/monthly/json.xml index b824d6b1935d..4d67e2fb7556 100644 --- a/data/monthly/json.xml +++ b/data/monthly/json.xml @@ -3,7 +3,7 @@ GitHub Json Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT mdn/browser-compat-data https://github.com/mdn/browser-compat-data @@ -11,7 +11,7 @@ https://github.com/mdn/browser-compat-data JSON #292929 - 5,005 + 5,006 2,006 67 diff --git a/data/monthly/json5.json b/data/monthly/json5.json index 84bbe53d7d8b..bd2b81705c35 100644 --- a/data/monthly/json5.json +++ b/data/monthly/json5.json @@ -2,6 +2,6 @@ "title": "GitHub Json5 Languages Monthly Trending", "description": "Monthly Trending of Json5 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/json5.xml b/data/monthly/json5.xml index a69694e3aaa7..890ff54e3e88 100644 --- a/data/monthly/json5.xml +++ b/data/monthly/json5.xml @@ -3,6 +3,6 @@ GitHub Json5 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Json5 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jsoniq.json b/data/monthly/jsoniq.json index e891e68ea6cb..4f933f44fb8a 100644 --- a/data/monthly/jsoniq.json +++ b/data/monthly/jsoniq.json @@ -2,6 +2,6 @@ "title": "GitHub Jsoniq Languages Monthly Trending", "description": "Monthly Trending of Jsoniq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jsoniq.xml b/data/monthly/jsoniq.xml index 689833a08602..d287950c2563 100644 --- a/data/monthly/jsoniq.xml +++ b/data/monthly/jsoniq.xml @@ -3,6 +3,6 @@ GitHub Jsoniq Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jsoniq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jsonld.json b/data/monthly/jsonld.json index 59fdcd4c1ba6..18aef0216c4c 100644 --- a/data/monthly/jsonld.json +++ b/data/monthly/jsonld.json @@ -2,6 +2,6 @@ "title": "GitHub Jsonld Languages Monthly Trending", "description": "Monthly Trending of Jsonld Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/jsonld.xml b/data/monthly/jsonld.xml index 31c7456db4c0..0c12a33332fd 100644 --- a/data/monthly/jsonld.xml +++ b/data/monthly/jsonld.xml @@ -3,6 +3,6 @@ GitHub Jsonld Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jsonld Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/jsonnet.json b/data/monthly/jsonnet.json index 9e08c25efca4..7614ff1d1a2e 100644 --- a/data/monthly/jsonnet.json +++ b/data/monthly/jsonnet.json @@ -2,8 +2,45 @@ "title": "GitHub Jsonnet Languages Monthly Trending", "description": "Monthly Trending of Jsonnet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "argoproj/argocd-example-apps", + "url": "https://github.com/argoproj/argocd-example-apps", + "description": "Example Apps to Demonstrate Argo CD", + "language": "Jsonnet", + "languageColor": "#0064bd", + "stars": "1,597", + "forks": "7,229", + "addStars": "31", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12677113?s=40&v=4", + "name": "jessesuen", + "url": "https://github.com/jessesuen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1142830?s=40&v=4", + "name": "alexec", + "url": "https://github.com/alexec" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/426437?s=40&v=4", + "name": "alexmt", + "url": "https://github.com/alexmt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/410404?s=40&v=4", + "name": "limnick", + "url": "https://github.com/limnick" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1015125?s=40&v=4", + "name": "ajayk", + "url": "https://github.com/ajayk" + } + ] + }, { "title": "grafana/jsonnet-libs", "url": "https://github.com/grafana/jsonnet-libs", @@ -47,7 +84,7 @@ "description": "Use Prometheus to monitor Kubernetes and applications running on Kubernetes", "language": "Jsonnet", "languageColor": "#0064bd", - "stars": "6,788", + "stars": "6,789", "forks": "1,936", "addStars": "76", "contributors": [ @@ -78,43 +115,6 @@ } ] }, - { - "title": "argoproj/argocd-example-apps", - "url": "https://github.com/argoproj/argocd-example-apps", - "description": "Example Apps to Demonstrate Argo CD", - "language": "Jsonnet", - "languageColor": "#0064bd", - "stars": "1,595", - "forks": "7,228", - "addStars": "31", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12677113?s=40&v=4", - "name": "jessesuen", - "url": "https://github.com/jessesuen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1142830?s=40&v=4", - "name": "alexec", - "url": "https://github.com/alexec" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/426437?s=40&v=4", - "name": "alexmt", - "url": "https://github.com/alexmt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/410404?s=40&v=4", - "name": "limnick", - "url": "https://github.com/limnick" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1015125?s=40&v=4", - "name": "ajayk", - "url": "https://github.com/ajayk" - } - ] - }, { "title": "google/jsonnet", "url": "https://github.com/google/jsonnet", diff --git a/data/monthly/jsonnet.xml b/data/monthly/jsonnet.xml index 0bd9cc30bf56..036c71c23fe6 100644 --- a/data/monthly/jsonnet.xml +++ b/data/monthly/jsonnet.xml @@ -3,7 +3,45 @@ GitHub Jsonnet Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Jsonnet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + argoproj/argocd-example-apps + https://github.com/argoproj/argocd-example-apps + Example Apps to Demonstrate Argo CD + https://github.com/argoproj/argocd-example-apps + Jsonnet + #0064bd + 1,597 + 7,229 + 31 + + + https://avatars.githubusercontent.com/u/12677113?s=40&v=4 + jessesuen + https://github.com/jessesuen + + + https://avatars.githubusercontent.com/u/1142830?s=40&v=4 + alexec + https://github.com/alexec + + + https://avatars.githubusercontent.com/u/426437?s=40&v=4 + alexmt + https://github.com/alexmt + + + https://avatars.githubusercontent.com/u/410404?s=40&v=4 + limnick + https://github.com/limnick + + + https://avatars.githubusercontent.com/u/1015125?s=40&v=4 + ajayk + https://github.com/ajayk + + + grafana/jsonnet-libs https://github.com/grafana/jsonnet-libs @@ -49,7 +87,7 @@ https://github.com/prometheus-operator/kube-prometheus Jsonnet #0064bd - 6,788 + 6,789 1,936 76 @@ -80,44 +118,6 @@ - - argoproj/argocd-example-apps - https://github.com/argoproj/argocd-example-apps - Example Apps to Demonstrate Argo CD - https://github.com/argoproj/argocd-example-apps - Jsonnet - #0064bd - 1,595 - 7,228 - 31 - - - https://avatars.githubusercontent.com/u/12677113?s=40&v=4 - jessesuen - https://github.com/jessesuen - - - https://avatars.githubusercontent.com/u/1142830?s=40&v=4 - alexec - https://github.com/alexec - - - https://avatars.githubusercontent.com/u/426437?s=40&v=4 - alexmt - https://github.com/alexmt - - - https://avatars.githubusercontent.com/u/410404?s=40&v=4 - limnick - https://github.com/limnick - - - https://avatars.githubusercontent.com/u/1015125?s=40&v=4 - ajayk - https://github.com/ajayk - - - google/jsonnet https://github.com/google/jsonnet diff --git a/data/monthly/julia-repl.json b/data/monthly/julia-repl.json index 96ca778cecc8..13e9f5d7b066 100644 --- a/data/monthly/julia-repl.json +++ b/data/monthly/julia-repl.json @@ -2,6 +2,6 @@ "title": "GitHub Julia-repl Languages Monthly Trending", "description": "Monthly Trending of Julia-repl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/julia-repl.xml b/data/monthly/julia-repl.xml index 0ba7ede3b523..95aef2e34fbd 100644 --- a/data/monthly/julia-repl.xml +++ b/data/monthly/julia-repl.xml @@ -3,6 +3,6 @@ GitHub Julia-repl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Julia-repl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/julia.json b/data/monthly/julia.json index e148f92ff9fa..ce3fb57c5d79 100644 --- a/data/monthly/julia.json +++ b/data/monthly/julia.json @@ -2,7 +2,7 @@ "title": "GitHub Julia Languages Monthly Trending", "description": "Monthly Trending of Julia Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "JuliaLang/julia", @@ -10,8 +10,8 @@ "description": "The Julia Programming Language", "language": "Julia", "languageColor": "#a270ba", - "stars": "45,860", - "forks": "5,489", + "stars": "45,862", + "forks": "5,488", "addStars": "321", "contributors": [ { @@ -48,7 +48,7 @@ "language": "Julia", "languageColor": "#a270ba", "stars": "608", - "forks": "468", + "forks": "469", "addStars": "7", "contributors": [ { @@ -84,7 +84,7 @@ "description": "A package for creating slides in Typst", "language": "Julia", "languageColor": "#a270ba", - "stars": "1,189", + "stars": "1,190", "forks": "56", "addStars": "38", "contributors": [ diff --git a/data/monthly/julia.xml b/data/monthly/julia.xml index 3b056ce183fa..c6f7aa0c6203 100644 --- a/data/monthly/julia.xml +++ b/data/monthly/julia.xml @@ -3,7 +3,7 @@ GitHub Julia Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Julia Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT JuliaLang/julia https://github.com/JuliaLang/julia @@ -11,8 +11,8 @@ https://github.com/JuliaLang/julia Julia #a270ba - 45,860 - 5,489 + 45,862 + 5,488 321 @@ -50,7 +50,7 @@ Julia #a270ba 608 - 468 + 469 7 @@ -87,7 +87,7 @@ https://github.com/andreasKroepelin/polylux Julia #a270ba - 1,189 + 1,190 56 38 diff --git a/data/monthly/just.json b/data/monthly/just.json index 08bd5cc457b4..b2a1fd1dd4ae 100644 --- a/data/monthly/just.json +++ b/data/monthly/just.json @@ -2,7 +2,7 @@ "title": "GitHub Just Languages Monthly Trending", "description": "Monthly Trending of Just Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pop-os/cosmic-epoch", @@ -10,7 +10,7 @@ "description": "Next generation Cosmic desktop environment", "language": "Just", "languageColor": "#384d54", - "stars": "3,199", + "stars": "3,200", "forks": "86", "addStars": "189", "contributors": [ diff --git a/data/monthly/just.xml b/data/monthly/just.xml index 999ede346267..342f978ca868 100644 --- a/data/monthly/just.xml +++ b/data/monthly/just.xml @@ -3,7 +3,7 @@ GitHub Just Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Just Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pop-os/cosmic-epoch https://github.com/pop-os/cosmic-epoch @@ -11,7 +11,7 @@ https://github.com/pop-os/cosmic-epoch Just #384d54 - 3,199 + 3,200 86 189 diff --git a/data/monthly/kaitai-struct.json b/data/monthly/kaitai-struct.json index 86e731200805..4b079f803818 100644 --- a/data/monthly/kaitai-struct.json +++ b/data/monthly/kaitai-struct.json @@ -2,6 +2,6 @@ "title": "GitHub Kaitai-struct Languages Monthly Trending", "description": "Monthly Trending of Kaitai-struct Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kaitai-struct.xml b/data/monthly/kaitai-struct.xml index c58950ba10d6..1996e9d2eaba 100644 --- a/data/monthly/kaitai-struct.xml +++ b/data/monthly/kaitai-struct.xml @@ -3,6 +3,6 @@ GitHub Kaitai-struct Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kaitai-struct Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kakounescript.json b/data/monthly/kakounescript.json index e4b14ff9dbf1..d4c42e4ed812 100644 --- a/data/monthly/kakounescript.json +++ b/data/monthly/kakounescript.json @@ -2,6 +2,6 @@ "title": "GitHub Kakounescript Languages Monthly Trending", "description": "Monthly Trending of Kakounescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kakounescript.xml b/data/monthly/kakounescript.xml index 47b62258f0be..70db7389b7e7 100644 --- a/data/monthly/kakounescript.xml +++ b/data/monthly/kakounescript.xml @@ -3,6 +3,6 @@ GitHub Kakounescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kakounescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kerboscript.json b/data/monthly/kerboscript.json index b20539c96546..bb8d84c67ae9 100644 --- a/data/monthly/kerboscript.json +++ b/data/monthly/kerboscript.json @@ -2,6 +2,6 @@ "title": "GitHub Kerboscript Languages Monthly Trending", "description": "Monthly Trending of Kerboscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kerboscript.xml b/data/monthly/kerboscript.xml index f039eed37a48..0e85af03f624 100644 --- a/data/monthly/kerboscript.xml +++ b/data/monthly/kerboscript.xml @@ -3,6 +3,6 @@ GitHub Kerboscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kerboscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kicad-layout.json b/data/monthly/kicad-layout.json index af9ae2a66d7c..44145df1ec39 100644 --- a/data/monthly/kicad-layout.json +++ b/data/monthly/kicad-layout.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-layout Languages Monthly Trending", "description": "Monthly Trending of Kicad-layout Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kicad-layout.xml b/data/monthly/kicad-layout.xml index 699b2c61f462..c9e8dc97d0ca 100644 --- a/data/monthly/kicad-layout.xml +++ b/data/monthly/kicad-layout.xml @@ -3,6 +3,6 @@ GitHub Kicad-layout Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kicad-layout Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kicad-legacy-layout.json b/data/monthly/kicad-legacy-layout.json index 8623df5fe3ba..8885a5a6c25b 100644 --- a/data/monthly/kicad-legacy-layout.json +++ b/data/monthly/kicad-legacy-layout.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-legacy-layout Languages Monthly Trending", "description": "Monthly Trending of Kicad-legacy-layout Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kicad-legacy-layout.xml b/data/monthly/kicad-legacy-layout.xml index c345fde86039..ac87476435cb 100644 --- a/data/monthly/kicad-legacy-layout.xml +++ b/data/monthly/kicad-legacy-layout.xml @@ -3,6 +3,6 @@ GitHub Kicad-legacy-layout Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kicad-legacy-layout Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kicad-schematic.json b/data/monthly/kicad-schematic.json index c9f1af2ff8dc..36ee7944e942 100644 --- a/data/monthly/kicad-schematic.json +++ b/data/monthly/kicad-schematic.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-schematic Languages Monthly Trending", "description": "Monthly Trending of Kicad-schematic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kicad-schematic.xml b/data/monthly/kicad-schematic.xml index e74e586ea636..d4398a0e80eb 100644 --- a/data/monthly/kicad-schematic.xml +++ b/data/monthly/kicad-schematic.xml @@ -3,6 +3,6 @@ GitHub Kicad-schematic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kicad-schematic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kickstart.json b/data/monthly/kickstart.json index f12290af7038..dbcfac707542 100644 --- a/data/monthly/kickstart.json +++ b/data/monthly/kickstart.json @@ -2,6 +2,6 @@ "title": "GitHub Kickstart Languages Monthly Trending", "description": "Monthly Trending of Kickstart Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kickstart.xml b/data/monthly/kickstart.xml index efb533d50596..6768ae03e0e9 100644 --- a/data/monthly/kickstart.xml +++ b/data/monthly/kickstart.xml @@ -3,6 +3,6 @@ GitHub Kickstart Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kickstart Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kit.json b/data/monthly/kit.json index fab81413d76b..9de3240e32ee 100644 --- a/data/monthly/kit.json +++ b/data/monthly/kit.json @@ -2,6 +2,6 @@ "title": "GitHub Kit Languages Monthly Trending", "description": "Monthly Trending of Kit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kit.xml b/data/monthly/kit.xml index e0c63db2627c..94e434f0c108 100644 --- a/data/monthly/kit.xml +++ b/data/monthly/kit.xml @@ -3,6 +3,6 @@ GitHub Kit Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kotlin.json b/data/monthly/kotlin.json index 0ec3064e8389..c7799e0bc3a6 100644 --- a/data/monthly/kotlin.json +++ b/data/monthly/kotlin.json @@ -2,7 +2,7 @@ "title": "GitHub Kotlin Languages Monthly Trending", "description": "Monthly Trending of Kotlin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gkd-kit/gkd", @@ -10,8 +10,8 @@ "description": "基于无障碍,高级选择器,订阅规则的自定义屏幕点击 Android 应用 | An Android APP with custom screen tapping based on Accessibility, Advanced Selectors, and Subscription Rules", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "22,476", - "forks": "1,126", + "stars": "22,486", + "forks": "1,128", "addStars": "1,635", "contributors": [ { @@ -47,8 +47,8 @@ "description": "A Download Manager that speeds up your downloads", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "3,234", - "forks": "161", + "stars": "3,250", + "forks": "163", "addStars": "1,452", "contributors": [ { @@ -78,50 +78,13 @@ } ] }, - { - "title": "vfsfitvnm/ViMusic", - "url": "https://github.com/vfsfitvnm/ViMusic", - "description": "An Android application for streaming music from YouTube Music.", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "9,135", - "forks": "906", - "addStars": "437", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/46219656?s=40&v=4", - "name": "vfsfitvnm", - "url": "https://github.com/vfsfitvnm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82752168?s=40&v=4", - "name": "Bnyro", - "url": "https://github.com/Bnyro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8887756?s=40&v=4", - "name": "offa", - "url": "https://github.com/offa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8983976?s=40&v=4", - "name": "sriio", - "url": "https://github.com/sriio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11215000?s=40&v=4", - "name": "Surendrajat", - "url": "https://github.com/Surendrajat" - } - ] - }, { "title": "thunderbird/thunderbird-android", "url": "https://github.com/thunderbird/thunderbird-android", "description": "Thunderbird for Android – Open Source Email App for Android (fka K-9 Mail)", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "11,042", + "stars": "11,043", "forks": "2,507", "addStars": "593", "contributors": [ @@ -158,7 +121,7 @@ "description": "A Kernel based root solution for Android", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "10,547", + "stars": "10,551", "forks": "1,728", "addStars": "496", "contributors": [ @@ -179,13 +142,50 @@ } ] }, + { + "title": "vfsfitvnm/ViMusic", + "url": "https://github.com/vfsfitvnm/ViMusic", + "description": "An Android application for streaming music from YouTube Music.", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "9,139", + "forks": "906", + "addStars": "437", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/46219656?s=40&v=4", + "name": "vfsfitvnm", + "url": "https://github.com/vfsfitvnm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82752168?s=40&v=4", + "name": "Bnyro", + "url": "https://github.com/Bnyro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8887756?s=40&v=4", + "name": "offa", + "url": "https://github.com/offa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8983976?s=40&v=4", + "name": "sriio", + "url": "https://github.com/sriio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11215000?s=40&v=4", + "name": "Surendrajat", + "url": "https://github.com/Surendrajat" + } + ] + }, { "title": "hectorqin/reader", "url": "https://github.com/hectorqin/reader", "description": "阅读3服务器版,桌面端,iOS可用。后端 Kotlin + Spring Boot + Vert.x + Coroutine ;前端 Vue.js + Element。麻烦点点star,关注一下公众号【假装大佬】❗️ Demo服务器由于未备案已被关停,建议自行搭建", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "8,376", + "stars": "8,377", "forks": "5,238", "addStars": "389", "contributors": [ @@ -217,39 +217,39 @@ ] }, { - "title": "RikkaApps/Shizuku", - "url": "https://github.com/RikkaApps/Shizuku", - "description": "Using system APIs directly with adb/root privileges from normal apps through a Java process started with app_process.", + "title": "ReVanced/revanced-patches", + "url": "https://github.com/ReVanced/revanced-patches", + "description": "🧩 Patches for ReVanced", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "11,347", - "forks": "652", - "addStars": "587", + "stars": "2,546", + "forks": "294", + "addStars": "201", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12999176?s=40&v=4", - "name": "RikkaW", - "url": "https://github.com/RikkaW" + "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", + "name": "oSumAtrIX", + "url": "https://github.com/oSumAtrIX" }, { - "avatar": "https://avatars.githubusercontent.com/u/13131972?s=40&v=4", - "name": "haruue", - "url": "https://github.com/haruue" + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/22442525?s=40&v=4", - "name": "ryuunoakaihitomi", - "url": "https://github.com/ryuunoakaihitomi" + "avatar": "https://avatars.githubusercontent.com/u/118716522?s=40&v=4", + "name": "LisoUseInAIKyrios", + "url": "https://github.com/LisoUseInAIKyrios" }, { - "avatar": "https://avatars.githubusercontent.com/u/50289872?s=40&v=4", - "name": "tclement0922", - "url": "https://github.com/tclement0922" + "avatar": "https://avatars.githubusercontent.com/u/107796137?s=40&v=4", + "name": "johnconner122", + "url": "https://github.com/johnconner122" }, { - "avatar": "https://avatars.githubusercontent.com/u/87251261?s=40&v=4", - "name": "MES-mitutti", - "url": "https://github.com/MES-mitutti" + "avatar": "https://avatars.githubusercontent.com/u/119133492?s=40&v=4", + "name": "revanced-bot", + "url": "https://github.com/revanced-bot" } ] }, @@ -259,7 +259,7 @@ "description": "🦭 Video/Audio Downloader for Android, based on yt-dlp, designed with Material You", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "11,843", + "stars": "11,844", "forks": "514", "addStars": "586", "contributors": [ @@ -296,7 +296,7 @@ "description": "A fully functional Android app built entirely with Kotlin and Jetpack Compose", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "17,306", + "stars": "17,310", "forks": "3,147", "addStars": "533", "contributors": [ @@ -327,50 +327,13 @@ } ] }, - { - "title": "ReVanced/revanced-patches", - "url": "https://github.com/ReVanced/revanced-patches", - "description": "🧩 Patches for ReVanced", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "2,545", - "forks": "294", - "addStars": "201", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", - "name": "oSumAtrIX", - "url": "https://github.com/oSumAtrIX" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/118716522?s=40&v=4", - "name": "LisoUseInAIKyrios", - "url": "https://github.com/LisoUseInAIKyrios" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/107796137?s=40&v=4", - "name": "johnconner122", - "url": "https://github.com/johnconner122" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/119133492?s=40&v=4", - "name": "revanced-bot", - "url": "https://github.com/revanced-bot" - } - ] - }, { "title": "keiyoushi/extensions-source", "url": "https://github.com/keiyoushi/extensions-source", "description": "Source code of extensions for Tachiyomi/Mihon and variants.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "2,216", + "stars": "2,217", "forks": "496", "addStars": "147", "contributors": [ @@ -401,14 +364,51 @@ } ] }, + { + "title": "RikkaApps/Shizuku", + "url": "https://github.com/RikkaApps/Shizuku", + "description": "Using system APIs directly with adb/root privileges from normal apps through a Java process started with app_process.", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "11,349", + "forks": "652", + "addStars": "587", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12999176?s=40&v=4", + "name": "RikkaW", + "url": "https://github.com/RikkaW" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13131972?s=40&v=4", + "name": "haruue", + "url": "https://github.com/haruue" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22442525?s=40&v=4", + "name": "ryuunoakaihitomi", + "url": "https://github.com/ryuunoakaihitomi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50289872?s=40&v=4", + "name": "tclement0922", + "url": "https://github.com/tclement0922" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/87251261?s=40&v=4", + "name": "MES-mitutti", + "url": "https://github.com/MES-mitutti" + } + ] + }, { "title": "gedoor/legado", "url": "https://github.com/gedoor/legado", "description": "Legado 3.0 Book Reader with powerful controls & full functions❤️阅读3.0, 阅读是一款可以自定义来源阅读网络内容的工具,为广大网络文学爱好者提供一种方便、快捷舒适的试读体验。", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "30,116", - "forks": "3,944", + "stars": "30,122", + "forks": "3,945", "addStars": "973", "contributors": [ { @@ -429,61 +429,76 @@ ] }, { - "title": "ethereum-lists/chains", - "url": "https://github.com/ethereum-lists/chains", - "description": "provides metadata for chains", + "title": "firebase/quickstart-android", + "url": "https://github.com/firebase/quickstart-android", + "description": "Firebase Quickstart Samples for Android", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "8,891", - "forks": "6,650", - "addStars": "136", + "stars": "8,885", + "forks": "7,331", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/111600?s=40&v=4", - "name": "ligi", - "url": "https://github.com/ligi" + "avatar": "https://avatars.githubusercontent.com/u/8466666?s=40&v=4", + "name": "samtstern", + "url": "https://github.com/samtstern" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19979279?s=40&v=4", + "name": "dpebot", + "url": "https://github.com/dpebot" }, { - "avatar": "https://avatars.githubusercontent.com/u/10136079?s=40&v=4", - "name": "pedrouid", - "url": "https://github.com/pedrouid" + "avatar": "https://avatars.githubusercontent.com/u/16766726?s=40&v=4", + "name": "thatfiredev", + "url": "https://github.com/thatfiredev" }, { - "avatar": "https://avatars.githubusercontent.com/u/88942434?s=40&v=4", - "name": "solidityx", - "url": "https://github.com/solidityx" + "avatar": "https://avatars.githubusercontent.com/u/24443108?s=40&v=4", + "name": "kokoro-team", + "url": "https://github.com/kokoro-team" }, { - "avatar": "https://avatars.githubusercontent.com/u/26237289?s=40&v=4", - "name": "3eph1r0th", - "url": "https://github.com/3eph1r0th" + "avatar": "https://avatars.githubusercontent.com/u/2370200?s=40&v=4", + "name": "kroikie", + "url": "https://github.com/kroikie" } ] }, { - "title": "bannedbook/fanqiang", - "url": "https://github.com/bannedbook/fanqiang", - "description": "翻墙-科学上网", + "title": "CCBlueX/LiquidBounce", + "url": "https://github.com/CCBlueX/LiquidBounce", + "description": "A free mixin-based injection hacked client for Minecraft using the Fabric API", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "38,607", - "forks": "7,283", - "addStars": "357", + "stars": "1,495", + "forks": "484", + "addStars": "48", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4361923?s=40&v=4", - "name": "bannedbook", - "url": "https://github.com/bannedbook" + "avatar": "https://avatars.githubusercontent.com/u/12410754?s=40&v=4", + "name": "1zun4", + "url": "https://github.com/1zun4" }, { - "avatar": "https://avatars.githubusercontent.com/u/2706151?s=40&v=4", - "name": "wu-wenxiang", - "url": "https://github.com/wu-wenxiang" + "avatar": "https://avatars.githubusercontent.com/u/22642852?s=40&v=4", + "name": "superblaubeere27", + "url": "https://github.com/superblaubeere27" }, { - "avatar": "https://avatars.githubusercontent.com/u/50905019?s=40&v=4", - "name": "crazyetiii", - "url": "https://github.com/crazyetiii" + "avatar": "https://avatars.githubusercontent.com/u/70612656?s=40&v=4", + "name": "mems01", + "url": "https://github.com/mems01" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18741573?s=40&v=4", + "name": "SenkJu", + "url": "https://github.com/SenkJu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/109693935?s=40&v=4", + "name": "ccetl", + "url": "https://github.com/ccetl" } ] }, @@ -493,7 +508,7 @@ "description": "A Material 3 YouTube Music client for Android", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "4,768", + "stars": "4,772", "forks": "290", "addStars": "305", "contributors": [ @@ -524,50 +539,13 @@ } ] }, - { - "title": "CCBlueX/LiquidBounce", - "url": "https://github.com/CCBlueX/LiquidBounce", - "description": "A free mixin-based injection hacked client for Minecraft using the Fabric API", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "1,495", - "forks": "484", - "addStars": "48", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12410754?s=40&v=4", - "name": "1zun4", - "url": "https://github.com/1zun4" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22642852?s=40&v=4", - "name": "superblaubeere27", - "url": "https://github.com/superblaubeere27" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/70612656?s=40&v=4", - "name": "mems01", - "url": "https://github.com/mems01" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18741573?s=40&v=4", - "name": "SenkJu", - "url": "https://github.com/SenkJu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/109693935?s=40&v=4", - "name": "ccetl", - "url": "https://github.com/ccetl" - } - ] - }, { "title": "yujincheng08/BiliRoaming", "url": "https://github.com/yujincheng08/BiliRoaming", "description": "哔哩漫游,解除B站客户端番剧区域限制的Xposed模块,并且提供其他小功能。An Xposed module that unblocks bangumi area limit of BILIBILI with miscellaneous features.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "9,827", + "stars": "9,829", "forks": "542", "addStars": "362", "contributors": [ @@ -594,39 +572,29 @@ ] }, { - "title": "firebase/quickstart-android", - "url": "https://github.com/firebase/quickstart-android", - "description": "Firebase Quickstart Samples for Android", + "title": "bannedbook/fanqiang", + "url": "https://github.com/bannedbook/fanqiang", + "description": "翻墙-科学上网", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "8,884", - "forks": "7,332", - "addStars": "38", + "stars": "38,612", + "forks": "7,285", + "addStars": "357", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8466666?s=40&v=4", - "name": "samtstern", - "url": "https://github.com/samtstern" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19979279?s=40&v=4", - "name": "dpebot", - "url": "https://github.com/dpebot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16766726?s=40&v=4", - "name": "thatfiredev", - "url": "https://github.com/thatfiredev" + "avatar": "https://avatars.githubusercontent.com/u/4361923?s=40&v=4", + "name": "bannedbook", + "url": "https://github.com/bannedbook" }, { - "avatar": "https://avatars.githubusercontent.com/u/24443108?s=40&v=4", - "name": "kokoro-team", - "url": "https://github.com/kokoro-team" + "avatar": "https://avatars.githubusercontent.com/u/2706151?s=40&v=4", + "name": "wu-wenxiang", + "url": "https://github.com/wu-wenxiang" }, { - "avatar": "https://avatars.githubusercontent.com/u/2370200?s=40&v=4", - "name": "kroikie", - "url": "https://github.com/kroikie" + "avatar": "https://avatars.githubusercontent.com/u/50905019?s=40&v=4", + "name": "crazyetiii", + "url": "https://github.com/crazyetiii" } ] }, @@ -636,7 +604,7 @@ "description": "An Android app that change what the buttons do on your devices!", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "1,274", + "stars": "1,276", "forks": "166", "addStars": "181", "contributors": [ @@ -666,6 +634,33 @@ "url": "https://github.com/crowdin-bot" } ] + }, + { + "title": "GuoXiCheng/SKIP", + "url": "https://github.com/GuoXiCheng/SKIP", + "description": "自动跳过APP开屏广告", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "2,580", + "forks": "144", + "addStars": "197", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/34238809?s=40&v=4", + "name": "GuoXiCheng", + "url": "https://github.com/GuoXiCheng" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46597300?s=40&v=4", + "name": "TANMIYOO", + "url": "https://github.com/TANMIYOO" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/111680393?s=40&v=4", + "name": "KJkaren", + "url": "https://github.com/KJkaren" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/kotlin.xml b/data/monthly/kotlin.xml index c57b52bf3eb4..36542bbfd28e 100644 --- a/data/monthly/kotlin.xml +++ b/data/monthly/kotlin.xml @@ -3,7 +3,7 @@ GitHub Kotlin Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kotlin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gkd-kit/gkd https://github.com/gkd-kit/gkd @@ -11,8 +11,8 @@ https://github.com/gkd-kit/gkd Kotlin #A97BFF - 22,476 - 1,126 + 22,486 + 1,128 1,635 @@ -49,8 +49,8 @@ https://github.com/amir1376/ab-download-manager Kotlin #A97BFF - 3,234 - 161 + 3,250 + 163 1,452 @@ -80,44 +80,6 @@ - - vfsfitvnm/ViMusic - https://github.com/vfsfitvnm/ViMusic - An Android application for streaming music from YouTube Music. - https://github.com/vfsfitvnm/ViMusic - Kotlin - #A97BFF - 9,135 - 906 - 437 - - - https://avatars.githubusercontent.com/u/46219656?s=40&v=4 - vfsfitvnm - https://github.com/vfsfitvnm - - - https://avatars.githubusercontent.com/u/82752168?s=40&v=4 - Bnyro - https://github.com/Bnyro - - - https://avatars.githubusercontent.com/u/8887756?s=40&v=4 - offa - https://github.com/offa - - - https://avatars.githubusercontent.com/u/8983976?s=40&v=4 - sriio - https://github.com/sriio - - - https://avatars.githubusercontent.com/u/11215000?s=40&v=4 - Surendrajat - https://github.com/Surendrajat - - - thunderbird/thunderbird-android https://github.com/thunderbird/thunderbird-android @@ -125,7 +87,7 @@ https://github.com/thunderbird/thunderbird-android Kotlin #A97BFF - 11,042 + 11,043 2,507 593 @@ -163,7 +125,7 @@ https://github.com/tiann/KernelSU Kotlin #A97BFF - 10,547 + 10,551 1,728 496 @@ -184,6 +146,44 @@ + + vfsfitvnm/ViMusic + https://github.com/vfsfitvnm/ViMusic + An Android application for streaming music from YouTube Music. + https://github.com/vfsfitvnm/ViMusic + Kotlin + #A97BFF + 9,139 + 906 + 437 + + + https://avatars.githubusercontent.com/u/46219656?s=40&v=4 + vfsfitvnm + https://github.com/vfsfitvnm + + + https://avatars.githubusercontent.com/u/82752168?s=40&v=4 + Bnyro + https://github.com/Bnyro + + + https://avatars.githubusercontent.com/u/8887756?s=40&v=4 + offa + https://github.com/offa + + + https://avatars.githubusercontent.com/u/8983976?s=40&v=4 + sriio + https://github.com/sriio + + + https://avatars.githubusercontent.com/u/11215000?s=40&v=4 + Surendrajat + https://github.com/Surendrajat + + + hectorqin/reader https://github.com/hectorqin/reader @@ -191,7 +191,7 @@ https://github.com/hectorqin/reader Kotlin #A97BFF - 8,376 + 8,377 5,238 389 @@ -223,40 +223,40 @@ - RikkaApps/Shizuku - https://github.com/RikkaApps/Shizuku - Using system APIs directly with adb/root privileges from normal apps through a Java process started with app_process. - https://github.com/RikkaApps/Shizuku + ReVanced/revanced-patches + https://github.com/ReVanced/revanced-patches + 🧩 Patches for ReVanced + https://github.com/ReVanced/revanced-patches Kotlin #A97BFF - 11,347 - 652 - 587 + 2,546 + 294 + 201 - https://avatars.githubusercontent.com/u/12999176?s=40&v=4 - RikkaW - https://github.com/RikkaW + https://avatars.githubusercontent.com/u/13122796?s=40&v=4 + oSumAtrIX + https://github.com/oSumAtrIX - https://avatars.githubusercontent.com/u/13131972?s=40&v=4 - haruue - https://github.com/haruue + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot - https://avatars.githubusercontent.com/u/22442525?s=40&v=4 - ryuunoakaihitomi - https://github.com/ryuunoakaihitomi + https://avatars.githubusercontent.com/u/118716522?s=40&v=4 + LisoUseInAIKyrios + https://github.com/LisoUseInAIKyrios - https://avatars.githubusercontent.com/u/50289872?s=40&v=4 - tclement0922 - https://github.com/tclement0922 + https://avatars.githubusercontent.com/u/107796137?s=40&v=4 + johnconner122 + https://github.com/johnconner122 - https://avatars.githubusercontent.com/u/87251261?s=40&v=4 - MES-mitutti - https://github.com/MES-mitutti + https://avatars.githubusercontent.com/u/119133492?s=40&v=4 + revanced-bot + https://github.com/revanced-bot @@ -267,7 +267,7 @@ https://github.com/JunkFood02/Seal Kotlin #A97BFF - 11,843 + 11,844 514 586 @@ -305,7 +305,7 @@ https://github.com/android/nowinandroid Kotlin #A97BFF - 17,306 + 17,310 3,147 533 @@ -336,44 +336,6 @@ - - ReVanced/revanced-patches - https://github.com/ReVanced/revanced-patches - 🧩 Patches for ReVanced - https://github.com/ReVanced/revanced-patches - Kotlin - #A97BFF - 2,545 - 294 - 201 - - - https://avatars.githubusercontent.com/u/13122796?s=40&v=4 - oSumAtrIX - https://github.com/oSumAtrIX - - - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot - - - https://avatars.githubusercontent.com/u/118716522?s=40&v=4 - LisoUseInAIKyrios - https://github.com/LisoUseInAIKyrios - - - https://avatars.githubusercontent.com/u/107796137?s=40&v=4 - johnconner122 - https://github.com/johnconner122 - - - https://avatars.githubusercontent.com/u/119133492?s=40&v=4 - revanced-bot - https://github.com/revanced-bot - - - keiyoushi/extensions-source https://github.com/keiyoushi/extensions-source @@ -381,7 +343,7 @@ https://github.com/keiyoushi/extensions-source Kotlin #A97BFF - 2,216 + 2,217 496 147 @@ -412,6 +374,44 @@ + + RikkaApps/Shizuku + https://github.com/RikkaApps/Shizuku + Using system APIs directly with adb/root privileges from normal apps through a Java process started with app_process. + https://github.com/RikkaApps/Shizuku + Kotlin + #A97BFF + 11,349 + 652 + 587 + + + https://avatars.githubusercontent.com/u/12999176?s=40&v=4 + RikkaW + https://github.com/RikkaW + + + https://avatars.githubusercontent.com/u/13131972?s=40&v=4 + haruue + https://github.com/haruue + + + https://avatars.githubusercontent.com/u/22442525?s=40&v=4 + ryuunoakaihitomi + https://github.com/ryuunoakaihitomi + + + https://avatars.githubusercontent.com/u/50289872?s=40&v=4 + tclement0922 + https://github.com/tclement0922 + + + https://avatars.githubusercontent.com/u/87251261?s=40&v=4 + MES-mitutti + https://github.com/MES-mitutti + + + gedoor/legado https://github.com/gedoor/legado @@ -419,8 +419,8 @@ https://github.com/gedoor/legado Kotlin #A97BFF - 30,116 - 3,944 + 30,122 + 3,945 973 @@ -441,63 +441,78 @@ - ethereum-lists/chains - https://github.com/ethereum-lists/chains - provides metadata for chains - https://github.com/ethereum-lists/chains + firebase/quickstart-android + https://github.com/firebase/quickstart-android + Firebase Quickstart Samples for Android + https://github.com/firebase/quickstart-android Kotlin #A97BFF - 8,891 - 6,650 - 136 + 8,885 + 7,331 + 38 - https://avatars.githubusercontent.com/u/111600?s=40&v=4 - ligi - https://github.com/ligi + https://avatars.githubusercontent.com/u/8466666?s=40&v=4 + samtstern + https://github.com/samtstern - https://avatars.githubusercontent.com/u/10136079?s=40&v=4 - pedrouid - https://github.com/pedrouid + https://avatars.githubusercontent.com/u/19979279?s=40&v=4 + dpebot + https://github.com/dpebot - https://avatars.githubusercontent.com/u/88942434?s=40&v=4 - solidityx - https://github.com/solidityx + https://avatars.githubusercontent.com/u/16766726?s=40&v=4 + thatfiredev + https://github.com/thatfiredev + + + https://avatars.githubusercontent.com/u/24443108?s=40&v=4 + kokoro-team + https://github.com/kokoro-team - https://avatars.githubusercontent.com/u/26237289?s=40&v=4 - 3eph1r0th - https://github.com/3eph1r0th + https://avatars.githubusercontent.com/u/2370200?s=40&v=4 + kroikie + https://github.com/kroikie - bannedbook/fanqiang - https://github.com/bannedbook/fanqiang - 翻墙-科学上网 - https://github.com/bannedbook/fanqiang + CCBlueX/LiquidBounce + https://github.com/CCBlueX/LiquidBounce + A free mixin-based injection hacked client for Minecraft using the Fabric API + https://github.com/CCBlueX/LiquidBounce Kotlin #A97BFF - 38,607 - 7,283 - 357 + 1,495 + 484 + 48 - https://avatars.githubusercontent.com/u/4361923?s=40&v=4 - bannedbook - https://github.com/bannedbook + https://avatars.githubusercontent.com/u/12410754?s=40&v=4 + 1zun4 + https://github.com/1zun4 - https://avatars.githubusercontent.com/u/2706151?s=40&v=4 - wu-wenxiang - https://github.com/wu-wenxiang + https://avatars.githubusercontent.com/u/22642852?s=40&v=4 + superblaubeere27 + https://github.com/superblaubeere27 - https://avatars.githubusercontent.com/u/50905019?s=40&v=4 - crazyetiii - https://github.com/crazyetiii + https://avatars.githubusercontent.com/u/70612656?s=40&v=4 + mems01 + https://github.com/mems01 + + + https://avatars.githubusercontent.com/u/18741573?s=40&v=4 + SenkJu + https://github.com/SenkJu + + + https://avatars.githubusercontent.com/u/109693935?s=40&v=4 + ccetl + https://github.com/ccetl @@ -508,7 +523,7 @@ https://github.com/z-huang/InnerTune Kotlin #A97BFF - 4,768 + 4,772 290 305 @@ -539,44 +554,6 @@ - - CCBlueX/LiquidBounce - https://github.com/CCBlueX/LiquidBounce - A free mixin-based injection hacked client for Minecraft using the Fabric API - https://github.com/CCBlueX/LiquidBounce - Kotlin - #A97BFF - 1,495 - 484 - 48 - - - https://avatars.githubusercontent.com/u/12410754?s=40&v=4 - 1zun4 - https://github.com/1zun4 - - - https://avatars.githubusercontent.com/u/22642852?s=40&v=4 - superblaubeere27 - https://github.com/superblaubeere27 - - - https://avatars.githubusercontent.com/u/70612656?s=40&v=4 - mems01 - https://github.com/mems01 - - - https://avatars.githubusercontent.com/u/18741573?s=40&v=4 - SenkJu - https://github.com/SenkJu - - - https://avatars.githubusercontent.com/u/109693935?s=40&v=4 - ccetl - https://github.com/ccetl - - - yujincheng08/BiliRoaming https://github.com/yujincheng08/BiliRoaming @@ -584,7 +561,7 @@ https://github.com/yujincheng08/BiliRoaming Kotlin #A97BFF - 9,827 + 9,829 542 362 @@ -611,40 +588,30 @@ - firebase/quickstart-android - https://github.com/firebase/quickstart-android - Firebase Quickstart Samples for Android - https://github.com/firebase/quickstart-android + bannedbook/fanqiang + https://github.com/bannedbook/fanqiang + 翻墙-科学上网 + https://github.com/bannedbook/fanqiang Kotlin #A97BFF - 8,884 - 7,332 - 38 + 38,612 + 7,285 + 357 - https://avatars.githubusercontent.com/u/8466666?s=40&v=4 - samtstern - https://github.com/samtstern - - - https://avatars.githubusercontent.com/u/19979279?s=40&v=4 - dpebot - https://github.com/dpebot - - - https://avatars.githubusercontent.com/u/16766726?s=40&v=4 - thatfiredev - https://github.com/thatfiredev + https://avatars.githubusercontent.com/u/4361923?s=40&v=4 + bannedbook + https://github.com/bannedbook - https://avatars.githubusercontent.com/u/24443108?s=40&v=4 - kokoro-team - https://github.com/kokoro-team + https://avatars.githubusercontent.com/u/2706151?s=40&v=4 + wu-wenxiang + https://github.com/wu-wenxiang - https://avatars.githubusercontent.com/u/2370200?s=40&v=4 - kroikie - https://github.com/kroikie + https://avatars.githubusercontent.com/u/50905019?s=40&v=4 + crazyetiii + https://github.com/crazyetiii @@ -655,7 +622,7 @@ https://github.com/keymapperorg/KeyMapper Kotlin #A97BFF - 1,274 + 1,276 166 181 @@ -686,5 +653,33 @@ + + GuoXiCheng/SKIP + https://github.com/GuoXiCheng/SKIP + 自动跳过APP开屏广告 + https://github.com/GuoXiCheng/SKIP + Kotlin + #A97BFF + 2,580 + 144 + 197 + + + https://avatars.githubusercontent.com/u/34238809?s=40&v=4 + GuoXiCheng + https://github.com/GuoXiCheng + + + https://avatars.githubusercontent.com/u/46597300?s=40&v=4 + TANMIYOO + https://github.com/TANMIYOO + + + https://avatars.githubusercontent.com/u/111680393?s=40&v=4 + KJkaren + https://github.com/KJkaren + + + \ No newline at end of file diff --git a/data/monthly/krl.json b/data/monthly/krl.json index 0eefe185e369..7677aa10efdf 100644 --- a/data/monthly/krl.json +++ b/data/monthly/krl.json @@ -2,6 +2,6 @@ "title": "GitHub Krl Languages Monthly Trending", "description": "Monthly Trending of Krl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/krl.xml b/data/monthly/krl.xml index 068fe62c1e55..a1cf628e5c18 100644 --- a/data/monthly/krl.xml +++ b/data/monthly/krl.xml @@ -3,6 +3,6 @@ GitHub Krl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Krl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kusto.json b/data/monthly/kusto.json index 392daea4d191..fbddc8029910 100644 --- a/data/monthly/kusto.json +++ b/data/monthly/kusto.json @@ -2,6 +2,6 @@ "title": "GitHub Kusto Languages Monthly Trending", "description": "Monthly Trending of Kusto Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kusto.xml b/data/monthly/kusto.xml index a0d6780b7d49..e048848e1825 100644 --- a/data/monthly/kusto.xml +++ b/data/monthly/kusto.xml @@ -3,6 +3,6 @@ GitHub Kusto Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kusto Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/kvlang.json b/data/monthly/kvlang.json index a4f0eb6d494b..695c68128939 100644 --- a/data/monthly/kvlang.json +++ b/data/monthly/kvlang.json @@ -2,6 +2,6 @@ "title": "GitHub Kvlang Languages Monthly Trending", "description": "Monthly Trending of Kvlang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/kvlang.xml b/data/monthly/kvlang.xml index 085238a18020..38d75d89369b 100644 --- a/data/monthly/kvlang.xml +++ b/data/monthly/kvlang.xml @@ -3,6 +3,6 @@ GitHub Kvlang Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Kvlang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/labview.json b/data/monthly/labview.json index 334fcf32c788..78a4892d45ab 100644 --- a/data/monthly/labview.json +++ b/data/monthly/labview.json @@ -2,6 +2,6 @@ "title": "GitHub Labview Languages Monthly Trending", "description": "Monthly Trending of Labview Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/labview.xml b/data/monthly/labview.xml index 227a80377ca8..3e7b7fb1ba85 100644 --- a/data/monthly/labview.xml +++ b/data/monthly/labview.xml @@ -3,6 +3,6 @@ GitHub Labview Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Labview Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lark.json b/data/monthly/lark.json index 31ac73f5cca2..20c613919d0d 100644 --- a/data/monthly/lark.json +++ b/data/monthly/lark.json @@ -2,6 +2,6 @@ "title": "GitHub Lark Languages Monthly Trending", "description": "Monthly Trending of Lark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lark.xml b/data/monthly/lark.xml index 2dd3f1a2467a..55907e7d6699 100644 --- a/data/monthly/lark.xml +++ b/data/monthly/lark.xml @@ -3,6 +3,6 @@ GitHub Lark Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lasso.json b/data/monthly/lasso.json index 90cd2f85d28e..a029cff40e54 100644 --- a/data/monthly/lasso.json +++ b/data/monthly/lasso.json @@ -2,6 +2,6 @@ "title": "GitHub Lasso Languages Monthly Trending", "description": "Monthly Trending of Lasso Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lasso.xml b/data/monthly/lasso.xml index dd44cebd0ad3..e4d9df88f2f1 100644 --- a/data/monthly/lasso.xml +++ b/data/monthly/lasso.xml @@ -3,6 +3,6 @@ GitHub Lasso Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lasso Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/latte.json b/data/monthly/latte.json index eb5027e16ebc..58a225f01622 100644 --- a/data/monthly/latte.json +++ b/data/monthly/latte.json @@ -2,6 +2,6 @@ "title": "GitHub Latte Languages Monthly Trending", "description": "Monthly Trending of Latte Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/latte.xml b/data/monthly/latte.xml index 51dc773af3ee..9cd37d98f09a 100644 --- a/data/monthly/latte.xml +++ b/data/monthly/latte.xml @@ -3,6 +3,6 @@ GitHub Latte Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Latte Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lean-4.json b/data/monthly/lean-4.json index 18915ee05669..dae2c362ad0c 100644 --- a/data/monthly/lean-4.json +++ b/data/monthly/lean-4.json @@ -2,6 +2,6 @@ "title": "GitHub Lean-4 Languages Monthly Trending", "description": "Monthly Trending of Lean-4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lean-4.xml b/data/monthly/lean-4.xml index 3e3c26903e17..fe1f297d5c1b 100644 --- a/data/monthly/lean-4.xml +++ b/data/monthly/lean-4.xml @@ -3,6 +3,6 @@ GitHub Lean-4 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lean-4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lean.json b/data/monthly/lean.json index 284aac0b9413..9c8bb1860967 100644 --- a/data/monthly/lean.json +++ b/data/monthly/lean.json @@ -2,8 +2,45 @@ "title": "GitHub Lean Languages Monthly Trending", "description": "Monthly Trending of Lean Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "leanprover/lean4", + "url": "https://github.com/leanprover/lean4", + "description": "Lean 4 programming language and theorem prover", + "language": "Lean", + "languageColor": "#ccc", + "stars": "4,737", + "forks": "427", + "addStars": "124", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2778936?s=40&v=4", + "name": "leodemoura", + "url": "https://github.com/leodemoura" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/109126?s=40&v=4", + "name": "Kha", + "url": "https://github.com/Kha" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/313929?s=40&v=4", + "name": "gebner", + "url": "https://github.com/gebner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9020483?s=40&v=4", + "name": "tydeu", + "url": "https://github.com/tydeu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/403281?s=40&v=4", + "name": "soonhokong", + "url": "https://github.com/soonhokong" + } + ] + }, { "title": "leanprover-community/mathlib4", "url": "https://github.com/leanprover-community/mathlib4", @@ -40,43 +77,6 @@ "url": "https://github.com/Ruben-VandeVelde" } ] - }, - { - "title": "leanprover/lean4", - "url": "https://github.com/leanprover/lean4", - "description": "Lean 4 programming language and theorem prover", - "language": "Lean", - "languageColor": "#ccc", - "stars": "4,739", - "forks": "427", - "addStars": "124", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2778936?s=40&v=4", - "name": "leodemoura", - "url": "https://github.com/leodemoura" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/109126?s=40&v=4", - "name": "Kha", - "url": "https://github.com/Kha" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/313929?s=40&v=4", - "name": "gebner", - "url": "https://github.com/gebner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9020483?s=40&v=4", - "name": "tydeu", - "url": "https://github.com/tydeu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/403281?s=40&v=4", - "name": "soonhokong", - "url": "https://github.com/soonhokong" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/lean.xml b/data/monthly/lean.xml index 38bd5286c98a..1f284d2e3cd0 100644 --- a/data/monthly/lean.xml +++ b/data/monthly/lean.xml @@ -3,7 +3,45 @@ GitHub Lean Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lean Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + leanprover/lean4 + https://github.com/leanprover/lean4 + Lean 4 programming language and theorem prover + https://github.com/leanprover/lean4 + Lean + #ccc + 4,737 + 427 + 124 + + + https://avatars.githubusercontent.com/u/2778936?s=40&v=4 + leodemoura + https://github.com/leodemoura + + + https://avatars.githubusercontent.com/u/109126?s=40&v=4 + Kha + https://github.com/Kha + + + https://avatars.githubusercontent.com/u/313929?s=40&v=4 + gebner + https://github.com/gebner + + + https://avatars.githubusercontent.com/u/9020483?s=40&v=4 + tydeu + https://github.com/tydeu + + + https://avatars.githubusercontent.com/u/403281?s=40&v=4 + soonhokong + https://github.com/soonhokong + + + leanprover-community/mathlib4 https://github.com/leanprover-community/mathlib4 @@ -42,43 +80,5 @@ - - leanprover/lean4 - https://github.com/leanprover/lean4 - Lean 4 programming language and theorem prover - https://github.com/leanprover/lean4 - Lean - #ccc - 4,739 - 427 - 124 - - - https://avatars.githubusercontent.com/u/2778936?s=40&v=4 - leodemoura - https://github.com/leodemoura - - - https://avatars.githubusercontent.com/u/109126?s=40&v=4 - Kha - https://github.com/Kha - - - https://avatars.githubusercontent.com/u/313929?s=40&v=4 - gebner - https://github.com/gebner - - - https://avatars.githubusercontent.com/u/9020483?s=40&v=4 - tydeu - https://github.com/tydeu - - - https://avatars.githubusercontent.com/u/403281?s=40&v=4 - soonhokong - https://github.com/soonhokong - - - \ No newline at end of file diff --git a/data/monthly/less.json b/data/monthly/less.json index 6369a9394aca..1f8235e850b7 100644 --- a/data/monthly/less.json +++ b/data/monthly/less.json @@ -2,47 +2,15 @@ "title": "GitHub Less Languages Monthly Trending", "description": "Monthly Trending of Less Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "catppuccin/userstyles", - "url": "https://github.com/catppuccin/userstyles", - "description": "🖌 Soothing pastel userstyles", - "language": "Less", - "languageColor": "#1d365d", - "stars": "540", - "forks": "157", - "addStars": "58", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/47499684?s=40&v=4", - "name": "uncenter", - "url": "https://github.com/uncenter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/71222764?s=40&v=4", - "name": "isabelroses", - "url": "https://github.com/isabelroses" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29279972?s=40&v=4", - "name": "GenShibe", - "url": "https://github.com/GenShibe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58985301?s=40&v=4", - "name": "sgoudham", - "url": "https://github.com/sgoudham" - } - ] - }, { "title": "jerrykuku/luci-theme-argon", "url": "https://github.com/jerrykuku/luci-theme-argon", "description": "Argon is a clean and tidy OpenWrt LuCI theme that allows users to customize their login interface with images or videos. It also supports automatic and manual switching between light and dark modes.", "language": "Less", "languageColor": "#1d365d", - "stars": "4,120", + "stars": "4,121", "forks": "803", "addStars": "81", "contributors": [ @@ -73,6 +41,38 @@ } ] }, + { + "title": "catppuccin/userstyles", + "url": "https://github.com/catppuccin/userstyles", + "description": "🖌 Soothing pastel userstyles", + "language": "Less", + "languageColor": "#1d365d", + "stars": "540", + "forks": "157", + "addStars": "58", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/47499684?s=40&v=4", + "name": "uncenter", + "url": "https://github.com/uncenter" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/71222764?s=40&v=4", + "name": "isabelroses", + "url": "https://github.com/isabelroses" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29279972?s=40&v=4", + "name": "GenShibe", + "url": "https://github.com/GenShibe" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/58985301?s=40&v=4", + "name": "sgoudham", + "url": "https://github.com/sgoudham" + } + ] + }, { "title": "Tencent/weui", "url": "https://github.com/Tencent/weui", @@ -146,43 +146,6 @@ "url": "https://github.com/shenjunjian" } ] - }, - { - "title": "Remix-Design/RemixIcon", - "url": "https://github.com/Remix-Design/RemixIcon", - "description": "Open source neutral style icon system", - "language": "Less", - "languageColor": "#1d365d", - "stars": "6,942", - "forks": "499", - "addStars": "115", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6701827?s=40&v=4", - "name": "xiaochunjimmy", - "url": "https://github.com/xiaochunjimmy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25056241?s=40&v=4", - "name": "wendygaoyuan", - "url": "https://github.com/wendygaoyuan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26602940?s=40&v=4", - "name": "0xflotus", - "url": "https://github.com/0xflotus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1077405?s=40&v=4", - "name": "binary-koan", - "url": "https://github.com/binary-koan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/81224?s=40&v=4", - "name": "coreyward", - "url": "https://github.com/coreyward" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/less.xml b/data/monthly/less.xml index ec542732a7de..b4c8db824bcf 100644 --- a/data/monthly/less.xml +++ b/data/monthly/less.xml @@ -3,40 +3,7 @@ GitHub Less Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Less Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - catppuccin/userstyles - https://github.com/catppuccin/userstyles - 🖌 Soothing pastel userstyles - https://github.com/catppuccin/userstyles - Less - #1d365d - 540 - 157 - 58 - - - https://avatars.githubusercontent.com/u/47499684?s=40&v=4 - uncenter - https://github.com/uncenter - - - https://avatars.githubusercontent.com/u/71222764?s=40&v=4 - isabelroses - https://github.com/isabelroses - - - https://avatars.githubusercontent.com/u/29279972?s=40&v=4 - GenShibe - https://github.com/GenShibe - - - https://avatars.githubusercontent.com/u/58985301?s=40&v=4 - sgoudham - https://github.com/sgoudham - - - + Mon, 25 Nov 2024 13:30:36 GMT jerrykuku/luci-theme-argon https://github.com/jerrykuku/luci-theme-argon @@ -44,7 +11,7 @@ https://github.com/jerrykuku/luci-theme-argon Less #1d365d - 4,120 + 4,121 803 81 @@ -75,6 +42,39 @@ + + catppuccin/userstyles + https://github.com/catppuccin/userstyles + 🖌 Soothing pastel userstyles + https://github.com/catppuccin/userstyles + Less + #1d365d + 540 + 157 + 58 + + + https://avatars.githubusercontent.com/u/47499684?s=40&v=4 + uncenter + https://github.com/uncenter + + + https://avatars.githubusercontent.com/u/71222764?s=40&v=4 + isabelroses + https://github.com/isabelroses + + + https://avatars.githubusercontent.com/u/29279972?s=40&v=4 + GenShibe + https://github.com/GenShibe + + + https://avatars.githubusercontent.com/u/58985301?s=40&v=4 + sgoudham + https://github.com/sgoudham + + + Tencent/weui https://github.com/Tencent/weui @@ -151,43 +151,5 @@ - - Remix-Design/RemixIcon - https://github.com/Remix-Design/RemixIcon - Open source neutral style icon system - https://github.com/Remix-Design/RemixIcon - Less - #1d365d - 6,942 - 499 - 115 - - - https://avatars.githubusercontent.com/u/6701827?s=40&v=4 - xiaochunjimmy - https://github.com/xiaochunjimmy - - - https://avatars.githubusercontent.com/u/25056241?s=40&v=4 - wendygaoyuan - https://github.com/wendygaoyuan - - - https://avatars.githubusercontent.com/u/26602940?s=40&v=4 - 0xflotus - https://github.com/0xflotus - - - https://avatars.githubusercontent.com/u/1077405?s=40&v=4 - binary-koan - https://github.com/binary-koan - - - https://avatars.githubusercontent.com/u/81224?s=40&v=4 - coreyward - https://github.com/coreyward - - - \ No newline at end of file diff --git a/data/monthly/lex.json b/data/monthly/lex.json index 9e3d0a6bfbf8..27618dc70796 100644 --- a/data/monthly/lex.json +++ b/data/monthly/lex.json @@ -2,6 +2,6 @@ "title": "GitHub Lex Languages Monthly Trending", "description": "Monthly Trending of Lex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lex.xml b/data/monthly/lex.xml index be9a9002c194..91c83a847152 100644 --- a/data/monthly/lex.xml +++ b/data/monthly/lex.xml @@ -3,6 +3,6 @@ GitHub Lex Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lfe.json b/data/monthly/lfe.json index 9fe346943df8..d105dd44ee46 100644 --- a/data/monthly/lfe.json +++ b/data/monthly/lfe.json @@ -2,6 +2,6 @@ "title": "GitHub Lfe Languages Monthly Trending", "description": "Monthly Trending of Lfe Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lfe.xml b/data/monthly/lfe.xml index 762317d9b196..96f52e72f7ae 100644 --- a/data/monthly/lfe.xml +++ b/data/monthly/lfe.xml @@ -3,6 +3,6 @@ GitHub Lfe Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lfe Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ligolang.json b/data/monthly/ligolang.json index ded41c2f263f..b32f3b22a3e9 100644 --- a/data/monthly/ligolang.json +++ b/data/monthly/ligolang.json @@ -2,6 +2,6 @@ "title": "GitHub Ligolang Languages Monthly Trending", "description": "Monthly Trending of Ligolang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ligolang.xml b/data/monthly/ligolang.xml index 373f48fb46fb..9b3e86187f25 100644 --- a/data/monthly/ligolang.xml +++ b/data/monthly/ligolang.xml @@ -3,6 +3,6 @@ GitHub Ligolang Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ligolang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lilypond.json b/data/monthly/lilypond.json index c103b228c792..b80f6b070ff0 100644 --- a/data/monthly/lilypond.json +++ b/data/monthly/lilypond.json @@ -2,6 +2,6 @@ "title": "GitHub Lilypond Languages Monthly Trending", "description": "Monthly Trending of Lilypond Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lilypond.xml b/data/monthly/lilypond.xml index 404d19a8de7a..a98e8cfe6417 100644 --- a/data/monthly/lilypond.xml +++ b/data/monthly/lilypond.xml @@ -3,6 +3,6 @@ GitHub Lilypond Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lilypond Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/limbo.json b/data/monthly/limbo.json index 83551723d37c..ec4b9a419709 100644 --- a/data/monthly/limbo.json +++ b/data/monthly/limbo.json @@ -2,6 +2,6 @@ "title": "GitHub Limbo Languages Monthly Trending", "description": "Monthly Trending of Limbo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/limbo.xml b/data/monthly/limbo.xml index db5233211487..467b32f3344b 100644 --- a/data/monthly/limbo.xml +++ b/data/monthly/limbo.xml @@ -3,6 +3,6 @@ GitHub Limbo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Limbo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/linker-script.json b/data/monthly/linker-script.json index cd6e3bd1b624..ebdc014aca88 100644 --- a/data/monthly/linker-script.json +++ b/data/monthly/linker-script.json @@ -2,6 +2,6 @@ "title": "GitHub Linker-script Languages Monthly Trending", "description": "Monthly Trending of Linker-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/linker-script.xml b/data/monthly/linker-script.xml index 01436b3a110b..cc69d4160f84 100644 --- a/data/monthly/linker-script.xml +++ b/data/monthly/linker-script.xml @@ -3,6 +3,6 @@ GitHub Linker-script Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Linker-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/linux-kernel-module.json b/data/monthly/linux-kernel-module.json index 73f99860e23f..f403d1b948a7 100644 --- a/data/monthly/linux-kernel-module.json +++ b/data/monthly/linux-kernel-module.json @@ -2,6 +2,6 @@ "title": "GitHub Linux-kernel-module Languages Monthly Trending", "description": "Monthly Trending of Linux-kernel-module Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/linux-kernel-module.xml b/data/monthly/linux-kernel-module.xml index e3fb03eb85fd..a3babb49674e 100644 --- a/data/monthly/linux-kernel-module.xml +++ b/data/monthly/linux-kernel-module.xml @@ -3,6 +3,6 @@ GitHub Linux-kernel-module Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Linux-kernel-module Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/liquid.json b/data/monthly/liquid.json index 3b8781295b40..4d80cdabef31 100644 --- a/data/monthly/liquid.json +++ b/data/monthly/liquid.json @@ -2,7 +2,7 @@ "title": "GitHub Liquid Languages Monthly Trending", "description": "Monthly Trending of Liquid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Shopify/dawn", @@ -11,7 +11,7 @@ "language": "Liquid", "languageColor": "#67b8de", "stars": "2,541", - "forks": "3,445", + "forks": "3,443", "addStars": "27", "contributors": [ { @@ -35,43 +35,6 @@ "url": "https://github.com/tyleralsbury" } ] - }, - { - "title": "Shopify/extensions-templates", - "url": "https://github.com/Shopify/extensions-templates", - "description": "", - "language": "Liquid", - "languageColor": "#67b8de", - "stars": "62", - "forks": "5", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7654369?s=40&v=4", - "name": "elanalynn", - "url": "https://github.com/elanalynn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5873610?s=40&v=4", - "name": "MitchLillie", - "url": "https://github.com/MitchLillie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12719665?s=40&v=4", - "name": "jamesvidler", - "url": "https://github.com/jamesvidler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1109616?s=40&v=4", - "name": "alfonso-noriega", - "url": "https://github.com/alfonso-noriega" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43996587?s=40&v=4", - "name": "tommybae", - "url": "https://github.com/tommybae" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/liquid.xml b/data/monthly/liquid.xml index 8eb9b537bb87..da5991ee3896 100644 --- a/data/monthly/liquid.xml +++ b/data/monthly/liquid.xml @@ -3,7 +3,7 @@ GitHub Liquid Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Liquid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Shopify/dawn https://github.com/Shopify/dawn @@ -12,7 +12,7 @@ Liquid #67b8de 2,541 - 3,445 + 3,443 27 @@ -37,43 +37,5 @@ - - Shopify/extensions-templates - https://github.com/Shopify/extensions-templates - - https://github.com/Shopify/extensions-templates - Liquid - #67b8de - 62 - 5 - 3 - - - https://avatars.githubusercontent.com/u/7654369?s=40&v=4 - elanalynn - https://github.com/elanalynn - - - https://avatars.githubusercontent.com/u/5873610?s=40&v=4 - MitchLillie - https://github.com/MitchLillie - - - https://avatars.githubusercontent.com/u/12719665?s=40&v=4 - jamesvidler - https://github.com/jamesvidler - - - https://avatars.githubusercontent.com/u/1109616?s=40&v=4 - alfonso-noriega - https://github.com/alfonso-noriega - - - https://avatars.githubusercontent.com/u/43996587?s=40&v=4 - tommybae - https://github.com/tommybae - - - \ No newline at end of file diff --git a/data/monthly/literate-agda.json b/data/monthly/literate-agda.json index c70730e33893..1ea8db2800d3 100644 --- a/data/monthly/literate-agda.json +++ b/data/monthly/literate-agda.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-agda Languages Monthly Trending", "description": "Monthly Trending of Literate-agda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/literate-agda.xml b/data/monthly/literate-agda.xml index 305dd2d8ffa8..bc1c6dbdaf1c 100644 --- a/data/monthly/literate-agda.xml +++ b/data/monthly/literate-agda.xml @@ -3,6 +3,6 @@ GitHub Literate-agda Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Literate-agda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/literate-coffeescript.json b/data/monthly/literate-coffeescript.json index 3a00f3c186a8..0dfb2ff88cae 100644 --- a/data/monthly/literate-coffeescript.json +++ b/data/monthly/literate-coffeescript.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-coffeescript Languages Monthly Trending", "description": "Monthly Trending of Literate-coffeescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/literate-coffeescript.xml b/data/monthly/literate-coffeescript.xml index ad89a3509011..53a15281370e 100644 --- a/data/monthly/literate-coffeescript.xml +++ b/data/monthly/literate-coffeescript.xml @@ -3,6 +3,6 @@ GitHub Literate-coffeescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Literate-coffeescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/literate-haskell.json b/data/monthly/literate-haskell.json index 6b6f22d732e2..c22287e1bc37 100644 --- a/data/monthly/literate-haskell.json +++ b/data/monthly/literate-haskell.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-haskell Languages Monthly Trending", "description": "Monthly Trending of Literate-haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/literate-haskell.xml b/data/monthly/literate-haskell.xml index c3b2b3136d8a..3b912314374a 100644 --- a/data/monthly/literate-haskell.xml +++ b/data/monthly/literate-haskell.xml @@ -3,6 +3,6 @@ GitHub Literate-haskell Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Literate-haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/livescript.json b/data/monthly/livescript.json index d59c8aa2ad86..98b6a5f9ae87 100644 --- a/data/monthly/livescript.json +++ b/data/monthly/livescript.json @@ -2,6 +2,6 @@ "title": "GitHub Livescript Languages Monthly Trending", "description": "Monthly Trending of Livescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/livescript.xml b/data/monthly/livescript.xml index c1d72620716b..018747bf37b4 100644 --- a/data/monthly/livescript.xml +++ b/data/monthly/livescript.xml @@ -3,6 +3,6 @@ GitHub Livescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Livescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/llvm.json b/data/monthly/llvm.json index 110b72a88631..7133d041035d 100644 --- a/data/monthly/llvm.json +++ b/data/monthly/llvm.json @@ -2,7 +2,7 @@ "title": "GitHub Llvm Languages Monthly Trending", "description": "Monthly Trending of Llvm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "llvm/llvm-project", @@ -10,8 +10,8 @@ "description": "The LLVM Project is a collection of modular and reusable compiler and toolchain technologies.", "language": "LLVM", "languageColor": "#185619", - "stars": "29,256", - "forks": "12,086", + "stars": "29,263", + "forks": "12,092", "addStars": "718", "contributors": [ { @@ -121,7 +121,7 @@ "description": "A tool and a library for bi-directional translation between SPIR-V and LLVM IR", "language": "LLVM", "languageColor": "#185619", - "stars": "489", + "stars": "490", "forks": "221", "addStars": "6", "contributors": [ diff --git a/data/monthly/llvm.xml b/data/monthly/llvm.xml index b5ee49794c9f..c50549a04b65 100644 --- a/data/monthly/llvm.xml +++ b/data/monthly/llvm.xml @@ -3,7 +3,7 @@ GitHub Llvm Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Llvm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT llvm/llvm-project https://github.com/llvm/llvm-project @@ -11,8 +11,8 @@ https://github.com/llvm/llvm-project LLVM #185619 - 29,256 - 12,086 + 29,263 + 12,092 718 @@ -125,7 +125,7 @@ https://github.com/KhronosGroup/SPIRV-LLVM-Translator LLVM #185619 - 489 + 490 221 6 diff --git a/data/monthly/logos.json b/data/monthly/logos.json index 3207adbf4ea2..935209b4f3de 100644 --- a/data/monthly/logos.json +++ b/data/monthly/logos.json @@ -2,7 +2,7 @@ "title": "GitHub Logos Languages Monthly Trending", "description": "Monthly Trending of Logos Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "dayanch96/YTLite", @@ -11,7 +11,7 @@ "language": "Logos", "languageColor": "#ccc", "stars": "601", - "forks": "844", + "forks": "846", "addStars": "101", "contributors": [ { diff --git a/data/monthly/logos.xml b/data/monthly/logos.xml index 876ad640220e..061b55560474 100644 --- a/data/monthly/logos.xml +++ b/data/monthly/logos.xml @@ -3,7 +3,7 @@ GitHub Logos Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Logos Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT dayanch96/YTLite https://github.com/dayanch96/YTLite @@ -12,7 +12,7 @@ Logos #ccc 601 - 844 + 846 101 diff --git a/data/monthly/logtalk.json b/data/monthly/logtalk.json index f0a245f49b75..e119ae53319d 100644 --- a/data/monthly/logtalk.json +++ b/data/monthly/logtalk.json @@ -2,6 +2,6 @@ "title": "GitHub Logtalk Languages Monthly Trending", "description": "Monthly Trending of Logtalk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/logtalk.xml b/data/monthly/logtalk.xml index 3ac1ab0fb397..266c36a5fa0d 100644 --- a/data/monthly/logtalk.xml +++ b/data/monthly/logtalk.xml @@ -3,6 +3,6 @@ GitHub Logtalk Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Logtalk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lolcode.json b/data/monthly/lolcode.json index 55271c1baba9..a37f74e74124 100644 --- a/data/monthly/lolcode.json +++ b/data/monthly/lolcode.json @@ -2,6 +2,6 @@ "title": "GitHub Lolcode Languages Monthly Trending", "description": "Monthly Trending of Lolcode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lolcode.xml b/data/monthly/lolcode.xml index 346647dd42e1..0fb98322fc4d 100644 --- a/data/monthly/lolcode.xml +++ b/data/monthly/lolcode.xml @@ -3,6 +3,6 @@ GitHub Lolcode Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lolcode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lookml.json b/data/monthly/lookml.json index 2482a1240674..33aea24e67a6 100644 --- a/data/monthly/lookml.json +++ b/data/monthly/lookml.json @@ -2,6 +2,6 @@ "title": "GitHub Lookml Languages Monthly Trending", "description": "Monthly Trending of Lookml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lookml.xml b/data/monthly/lookml.xml index 416cebbb9786..d454d588cda6 100644 --- a/data/monthly/lookml.xml +++ b/data/monthly/lookml.xml @@ -3,6 +3,6 @@ GitHub Lookml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lookml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/loomscript.json b/data/monthly/loomscript.json index 27a9ac772ece..f03620092dbc 100644 --- a/data/monthly/loomscript.json +++ b/data/monthly/loomscript.json @@ -2,6 +2,6 @@ "title": "GitHub Loomscript Languages Monthly Trending", "description": "Monthly Trending of Loomscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/loomscript.xml b/data/monthly/loomscript.xml index 29c80ae76890..418ddd1ba8e6 100644 --- a/data/monthly/loomscript.xml +++ b/data/monthly/loomscript.xml @@ -3,6 +3,6 @@ GitHub Loomscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Loomscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lsl.json b/data/monthly/lsl.json index 7a3b91c9e2ef..df585371b05e 100644 --- a/data/monthly/lsl.json +++ b/data/monthly/lsl.json @@ -2,6 +2,6 @@ "title": "GitHub Lsl Languages Monthly Trending", "description": "Monthly Trending of Lsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/lsl.xml b/data/monthly/lsl.xml index 25eff2b75599..230c2870d72a 100644 --- a/data/monthly/lsl.xml +++ b/data/monthly/lsl.xml @@ -3,6 +3,6 @@ GitHub Lsl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ltspice-symbol.json b/data/monthly/ltspice-symbol.json index aaab23dcc99a..fed580d792a8 100644 --- a/data/monthly/ltspice-symbol.json +++ b/data/monthly/ltspice-symbol.json @@ -2,6 +2,6 @@ "title": "GitHub Ltspice-symbol Languages Monthly Trending", "description": "Monthly Trending of Ltspice-symbol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ltspice-symbol.xml b/data/monthly/ltspice-symbol.xml index 521368ad302b..8195ab440b53 100644 --- a/data/monthly/ltspice-symbol.xml +++ b/data/monthly/ltspice-symbol.xml @@ -3,6 +3,6 @@ GitHub Ltspice-symbol Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ltspice-symbol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/lua.json b/data/monthly/lua.json index a89669bc9eb5..ff34e0416a75 100644 --- a/data/monthly/lua.json +++ b/data/monthly/lua.json @@ -2,7 +2,7 @@ "title": "GitHub Lua Languages Monthly Trending", "description": "Monthly Trending of Lua Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Saghen/blink.cmp", @@ -10,7 +10,7 @@ "description": "Performant, batteries-included completion plugin for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "1,341", + "stars": "1,345", "forks": "78", "addStars": "501", "contributors": [ @@ -47,8 +47,8 @@ "description": "Neovim config for the lazy", "language": "Lua", "languageColor": "#000080", - "stars": "17,592", - "forks": "1,242", + "stars": "17,595", + "forks": "1,241", "addStars": "564", "contributors": [ { @@ -79,7 +79,7 @@ "description": "🔥 A cross-platform build utility based on Lua", "language": "Lua", "languageColor": "#000080", - "stars": "10,189", + "stars": "10,192", "forks": "788", "addStars": "209", "contributors": [ @@ -116,8 +116,8 @@ "description": "Rime 配置:雾凇拼音 | 长期维护的简体词库", "language": "Lua", "languageColor": "#000080", - "stars": "9,887", - "forks": "656", + "stars": "9,898", + "forks": "658", "addStars": "575", "contributors": [ { @@ -142,6 +142,80 @@ } ] }, + { + "title": "LazyVim/starter", + "url": "https://github.com/LazyVim/starter", + "description": "Starter template for LazyVim", + "language": "Lua", + "languageColor": "#000080", + "stars": "1,004", + "forks": "795", + "addStars": "67", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", + "name": "folke", + "url": "https://github.com/folke" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/738579?s=40&v=4", + "name": "JesseZomer", + "url": "https://github.com/JesseZomer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/874394?s=40&v=4", + "name": "chevcast", + "url": "https://github.com/chevcast" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8651598?s=40&v=4", + "name": "733amir", + "url": "https://github.com/733amir" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12776461?s=40&v=4", + "name": "dpetka2001", + "url": "https://github.com/dpetka2001" + } + ] + }, + { + "title": "koreader/koreader", + "url": "https://github.com/koreader/koreader", + "description": "An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices", + "language": "Lua", + "languageColor": "#000080", + "stars": "16,936", + "forks": "1,269", + "addStars": "290", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/670302?s=40&v=4", + "name": "houqp", + "url": "https://github.com/houqp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/751535?s=40&v=4", + "name": "chrox", + "url": "https://github.com/chrox" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/111974?s=40&v=4", + "name": "NiLuJe", + "url": "https://github.com/NiLuJe" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/202757?s=40&v=4", + "name": "Frenzie", + "url": "https://github.com/Frenzie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24273478?s=40&v=4", + "name": "poire-z", + "url": "https://github.com/poire-z" + } + ] + }, { "title": "catppuccin/nvim", "url": "https://github.com/catppuccin/nvim", @@ -149,7 +223,7 @@ "language": "Lua", "languageColor": "#000080", "stars": "5,650", - "forks": "256", + "forks": "257", "addStars": "141", "contributors": [ { @@ -180,39 +254,39 @@ ] }, { - "title": "LazyVim/starter", - "url": "https://github.com/LazyVim/starter", - "description": "Starter template for LazyVim", + "title": "lukas-reineke/indent-blankline.nvim", + "url": "https://github.com/lukas-reineke/indent-blankline.nvim", + "description": "Indent guides for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "1,004", - "forks": "795", - "addStars": "67", + "stars": "4,262", + "forks": "106", + "addStars": "86", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", - "name": "folke", - "url": "https://github.com/folke" + "avatar": "https://avatars.githubusercontent.com/u/12900252?s=40&v=4", + "name": "lukas-reineke", + "url": "https://github.com/lukas-reineke" }, { - "avatar": "https://avatars.githubusercontent.com/u/738579?s=40&v=4", - "name": "JesseZomer", - "url": "https://github.com/JesseZomer" + "avatar": "https://avatars.githubusercontent.com/u/57547764?s=40&v=4", + "name": "jbarap", + "url": "https://github.com/jbarap" }, { - "avatar": "https://avatars.githubusercontent.com/u/874394?s=40&v=4", - "name": "chevcast", - "url": "https://github.com/chevcast" + "avatar": "https://avatars.githubusercontent.com/u/7075380?s=40&v=4", + "name": "Danielkonge", + "url": "https://github.com/Danielkonge" }, { - "avatar": "https://avatars.githubusercontent.com/u/8651598?s=40&v=4", - "name": "733amir", - "url": "https://github.com/733amir" + "avatar": "https://avatars.githubusercontent.com/u/7074?s=40&v=4", + "name": "wincent", + "url": "https://github.com/wincent" }, { - "avatar": "https://avatars.githubusercontent.com/u/12776461?s=40&v=4", - "name": "dpetka2001", - "url": "https://github.com/dpetka2001" + "avatar": "https://avatars.githubusercontent.com/u/9094640?s=40&v=4", + "name": "rhino1998", + "url": "https://github.com/rhino1998" } ] }, @@ -222,7 +296,7 @@ "description": "白霜拼音:蒹葭苍苍,白露为霜。白霜拼音使用使用745396750字的高质量语料,进行分词,重新统计字频、词频,归一化,打造纯净、词频准确、智能的词库。白霜词库是目前rime方案下最好的开源词库,立志于打造不输于商业输入法的输入体验。", "language": "Lua", "languageColor": "#000080", - "stars": "1,180", + "stars": "1,184", "forks": "53", "addStars": "605", "contributors": [ @@ -259,7 +333,7 @@ "description": "The Refactoring library based off the Refactoring book by Martin Fowler", "language": "Lua", "languageColor": "#000080", - "stars": "2,933", + "stars": "2,934", "forks": "83", "addStars": "109", "contributors": [ @@ -291,113 +365,103 @@ ] }, { - "title": "lukas-reineke/indent-blankline.nvim", - "url": "https://github.com/lukas-reineke/indent-blankline.nvim", - "description": "Indent guides for Neovim", + "title": "linkease/istore", + "url": "https://github.com/linkease/istore", + "description": "一个 Openwrt 标准的软件中心,纯脚本实现,只依赖Openwrt标准组件。支持其它固件开发者集成到自己的固件里面。更方便入门用户搜索安装插件。The iStore is a app store for OpenWRT", "language": "Lua", "languageColor": "#000080", - "stars": "4,262", - "forks": "106", - "addStars": "86", + "stars": "1,509", + "forks": "331", + "addStars": "83", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12900252?s=40&v=4", - "name": "lukas-reineke", - "url": "https://github.com/lukas-reineke" + "avatar": "https://avatars.githubusercontent.com/u/3896703?s=40&v=4", + "name": "jjm2473", + "url": "https://github.com/jjm2473" }, { - "avatar": "https://avatars.githubusercontent.com/u/57547764?s=40&v=4", - "name": "jbarap", - "url": "https://github.com/jbarap" + "avatar": "https://avatars.githubusercontent.com/u/4100344?s=40&v=4", + "name": "jannson", + "url": "https://github.com/jannson" }, { - "avatar": "https://avatars.githubusercontent.com/u/7075380?s=40&v=4", - "name": "Danielkonge", - "url": "https://github.com/Danielkonge" + "avatar": "https://avatars.githubusercontent.com/u/25239238?s=40&v=4", + "name": "Carseason", + "url": "https://github.com/Carseason" }, { - "avatar": "https://avatars.githubusercontent.com/u/7074?s=40&v=4", - "name": "wincent", - "url": "https://github.com/wincent" + "avatar": "https://avatars.githubusercontent.com/u/526140?s=40&v=4", + "name": "mjanson", + "url": "https://github.com/mjanson" }, { - "avatar": "https://avatars.githubusercontent.com/u/9094640?s=40&v=4", - "name": "rhino1998", - "url": "https://github.com/rhino1998" + "avatar": "https://avatars.githubusercontent.com/u/7206387?s=40&v=4", + "name": "ziguayungui", + "url": "https://github.com/ziguayungui" } ] }, { - "title": "IntQuant/noita_entangled_worlds", - "url": "https://github.com/IntQuant/noita_entangled_worlds", - "description": "True coop multiplayer mod for Noita.", + "title": "nvimdev/dashboard-nvim", + "url": "https://github.com/nvimdev/dashboard-nvim", + "description": "vim dashboard", "language": "Lua", "languageColor": "#000080", - "stars": "387", - "forks": "17", - "addStars": "113", + "stars": "2,398", + "forks": "192", + "addStars": "44", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25219447?s=40&v=4", - "name": "IntQuant", - "url": "https://github.com/IntQuant" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55570525?s=40&v=4", - "name": "bgkillas", - "url": "https://github.com/bgkillas" + "avatar": "https://avatars.githubusercontent.com/u/41671631?s=40&v=4", + "name": "glepnir", + "url": "https://github.com/glepnir" }, { - "avatar": "https://avatars.githubusercontent.com/u/49292532?s=40&v=4", - "name": "LuoTianOrange", - "url": "https://github.com/LuoTianOrange" + "avatar": "https://avatars.githubusercontent.com/u/43665491?s=40&v=4", + "name": "ssxwcz", + "url": "https://github.com/ssxwcz" }, { - "avatar": "https://avatars.githubusercontent.com/u/68264134?s=40&v=4", - "name": "Furrior", - "url": "https://github.com/Furrior" + "avatar": "https://avatars.githubusercontent.com/u/24460484?s=40&v=4", + "name": "polirritmico", + "url": "https://github.com/polirritmico" }, { - "avatar": "https://avatars.githubusercontent.com/u/96275684?s=40&v=4", - "name": "den3606", - "url": "https://github.com/den3606" + "avatar": "https://avatars.githubusercontent.com/u/23341710?s=40&v=4", + "name": "AckslD", + "url": "https://github.com/AckslD" } ] }, { - "title": "linkease/istore", - "url": "https://github.com/linkease/istore", - "description": "一个 Openwrt 标准的软件中心,纯脚本实现,只依赖Openwrt标准组件。支持其它固件开发者集成到自己的固件里面。更方便入门用户搜索安装插件。The iStore is a app store for OpenWRT", + "title": "folke/lazy.nvim", + "url": "https://github.com/folke/lazy.nvim", + "description": "💤 A modern plugin manager for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "1,507", - "forks": "331", - "addStars": "83", + "stars": "15,013", + "forks": "365", + "addStars": "460", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3896703?s=40&v=4", - "name": "jjm2473", - "url": "https://github.com/jjm2473" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4100344?s=40&v=4", - "name": "jannson", - "url": "https://github.com/jannson" + "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", + "name": "folke", + "url": "https://github.com/folke" }, { - "avatar": "https://avatars.githubusercontent.com/u/25239238?s=40&v=4", - "name": "Carseason", - "url": "https://github.com/Carseason" + "avatar": "https://avatars.githubusercontent.com/u/81827001?s=40&v=4", + "name": "max397574", + "url": "https://github.com/max397574" }, { - "avatar": "https://avatars.githubusercontent.com/u/526140?s=40&v=4", - "name": "mjanson", - "url": "https://github.com/mjanson" + "avatar": "https://avatars.githubusercontent.com/u/20475201?s=40&v=4", + "name": "tsakirist", + "url": "https://github.com/tsakirist" }, { - "avatar": "https://avatars.githubusercontent.com/u/7206387?s=40&v=4", - "name": "ziguayungui", - "url": "https://github.com/ziguayungui" + "avatar": "https://avatars.githubusercontent.com/u/55766287?s=40&v=4", + "name": "ribru17", + "url": "https://github.com/ribru17" } ] }, @@ -407,7 +471,7 @@ "description": "One dark and light colorscheme for neovim >= 0.5.0 written in lua based on Atom's One Dark and Light theme. Additionally, it comes with 5 color variant styles", "language": "Lua", "languageColor": "#000080", - "stars": "1,607", + "stars": "1,608", "forks": "163", "addStars": "37", "contributors": [ @@ -438,45 +502,13 @@ } ] }, - { - "title": "nvimdev/dashboard-nvim", - "url": "https://github.com/nvimdev/dashboard-nvim", - "description": "vim dashboard", - "language": "Lua", - "languageColor": "#000080", - "stars": "2,398", - "forks": "192", - "addStars": "44", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/41671631?s=40&v=4", - "name": "glepnir", - "url": "https://github.com/glepnir" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43665491?s=40&v=4", - "name": "ssxwcz", - "url": "https://github.com/ssxwcz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24460484?s=40&v=4", - "name": "polirritmico", - "url": "https://github.com/polirritmico" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23341710?s=40&v=4", - "name": "AckslD", - "url": "https://github.com/AckslD" - } - ] - }, { "title": "Mintimate/oh-my-rime", "url": "https://github.com/Mintimate/oh-my-rime", "description": "The Simple Config Template Of Rime By Mintimate. QQ Chat-Group: 703260572", "language": "Lua", "languageColor": "#000080", - "stars": "2,733", + "stars": "2,735", "forks": "185", "addStars": "174", "contributors": [ @@ -502,13 +534,50 @@ } ] }, + { + "title": "IntQuant/noita_entangled_worlds", + "url": "https://github.com/IntQuant/noita_entangled_worlds", + "description": "True coop multiplayer mod for Noita.", + "language": "Lua", + "languageColor": "#000080", + "stars": "387", + "forks": "17", + "addStars": "113", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25219447?s=40&v=4", + "name": "IntQuant", + "url": "https://github.com/IntQuant" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/55570525?s=40&v=4", + "name": "bgkillas", + "url": "https://github.com/bgkillas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/49292532?s=40&v=4", + "name": "LuoTianOrange", + "url": "https://github.com/LuoTianOrange" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/68264134?s=40&v=4", + "name": "Furrior", + "url": "https://github.com/Furrior" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/96275684?s=40&v=4", + "name": "den3606", + "url": "https://github.com/den3606" + } + ] + }, { "title": "mfussenegger/nvim-dap", "url": "https://github.com/mfussenegger/nvim-dap", "description": "Debug Adapter Protocol client implementation for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "5,658", + "stars": "5,659", "forks": "205", "addStars": "138", "contributors": [ @@ -539,38 +608,6 @@ } ] }, - { - "title": "folke/lazy.nvim", - "url": "https://github.com/folke/lazy.nvim", - "description": "💤 A modern plugin manager for Neovim", - "language": "Lua", - "languageColor": "#000080", - "stars": "15,009", - "forks": "364", - "addStars": "460", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", - "name": "folke", - "url": "https://github.com/folke" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/81827001?s=40&v=4", - "name": "max397574", - "url": "https://github.com/max397574" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20475201?s=40&v=4", - "name": "tsakirist", - "url": "https://github.com/tsakirist" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55766287?s=40&v=4", - "name": "ribru17", - "url": "https://github.com/ribru17" - } - ] - }, { "title": "ray-x/go.nvim", "url": "https://github.com/ray-x/go.nvim", @@ -609,71 +646,39 @@ ] }, { - "title": "koreader/koreader", - "url": "https://github.com/koreader/koreader", - "description": "An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices", + "title": "williamboman/mason-lspconfig.nvim", + "url": "https://github.com/williamboman/mason-lspconfig.nvim", + "description": "Extension to mason.nvim that makes it easier to use lspconfig with mason.nvim.", "language": "Lua", "languageColor": "#000080", - "stars": "16,932", - "forks": "1,269", - "addStars": "290", + "stars": "2,874", + "forks": "174", + "addStars": "100", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/670302?s=40&v=4", - "name": "houqp", - "url": "https://github.com/houqp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/751535?s=40&v=4", - "name": "chrox", - "url": "https://github.com/chrox" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/111974?s=40&v=4", - "name": "NiLuJe", - "url": "https://github.com/NiLuJe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/202757?s=40&v=4", - "name": "Frenzie", - "url": "https://github.com/Frenzie" + "avatar": "https://avatars.githubusercontent.com/u/6705160?s=40&v=4", + "name": "williamboman", + "url": "https://github.com/williamboman" }, { - "avatar": "https://avatars.githubusercontent.com/u/24273478?s=40&v=4", - "name": "poire-z", - "url": "https://github.com/poire-z" - } - ] - }, - { - "title": "overextended/ox_lib", - "url": "https://github.com/overextended/ox_lib", - "description": "A FiveM resource and script library for Lua and JS.", - "language": "Lua", - "languageColor": "#000080", - "stars": "322", - "forks": "426", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/65407488?s=40&v=4", - "name": "thelindat", - "url": "https://github.com/thelindat" + "avatar": "https://avatars.githubusercontent.com/u/107473453?s=40&v=4", + "name": "williambotman", + "url": "https://github.com/williambotman" }, { - "avatar": "https://avatars.githubusercontent.com/u/39926192?s=40&v=4", - "name": "LukeWasTakenn", - "url": "https://github.com/LukeWasTakenn" + "avatar": "https://avatars.githubusercontent.com/u/1591837?s=40&v=4", + "name": "mehalter", + "url": "https://github.com/mehalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/31368547?s=40&v=4", - "name": "DokaDoka", - "url": "https://github.com/DokaDoka" + "avatar": "https://avatars.githubusercontent.com/u/73286100?s=40&v=4", + "name": "chrisgrieser", + "url": "https://github.com/chrisgrieser" }, { - "avatar": "https://avatars.githubusercontent.com/u/71350868?s=40&v=4", - "name": "antond15", - "url": "https://github.com/antond15" + "avatar": "https://avatars.githubusercontent.com/u/392133?s=40&v=4", + "name": "errantepiphany", + "url": "https://github.com/errantepiphany" } ] } diff --git a/data/monthly/lua.xml b/data/monthly/lua.xml index a016fa6e939a..ee9a9496e8de 100644 --- a/data/monthly/lua.xml +++ b/data/monthly/lua.xml @@ -3,7 +3,7 @@ GitHub Lua Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Lua Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Saghen/blink.cmp https://github.com/Saghen/blink.cmp @@ -11,7 +11,7 @@ https://github.com/Saghen/blink.cmp Lua #000080 - 1,341 + 1,345 78 501 @@ -49,8 +49,8 @@ https://github.com/LazyVim/LazyVim Lua #000080 - 17,592 - 1,242 + 17,595 + 1,241 564 @@ -82,7 +82,7 @@ https://github.com/xmake-io/xmake Lua #000080 - 10,189 + 10,192 788 209 @@ -120,8 +120,8 @@ https://github.com/iDvel/rime-ice Lua #000080 - 9,887 - 656 + 9,898 + 658 575 @@ -146,6 +146,82 @@ + + LazyVim/starter + https://github.com/LazyVim/starter + Starter template for LazyVim + https://github.com/LazyVim/starter + Lua + #000080 + 1,004 + 795 + 67 + + + https://avatars.githubusercontent.com/u/292349?s=40&v=4 + folke + https://github.com/folke + + + https://avatars.githubusercontent.com/u/738579?s=40&v=4 + JesseZomer + https://github.com/JesseZomer + + + https://avatars.githubusercontent.com/u/874394?s=40&v=4 + chevcast + https://github.com/chevcast + + + https://avatars.githubusercontent.com/u/8651598?s=40&v=4 + 733amir + https://github.com/733amir + + + https://avatars.githubusercontent.com/u/12776461?s=40&v=4 + dpetka2001 + https://github.com/dpetka2001 + + + + + koreader/koreader + https://github.com/koreader/koreader + An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices + https://github.com/koreader/koreader + Lua + #000080 + 16,936 + 1,269 + 290 + + + https://avatars.githubusercontent.com/u/670302?s=40&v=4 + houqp + https://github.com/houqp + + + https://avatars.githubusercontent.com/u/751535?s=40&v=4 + chrox + https://github.com/chrox + + + https://avatars.githubusercontent.com/u/111974?s=40&v=4 + NiLuJe + https://github.com/NiLuJe + + + https://avatars.githubusercontent.com/u/202757?s=40&v=4 + Frenzie + https://github.com/Frenzie + + + https://avatars.githubusercontent.com/u/24273478?s=40&v=4 + poire-z + https://github.com/poire-z + + + catppuccin/nvim https://github.com/catppuccin/nvim @@ -154,7 +230,7 @@ Lua #000080 5,650 - 256 + 257 141 @@ -185,40 +261,40 @@ - LazyVim/starter - https://github.com/LazyVim/starter - Starter template for LazyVim - https://github.com/LazyVim/starter + lukas-reineke/indent-blankline.nvim + https://github.com/lukas-reineke/indent-blankline.nvim + Indent guides for Neovim + https://github.com/lukas-reineke/indent-blankline.nvim Lua #000080 - 1,004 - 795 - 67 + 4,262 + 106 + 86 - https://avatars.githubusercontent.com/u/292349?s=40&v=4 - folke - https://github.com/folke + https://avatars.githubusercontent.com/u/12900252?s=40&v=4 + lukas-reineke + https://github.com/lukas-reineke - https://avatars.githubusercontent.com/u/738579?s=40&v=4 - JesseZomer - https://github.com/JesseZomer + https://avatars.githubusercontent.com/u/57547764?s=40&v=4 + jbarap + https://github.com/jbarap - https://avatars.githubusercontent.com/u/874394?s=40&v=4 - chevcast - https://github.com/chevcast + https://avatars.githubusercontent.com/u/7075380?s=40&v=4 + Danielkonge + https://github.com/Danielkonge - https://avatars.githubusercontent.com/u/8651598?s=40&v=4 - 733amir - https://github.com/733amir + https://avatars.githubusercontent.com/u/7074?s=40&v=4 + wincent + https://github.com/wincent - https://avatars.githubusercontent.com/u/12776461?s=40&v=4 - dpetka2001 - https://github.com/dpetka2001 + https://avatars.githubusercontent.com/u/9094640?s=40&v=4 + rhino1998 + https://github.com/rhino1998 @@ -229,7 +305,7 @@ https://github.com/gaboolic/rime-frost Lua #000080 - 1,180 + 1,184 53 605 @@ -267,7 +343,7 @@ https://github.com/ThePrimeagen/refactoring.nvim Lua #000080 - 2,933 + 2,934 83 109 @@ -299,116 +375,106 @@ - lukas-reineke/indent-blankline.nvim - https://github.com/lukas-reineke/indent-blankline.nvim - Indent guides for Neovim - https://github.com/lukas-reineke/indent-blankline.nvim + linkease/istore + https://github.com/linkease/istore + 一个 Openwrt 标准的软件中心,纯脚本实现,只依赖Openwrt标准组件。支持其它固件开发者集成到自己的固件里面。更方便入门用户搜索安装插件。The iStore is a app store for OpenWRT + https://github.com/linkease/istore Lua #000080 - 4,262 - 106 - 86 + 1,509 + 331 + 83 - https://avatars.githubusercontent.com/u/12900252?s=40&v=4 - lukas-reineke - https://github.com/lukas-reineke + https://avatars.githubusercontent.com/u/3896703?s=40&v=4 + jjm2473 + https://github.com/jjm2473 - https://avatars.githubusercontent.com/u/57547764?s=40&v=4 - jbarap - https://github.com/jbarap + https://avatars.githubusercontent.com/u/4100344?s=40&v=4 + jannson + https://github.com/jannson - https://avatars.githubusercontent.com/u/7075380?s=40&v=4 - Danielkonge - https://github.com/Danielkonge + https://avatars.githubusercontent.com/u/25239238?s=40&v=4 + Carseason + https://github.com/Carseason - https://avatars.githubusercontent.com/u/7074?s=40&v=4 - wincent - https://github.com/wincent + https://avatars.githubusercontent.com/u/526140?s=40&v=4 + mjanson + https://github.com/mjanson - https://avatars.githubusercontent.com/u/9094640?s=40&v=4 - rhino1998 - https://github.com/rhino1998 + https://avatars.githubusercontent.com/u/7206387?s=40&v=4 + ziguayungui + https://github.com/ziguayungui - IntQuant/noita_entangled_worlds - https://github.com/IntQuant/noita_entangled_worlds - True coop multiplayer mod for Noita. - https://github.com/IntQuant/noita_entangled_worlds + nvimdev/dashboard-nvim + https://github.com/nvimdev/dashboard-nvim + vim dashboard + https://github.com/nvimdev/dashboard-nvim Lua #000080 - 387 - 17 - 113 + 2,398 + 192 + 44 - https://avatars.githubusercontent.com/u/25219447?s=40&v=4 - IntQuant - https://github.com/IntQuant - - - https://avatars.githubusercontent.com/u/55570525?s=40&v=4 - bgkillas - https://github.com/bgkillas + https://avatars.githubusercontent.com/u/41671631?s=40&v=4 + glepnir + https://github.com/glepnir - https://avatars.githubusercontent.com/u/49292532?s=40&v=4 - LuoTianOrange - https://github.com/LuoTianOrange + https://avatars.githubusercontent.com/u/43665491?s=40&v=4 + ssxwcz + https://github.com/ssxwcz - https://avatars.githubusercontent.com/u/68264134?s=40&v=4 - Furrior - https://github.com/Furrior + https://avatars.githubusercontent.com/u/24460484?s=40&v=4 + polirritmico + https://github.com/polirritmico - https://avatars.githubusercontent.com/u/96275684?s=40&v=4 - den3606 - https://github.com/den3606 + https://avatars.githubusercontent.com/u/23341710?s=40&v=4 + AckslD + https://github.com/AckslD - linkease/istore - https://github.com/linkease/istore - 一个 Openwrt 标准的软件中心,纯脚本实现,只依赖Openwrt标准组件。支持其它固件开发者集成到自己的固件里面。更方便入门用户搜索安装插件。The iStore is a app store for OpenWRT - https://github.com/linkease/istore + folke/lazy.nvim + https://github.com/folke/lazy.nvim + 💤 A modern plugin manager for Neovim + https://github.com/folke/lazy.nvim Lua #000080 - 1,507 - 331 - 83 + 15,013 + 365 + 460 - https://avatars.githubusercontent.com/u/3896703?s=40&v=4 - jjm2473 - https://github.com/jjm2473 - - - https://avatars.githubusercontent.com/u/4100344?s=40&v=4 - jannson - https://github.com/jannson + https://avatars.githubusercontent.com/u/292349?s=40&v=4 + folke + https://github.com/folke - https://avatars.githubusercontent.com/u/25239238?s=40&v=4 - Carseason - https://github.com/Carseason + https://avatars.githubusercontent.com/u/81827001?s=40&v=4 + max397574 + https://github.com/max397574 - https://avatars.githubusercontent.com/u/526140?s=40&v=4 - mjanson - https://github.com/mjanson + https://avatars.githubusercontent.com/u/20475201?s=40&v=4 + tsakirist + https://github.com/tsakirist - https://avatars.githubusercontent.com/u/7206387?s=40&v=4 - ziguayungui - https://github.com/ziguayungui + https://avatars.githubusercontent.com/u/55766287?s=40&v=4 + ribru17 + https://github.com/ribru17 @@ -419,7 +485,7 @@ https://github.com/navarasu/onedark.nvim Lua #000080 - 1,607 + 1,608 163 37 @@ -450,39 +516,6 @@ - - nvimdev/dashboard-nvim - https://github.com/nvimdev/dashboard-nvim - vim dashboard - https://github.com/nvimdev/dashboard-nvim - Lua - #000080 - 2,398 - 192 - 44 - - - https://avatars.githubusercontent.com/u/41671631?s=40&v=4 - glepnir - https://github.com/glepnir - - - https://avatars.githubusercontent.com/u/43665491?s=40&v=4 - ssxwcz - https://github.com/ssxwcz - - - https://avatars.githubusercontent.com/u/24460484?s=40&v=4 - polirritmico - https://github.com/polirritmico - - - https://avatars.githubusercontent.com/u/23341710?s=40&v=4 - AckslD - https://github.com/AckslD - - - Mintimate/oh-my-rime https://github.com/Mintimate/oh-my-rime @@ -490,7 +523,7 @@ https://github.com/Mintimate/oh-my-rime Lua #000080 - 2,733 + 2,735 185 174 @@ -516,6 +549,44 @@ + + IntQuant/noita_entangled_worlds + https://github.com/IntQuant/noita_entangled_worlds + True coop multiplayer mod for Noita. + https://github.com/IntQuant/noita_entangled_worlds + Lua + #000080 + 387 + 17 + 113 + + + https://avatars.githubusercontent.com/u/25219447?s=40&v=4 + IntQuant + https://github.com/IntQuant + + + https://avatars.githubusercontent.com/u/55570525?s=40&v=4 + bgkillas + https://github.com/bgkillas + + + https://avatars.githubusercontent.com/u/49292532?s=40&v=4 + LuoTianOrange + https://github.com/LuoTianOrange + + + https://avatars.githubusercontent.com/u/68264134?s=40&v=4 + Furrior + https://github.com/Furrior + + + https://avatars.githubusercontent.com/u/96275684?s=40&v=4 + den3606 + https://github.com/den3606 + + + mfussenegger/nvim-dap https://github.com/mfussenegger/nvim-dap @@ -523,7 +594,7 @@ https://github.com/mfussenegger/nvim-dap Lua #000080 - 5,658 + 5,659 205 138 @@ -554,39 +625,6 @@ - - folke/lazy.nvim - https://github.com/folke/lazy.nvim - 💤 A modern plugin manager for Neovim - https://github.com/folke/lazy.nvim - Lua - #000080 - 15,009 - 364 - 460 - - - https://avatars.githubusercontent.com/u/292349?s=40&v=4 - folke - https://github.com/folke - - - https://avatars.githubusercontent.com/u/81827001?s=40&v=4 - max397574 - https://github.com/max397574 - - - https://avatars.githubusercontent.com/u/20475201?s=40&v=4 - tsakirist - https://github.com/tsakirist - - - https://avatars.githubusercontent.com/u/55766287?s=40&v=4 - ribru17 - https://github.com/ribru17 - - - ray-x/go.nvim https://github.com/ray-x/go.nvim @@ -626,73 +664,40 @@ - koreader/koreader - https://github.com/koreader/koreader - An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices - https://github.com/koreader/koreader + williamboman/mason-lspconfig.nvim + https://github.com/williamboman/mason-lspconfig.nvim + Extension to mason.nvim that makes it easier to use lspconfig with mason.nvim. + https://github.com/williamboman/mason-lspconfig.nvim Lua #000080 - 16,932 - 1,269 - 290 + 2,874 + 174 + 100 - https://avatars.githubusercontent.com/u/670302?s=40&v=4 - houqp - https://github.com/houqp + https://avatars.githubusercontent.com/u/6705160?s=40&v=4 + williamboman + https://github.com/williamboman - https://avatars.githubusercontent.com/u/751535?s=40&v=4 - chrox - https://github.com/chrox - - - https://avatars.githubusercontent.com/u/111974?s=40&v=4 - NiLuJe - https://github.com/NiLuJe - - - https://avatars.githubusercontent.com/u/202757?s=40&v=4 - Frenzie - https://github.com/Frenzie - - - https://avatars.githubusercontent.com/u/24273478?s=40&v=4 - poire-z - https://github.com/poire-z - - - - - overextended/ox_lib - https://github.com/overextended/ox_lib - A FiveM resource and script library for Lua and JS. - https://github.com/overextended/ox_lib - Lua - #000080 - 322 - 426 - 10 - - - https://avatars.githubusercontent.com/u/65407488?s=40&v=4 - thelindat - https://github.com/thelindat + https://avatars.githubusercontent.com/u/107473453?s=40&v=4 + williambotman + https://github.com/williambotman - https://avatars.githubusercontent.com/u/39926192?s=40&v=4 - LukeWasTakenn - https://github.com/LukeWasTakenn + https://avatars.githubusercontent.com/u/1591837?s=40&v=4 + mehalter + https://github.com/mehalter - https://avatars.githubusercontent.com/u/31368547?s=40&v=4 - DokaDoka - https://github.com/DokaDoka + https://avatars.githubusercontent.com/u/73286100?s=40&v=4 + chrisgrieser + https://github.com/chrisgrieser - https://avatars.githubusercontent.com/u/71350868?s=40&v=4 - antond15 - https://github.com/antond15 + https://avatars.githubusercontent.com/u/392133?s=40&v=4 + errantepiphany + https://github.com/errantepiphany diff --git a/data/monthly/luau.json b/data/monthly/luau.json index 71ddaa18ab1e..d2763fc29ace 100644 --- a/data/monthly/luau.json +++ b/data/monthly/luau.json @@ -2,6 +2,6 @@ "title": "GitHub Luau Languages Monthly Trending", "description": "Monthly Trending of Luau Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/luau.xml b/data/monthly/luau.xml index 1f35f63c4fc9..618853b0c917 100644 --- a/data/monthly/luau.xml +++ b/data/monthly/luau.xml @@ -3,6 +3,6 @@ GitHub Luau Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Luau Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/m.json b/data/monthly/m.json index 2e50059508dc..4425896e5ea8 100644 --- a/data/monthly/m.json +++ b/data/monthly/m.json @@ -2,6 +2,6 @@ "title": "GitHub M Languages Monthly Trending", "description": "Monthly Trending of M Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/m.xml b/data/monthly/m.xml index 0429dd6002d8..5abdd84ebfd8 100644 --- a/data/monthly/m.xml +++ b/data/monthly/m.xml @@ -3,6 +3,6 @@ GitHub M Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of M Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/m4.json b/data/monthly/m4.json index f94f18e653c8..5974845fa3bc 100644 --- a/data/monthly/m4.json +++ b/data/monthly/m4.json @@ -2,6 +2,6 @@ "title": "GitHub M4 Languages Monthly Trending", "description": "Monthly Trending of M4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/m4.xml b/data/monthly/m4.xml index 6f25aa36bf69..ce74c567beff 100644 --- a/data/monthly/m4.xml +++ b/data/monthly/m4.xml @@ -3,6 +3,6 @@ GitHub M4 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of M4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/m4sugar.json b/data/monthly/m4sugar.json index af9f1fb8a5d2..c0e192ee3fa8 100644 --- a/data/monthly/m4sugar.json +++ b/data/monthly/m4sugar.json @@ -2,6 +2,6 @@ "title": "GitHub M4sugar Languages Monthly Trending", "description": "Monthly Trending of M4sugar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/m4sugar.xml b/data/monthly/m4sugar.xml index b7efc46037bc..67d76ddbd2e6 100644 --- a/data/monthly/m4sugar.xml +++ b/data/monthly/m4sugar.xml @@ -3,6 +3,6 @@ GitHub M4sugar Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of M4sugar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/macaulay2.json b/data/monthly/macaulay2.json index 909a395b15b7..6edb75380bfa 100644 --- a/data/monthly/macaulay2.json +++ b/data/monthly/macaulay2.json @@ -2,6 +2,6 @@ "title": "GitHub Macaulay2 Languages Monthly Trending", "description": "Monthly Trending of Macaulay2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/macaulay2.xml b/data/monthly/macaulay2.xml index 876b7db976bc..54c818cd56fe 100644 --- a/data/monthly/macaulay2.xml +++ b/data/monthly/macaulay2.xml @@ -3,6 +3,6 @@ GitHub Macaulay2 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Macaulay2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/makefile.json b/data/monthly/makefile.json index 76a76bb3f3e9..29240186d5d3 100644 --- a/data/monthly/makefile.json +++ b/data/monthly/makefile.json @@ -2,7 +2,7 @@ "title": "GitHub Makefile Languages Monthly Trending", "description": "Monthly Trending of Makefile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "DataExpert-io/data-engineer-handbook", @@ -10,8 +10,8 @@ "description": "This is a repo with links to everything you'd ever want to learn about data engineering", "language": "Makefile", "languageColor": "#427819", - "stars": "20,993", - "forks": "3,202", + "stars": "21,097", + "forks": "3,225", "addStars": "10,326", "contributors": [ { @@ -48,7 +48,7 @@ "language": "Makefile", "languageColor": "#427819", "stars": "4,036", - "forks": "3,498", + "forks": "3,499", "addStars": "47", "contributors": [ { @@ -84,7 +84,7 @@ "description": "Corne keyboard, a split keyboard with 3x6 column staggered keys and 3 thumb keys.", "language": "Makefile", "languageColor": "#427819", - "stars": "5,657", + "stars": "5,658", "forks": "983", "addStars": "140", "contributors": [ @@ -152,6 +152,33 @@ } ] }, + { + "title": "cilium/hubble", + "url": "https://github.com/cilium/hubble", + "description": "Hubble - Network, Service & Security Observability for Kubernetes using eBPF", + "language": "Makefile", + "languageColor": "#427819", + "stars": "3,557", + "forks": "253", + "addStars": "52", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1324157?s=40&v=4", + "name": "rolinh", + "url": "https://github.com/rolinh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50564?s=40&v=4", + "name": "gandro", + "url": "https://github.com/gandro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25494577?s=40&v=4", + "name": "michi-covalent", + "url": "https://github.com/michi-covalent" + } + ] + }, { "title": "raspberrypi/noobs", "url": "https://github.com/raspberrypi/noobs", @@ -189,6 +216,43 @@ } ] }, + { + "title": "open-telemetry/opentelemetry-proto", + "url": "https://github.com/open-telemetry/opentelemetry-proto", + "description": "OpenTelemetry protocol (OTLP) specification and Protobuf definitions", + "language": "Makefile", + "languageColor": "#427819", + "stars": "607", + "forks": "262", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4194920?s=40&v=4", + "name": "tigrannajaryan", + "url": "https://github.com/tigrannajaryan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1373887?s=40&v=4", + "name": "bogdandrutu", + "url": "https://github.com/bogdandrutu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/699369?s=40&v=4", + "name": "estolfo", + "url": "https://github.com/estolfo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3629705?s=40&v=4", + "name": "jmacd", + "url": "https://github.com/jmacd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9950081?s=40&v=4", + "name": "SergeyKanzhelev", + "url": "https://github.com/SergeyKanzhelev" + } + ] + }, { "title": "freebsd/freebsd-ports", "url": "https://github.com/freebsd/freebsd-ports", @@ -226,70 +290,6 @@ } ] }, - { - "title": "cilium/hubble", - "url": "https://github.com/cilium/hubble", - "description": "Hubble - Network, Service & Security Observability for Kubernetes using eBPF", - "language": "Makefile", - "languageColor": "#427819", - "stars": "3,557", - "forks": "253", - "addStars": "52", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1324157?s=40&v=4", - "name": "rolinh", - "url": "https://github.com/rolinh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50564?s=40&v=4", - "name": "gandro", - "url": "https://github.com/gandro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25494577?s=40&v=4", - "name": "michi-covalent", - "url": "https://github.com/michi-covalent" - } - ] - }, - { - "title": "open-telemetry/opentelemetry-proto", - "url": "https://github.com/open-telemetry/opentelemetry-proto", - "description": "OpenTelemetry protocol (OTLP) specification and Protobuf definitions", - "language": "Makefile", - "languageColor": "#427819", - "stars": "607", - "forks": "263", - "addStars": "19", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4194920?s=40&v=4", - "name": "tigrannajaryan", - "url": "https://github.com/tigrannajaryan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1373887?s=40&v=4", - "name": "bogdandrutu", - "url": "https://github.com/bogdandrutu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/699369?s=40&v=4", - "name": "estolfo", - "url": "https://github.com/estolfo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3629705?s=40&v=4", - "name": "jmacd", - "url": "https://github.com/jmacd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9950081?s=40&v=4", - "name": "SergeyKanzhelev", - "url": "https://github.com/SergeyKanzhelev" - } - ] - }, { "title": "typoes/harry-potter-gen-z", "url": "https://github.com/typoes/harry-potter-gen-z", @@ -370,7 +370,7 @@ "description": "The easiest way to get started with PostgreSQL on the Mac", "language": "Makefile", "languageColor": "#427819", - "stars": "7,361", + "stars": "7,362", "forks": "383", "addStars": "58", "contributors": [ @@ -481,7 +481,7 @@ "description": "DeepSeek LLM: Let there be answers", "language": "Makefile", "languageColor": "#427819", - "stars": "1,476", + "stars": "1,477", "forks": "94", "addStars": "54", "contributors": [ @@ -513,113 +513,150 @@ ] }, { - "title": "HaveAGitGat/Tdarr", - "url": "https://github.com/HaveAGitGat/Tdarr", - "description": "Tdarr - Distributed transcode automation using FFmpeg/HandBrake + Audio/Video library analytics + video health checking (Windows, macOS, Linux & Docker)", + "title": "instill-ai/instill-core", + "url": "https://github.com/instill-ai/instill-core", + "description": "🔮 Instill Core is a full-stack AI infrastructure tool for data, model and pipeline orchestration, designed to streamline every aspect of building versatile AI-first applications", + "language": "Makefile", + "languageColor": "#427819", + "stars": "2,154", + "forks": "107", + "addStars": "51", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/628430?s=40&v=4", + "name": "pinglin", + "url": "https://github.com/pinglin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/441005?s=40&v=4", + "name": "donch1989", + "url": "https://github.com/donch1989" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70758845?s=40&v=4", + "name": "droplet-bot", + "url": "https://github.com/droplet-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66248476?s=40&v=4", + "name": "xiaofei-du", + "url": "https://github.com/xiaofei-du" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5631010?s=40&v=4", + "name": "heiruwu", + "url": "https://github.com/heiruwu" + } + ] + }, + { + "title": "temporalio/api", + "url": "https://github.com/temporalio/api", + "description": "Temporal gRPC API and proto files", "language": "Makefile", "languageColor": "#427819", - "stars": "3,057", - "forks": "96", - "addStars": "71", + "stars": "86", + "forks": "66", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/43864057?s=40&v=4", - "name": "HaveAGitGat", - "url": "https://github.com/HaveAGitGat" + "avatar": "https://avatars.githubusercontent.com/u/2232524?s=40&v=4", + "name": "alexshtin", + "url": "https://github.com/alexshtin" }, { - "avatar": "https://avatars.githubusercontent.com/u/182836?s=40&v=4", - "name": "jonocairns", - "url": "https://github.com/jonocairns" + "avatar": "https://avatars.githubusercontent.com/u/52304?s=40&v=4", + "name": "bergundy", + "url": "https://github.com/bergundy" }, { - "avatar": "https://avatars.githubusercontent.com/u/7110194?s=40&v=4", - "name": "Roxedus", - "url": "https://github.com/Roxedus" + "avatar": "https://avatars.githubusercontent.com/u/517683?s=40&v=4", + "name": "Sushisource", + "url": "https://github.com/Sushisource" }, { - "avatar": "https://avatars.githubusercontent.com/u/32561343?s=40&v=4", - "name": "davidry", - "url": "https://github.com/davidry" + "avatar": "https://avatars.githubusercontent.com/u/5515678?s=40&v=4", + "name": "shawnhathaway", + "url": "https://github.com/shawnhathaway" }, { - "avatar": "https://avatars.githubusercontent.com/u/6439218?s=40&v=4", - "name": "YouKnowBlom", - "url": "https://github.com/YouKnowBlom" + "avatar": "https://avatars.githubusercontent.com/u/2068124?s=40&v=4", + "name": "rodrigozhou", + "url": "https://github.com/rodrigozhou" } ] }, { - "title": "SynoCommunity/spksrc", - "url": "https://github.com/SynoCommunity/spksrc", - "description": "Cross compilation framework to create native packages for the Synology's NAS", + "title": "Xilinx/Vitis_Accel_Examples", + "url": "https://github.com/Xilinx/Vitis_Accel_Examples", + "description": "Vitis_Accel_Examples", "language": "Makefile", "languageColor": "#427819", - "stars": "3,041", - "forks": "1,237", - "addStars": "23", + "stars": "506", + "forks": "214", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1538260?s=40&v=4", - "name": "Dr-Bean", - "url": "https://github.com/Dr-Bean" + "avatar": "https://avatars.githubusercontent.com/u/46041852?s=40&v=4", + "name": "BhaskarVishnu", + "url": "https://github.com/BhaskarVishnu" }, { - "avatar": "https://avatars.githubusercontent.com/u/12009964?s=40&v=4", - "name": "hgy59", - "url": "https://github.com/hgy59" + "avatar": "https://avatars.githubusercontent.com/u/89925825?s=40&v=4", + "name": "meghanat-xilinx", + "url": "https://github.com/meghanat-xilinx" }, { - "avatar": "https://avatars.githubusercontent.com/u/17141866?s=40&v=4", - "name": "th0ma7", - "url": "https://github.com/th0ma7" + "avatar": "https://avatars.githubusercontent.com/u/22345433?s=40&v=4", + "name": "rajatsarkari", + "url": "https://github.com/rajatsarkari" }, { - "avatar": "https://avatars.githubusercontent.com/u/1071643?s=40&v=4", - "name": "moneytoo", - "url": "https://github.com/moneytoo" + "avatar": "https://avatars.githubusercontent.com/u/24442762?s=40&v=4", + "name": "heeran-xilinx", + "url": "https://github.com/heeran-xilinx" }, { - "avatar": "https://avatars.githubusercontent.com/u/2196184?s=40&v=4", - "name": "ymartin59", - "url": "https://github.com/ymartin59" + "avatar": "https://avatars.githubusercontent.com/u/68548053?s=40&v=4", + "name": "virata-xilinx", + "url": "https://github.com/virata-xilinx" } ] }, { - "title": "jobbole/awesome-python-cn", - "url": "https://github.com/jobbole/awesome-python-cn", - "description": "Python资源大全中文版,包括:Web框架、网络爬虫、模板引擎、数据库、数据可视化、图片处理等,由「开源前哨」和「Python开发者」微信公号团队维护更新。", + "title": "coolsnowwolf/packages", + "url": "https://github.com/coolsnowwolf/packages", + "description": "Community maintained packages for OpenWrt.", "language": "Makefile", "languageColor": "#427819", - "stars": "28,838", - "forks": "7,937", - "addStars": "156", + "stars": "189", + "forks": "553", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1163916?s=40&v=4", - "name": "tangyouhua", - "url": "https://github.com/tangyouhua" + "avatar": "https://avatars.githubusercontent.com/u/31687149?s=40&v=4", + "name": "coolsnowwolf", + "url": "https://github.com/coolsnowwolf" }, { - "avatar": "https://avatars.githubusercontent.com/u/8531908?s=40&v=4", - "name": "huanglimin", - "url": "https://github.com/huanglimin" + "avatar": "https://avatars.githubusercontent.com/u/2918873?s=40&v=4", + "name": "neheb", + "url": "https://github.com/neheb" }, { - "avatar": "https://avatars.githubusercontent.com/u/19553554?s=40&v=4", - "name": "chenjiandongx", - "url": "https://github.com/chenjiandongx" + "avatar": "https://avatars.githubusercontent.com/u/70857188?s=40&v=4", + "name": "Beginner-Go", + "url": "https://github.com/Beginner-Go" }, { - "avatar": "https://avatars.githubusercontent.com/u/3370445?s=40&v=4", - "name": "hanxiaomax", - "url": "https://github.com/hanxiaomax" + "avatar": "https://avatars.githubusercontent.com/u/22235437?s=40&v=4", + "name": "1715173329", + "url": "https://github.com/1715173329" }, { - "avatar": "https://avatars.githubusercontent.com/u/863586?s=40&v=4", - "name": "knktc", - "url": "https://github.com/knktc" + "avatar": "https://avatars.githubusercontent.com/u/2766732?s=40&v=4", + "name": "commodo", + "url": "https://github.com/commodo" } ] } diff --git a/data/monthly/makefile.xml b/data/monthly/makefile.xml index 57b9ed7ac07b..0322103ec27e 100644 --- a/data/monthly/makefile.xml +++ b/data/monthly/makefile.xml @@ -3,7 +3,7 @@ GitHub Makefile Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Makefile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT DataExpert-io/data-engineer-handbook https://github.com/DataExpert-io/data-engineer-handbook @@ -11,8 +11,8 @@ https://github.com/DataExpert-io/data-engineer-handbook Makefile #427819 - 20,993 - 3,202 + 21,097 + 3,225 10,326 @@ -50,7 +50,7 @@ Makefile #427819 4,036 - 3,498 + 3,499 47 @@ -87,7 +87,7 @@ https://github.com/foostan/crkbd Makefile #427819 - 5,657 + 5,658 983 140 @@ -156,6 +156,34 @@ + + cilium/hubble + https://github.com/cilium/hubble + Hubble - Network, Service & Security Observability for Kubernetes using eBPF + https://github.com/cilium/hubble + Makefile + #427819 + 3,557 + 253 + 52 + + + https://avatars.githubusercontent.com/u/1324157?s=40&v=4 + rolinh + https://github.com/rolinh + + + https://avatars.githubusercontent.com/u/50564?s=40&v=4 + gandro + https://github.com/gandro + + + https://avatars.githubusercontent.com/u/25494577?s=40&v=4 + michi-covalent + https://github.com/michi-covalent + + + raspberrypi/noobs https://github.com/raspberrypi/noobs @@ -194,6 +222,44 @@ + + open-telemetry/opentelemetry-proto + https://github.com/open-telemetry/opentelemetry-proto + OpenTelemetry protocol (OTLP) specification and Protobuf definitions + https://github.com/open-telemetry/opentelemetry-proto + Makefile + #427819 + 607 + 262 + 19 + + + https://avatars.githubusercontent.com/u/4194920?s=40&v=4 + tigrannajaryan + https://github.com/tigrannajaryan + + + https://avatars.githubusercontent.com/u/1373887?s=40&v=4 + bogdandrutu + https://github.com/bogdandrutu + + + https://avatars.githubusercontent.com/u/699369?s=40&v=4 + estolfo + https://github.com/estolfo + + + https://avatars.githubusercontent.com/u/3629705?s=40&v=4 + jmacd + https://github.com/jmacd + + + https://avatars.githubusercontent.com/u/9950081?s=40&v=4 + SergeyKanzhelev + https://github.com/SergeyKanzhelev + + + freebsd/freebsd-ports https://github.com/freebsd/freebsd-ports @@ -232,72 +298,6 @@ - - cilium/hubble - https://github.com/cilium/hubble - Hubble - Network, Service & Security Observability for Kubernetes using eBPF - https://github.com/cilium/hubble - Makefile - #427819 - 3,557 - 253 - 52 - - - https://avatars.githubusercontent.com/u/1324157?s=40&v=4 - rolinh - https://github.com/rolinh - - - https://avatars.githubusercontent.com/u/50564?s=40&v=4 - gandro - https://github.com/gandro - - - https://avatars.githubusercontent.com/u/25494577?s=40&v=4 - michi-covalent - https://github.com/michi-covalent - - - - - open-telemetry/opentelemetry-proto - https://github.com/open-telemetry/opentelemetry-proto - OpenTelemetry protocol (OTLP) specification and Protobuf definitions - https://github.com/open-telemetry/opentelemetry-proto - Makefile - #427819 - 607 - 263 - 19 - - - https://avatars.githubusercontent.com/u/4194920?s=40&v=4 - tigrannajaryan - https://github.com/tigrannajaryan - - - https://avatars.githubusercontent.com/u/1373887?s=40&v=4 - bogdandrutu - https://github.com/bogdandrutu - - - https://avatars.githubusercontent.com/u/699369?s=40&v=4 - estolfo - https://github.com/estolfo - - - https://avatars.githubusercontent.com/u/3629705?s=40&v=4 - jmacd - https://github.com/jmacd - - - https://avatars.githubusercontent.com/u/9950081?s=40&v=4 - SergeyKanzhelev - https://github.com/SergeyKanzhelev - - - typoes/harry-potter-gen-z https://github.com/typoes/harry-potter-gen-z @@ -381,7 +381,7 @@ https://github.com/PostgresApp/PostgresApp Makefile #427819 - 7,361 + 7,362 383 58 @@ -495,7 +495,7 @@ https://github.com/deepseek-ai/DeepSeek-LLM Makefile #427819 - 1,476 + 1,477 94 54 @@ -527,116 +527,154 @@ - HaveAGitGat/Tdarr - https://github.com/HaveAGitGat/Tdarr - Tdarr - Distributed transcode automation using FFmpeg/HandBrake + Audio/Video library analytics + video health checking (Windows, macOS, Linux & Docker) - https://github.com/HaveAGitGat/Tdarr + instill-ai/instill-core + https://github.com/instill-ai/instill-core + 🔮 Instill Core is a full-stack AI infrastructure tool for data, model and pipeline orchestration, designed to streamline every aspect of building versatile AI-first applications + https://github.com/instill-ai/instill-core Makefile #427819 - 3,057 - 96 - 71 + 2,154 + 107 + 51 - https://avatars.githubusercontent.com/u/43864057?s=40&v=4 - HaveAGitGat - https://github.com/HaveAGitGat + https://avatars.githubusercontent.com/u/628430?s=40&v=4 + pinglin + https://github.com/pinglin - https://avatars.githubusercontent.com/u/182836?s=40&v=4 - jonocairns - https://github.com/jonocairns + https://avatars.githubusercontent.com/u/441005?s=40&v=4 + donch1989 + https://github.com/donch1989 - https://avatars.githubusercontent.com/u/7110194?s=40&v=4 - Roxedus - https://github.com/Roxedus + https://avatars.githubusercontent.com/u/70758845?s=40&v=4 + droplet-bot + https://github.com/droplet-bot - https://avatars.githubusercontent.com/u/32561343?s=40&v=4 - davidry - https://github.com/davidry + https://avatars.githubusercontent.com/u/66248476?s=40&v=4 + xiaofei-du + https://github.com/xiaofei-du - https://avatars.githubusercontent.com/u/6439218?s=40&v=4 - YouKnowBlom - https://github.com/YouKnowBlom + https://avatars.githubusercontent.com/u/5631010?s=40&v=4 + heiruwu + https://github.com/heiruwu - SynoCommunity/spksrc - https://github.com/SynoCommunity/spksrc - Cross compilation framework to create native packages for the Synology's NAS - https://github.com/SynoCommunity/spksrc + temporalio/api + https://github.com/temporalio/api + Temporal gRPC API and proto files + https://github.com/temporalio/api Makefile #427819 - 3,041 - 1,237 - 23 + 86 + 66 + 3 - https://avatars.githubusercontent.com/u/1538260?s=40&v=4 - Dr-Bean - https://github.com/Dr-Bean + https://avatars.githubusercontent.com/u/2232524?s=40&v=4 + alexshtin + https://github.com/alexshtin - https://avatars.githubusercontent.com/u/12009964?s=40&v=4 - hgy59 - https://github.com/hgy59 + https://avatars.githubusercontent.com/u/52304?s=40&v=4 + bergundy + https://github.com/bergundy - https://avatars.githubusercontent.com/u/17141866?s=40&v=4 - th0ma7 - https://github.com/th0ma7 + https://avatars.githubusercontent.com/u/517683?s=40&v=4 + Sushisource + https://github.com/Sushisource - https://avatars.githubusercontent.com/u/1071643?s=40&v=4 - moneytoo - https://github.com/moneytoo + https://avatars.githubusercontent.com/u/5515678?s=40&v=4 + shawnhathaway + https://github.com/shawnhathaway - https://avatars.githubusercontent.com/u/2196184?s=40&v=4 - ymartin59 - https://github.com/ymartin59 + https://avatars.githubusercontent.com/u/2068124?s=40&v=4 + rodrigozhou + https://github.com/rodrigozhou - jobbole/awesome-python-cn - https://github.com/jobbole/awesome-python-cn - Python资源大全中文版,包括:Web框架、网络爬虫、模板引擎、数据库、数据可视化、图片处理等,由「开源前哨」和「Python开发者」微信公号团队维护更新。 - https://github.com/jobbole/awesome-python-cn + Xilinx/Vitis_Accel_Examples + https://github.com/Xilinx/Vitis_Accel_Examples + Vitis_Accel_Examples + https://github.com/Xilinx/Vitis_Accel_Examples Makefile #427819 - 28,838 - 7,937 - 156 + 506 + 214 + 2 - https://avatars.githubusercontent.com/u/1163916?s=40&v=4 - tangyouhua - https://github.com/tangyouhua + https://avatars.githubusercontent.com/u/46041852?s=40&v=4 + BhaskarVishnu + https://github.com/BhaskarVishnu - https://avatars.githubusercontent.com/u/8531908?s=40&v=4 - huanglimin - https://github.com/huanglimin + https://avatars.githubusercontent.com/u/89925825?s=40&v=4 + meghanat-xilinx + https://github.com/meghanat-xilinx + + + https://avatars.githubusercontent.com/u/22345433?s=40&v=4 + rajatsarkari + https://github.com/rajatsarkari + + + https://avatars.githubusercontent.com/u/24442762?s=40&v=4 + heeran-xilinx + https://github.com/heeran-xilinx + + + https://avatars.githubusercontent.com/u/68548053?s=40&v=4 + virata-xilinx + https://github.com/virata-xilinx + + + + + coolsnowwolf/packages + https://github.com/coolsnowwolf/packages + Community maintained packages for OpenWrt. + https://github.com/coolsnowwolf/packages + Makefile + #427819 + 189 + 553 + 2 + + + https://avatars.githubusercontent.com/u/31687149?s=40&v=4 + coolsnowwolf + https://github.com/coolsnowwolf + + + https://avatars.githubusercontent.com/u/2918873?s=40&v=4 + neheb + https://github.com/neheb - https://avatars.githubusercontent.com/u/19553554?s=40&v=4 - chenjiandongx - https://github.com/chenjiandongx + https://avatars.githubusercontent.com/u/70857188?s=40&v=4 + Beginner-Go + https://github.com/Beginner-Go - https://avatars.githubusercontent.com/u/3370445?s=40&v=4 - hanxiaomax - https://github.com/hanxiaomax + https://avatars.githubusercontent.com/u/22235437?s=40&v=4 + 1715173329 + https://github.com/1715173329 - https://avatars.githubusercontent.com/u/863586?s=40&v=4 - knktc - https://github.com/knktc + https://avatars.githubusercontent.com/u/2766732?s=40&v=4 + commodo + https://github.com/commodo diff --git a/data/monthly/mako.json b/data/monthly/mako.json index a563465f640d..c3d3d7e51573 100644 --- a/data/monthly/mako.json +++ b/data/monthly/mako.json @@ -2,44 +2,6 @@ "title": "GitHub Mako Languages Monthly Trending", "description": "Monthly Trending of Mako Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "vantage-sh/ec2instances.info", - "url": "https://github.com/vantage-sh/ec2instances.info", - "description": "Amazon EC2 instance comparison site", - "language": "Mako", - "languageColor": "#7e858d", - "stars": "5,216", - "forks": "585", - "addStars": "40", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/70472?s=40&v=4", - "name": "powdahound", - "url": "https://github.com/powdahound" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3907080?s=40&v=4", - "name": "EverettBerry", - "url": "https://github.com/EverettBerry" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/245680?s=40&v=4", - "name": "brookemckim", - "url": "https://github.com/brookemckim" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16725?s=40&v=4", - "name": "nelhage", - "url": "https://github.com/nelhage" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/95209?s=40&v=4", - "name": "cristim", - "url": "https://github.com/cristim" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/monthly/mako.xml b/data/monthly/mako.xml index 8b90fe747cc1..5b258eb8901e 100644 --- a/data/monthly/mako.xml +++ b/data/monthly/mako.xml @@ -3,44 +3,6 @@ GitHub Mako Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mako Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - vantage-sh/ec2instances.info - https://github.com/vantage-sh/ec2instances.info - Amazon EC2 instance comparison site - https://github.com/vantage-sh/ec2instances.info - Mako - #7e858d - 5,216 - 585 - 40 - - - https://avatars.githubusercontent.com/u/70472?s=40&v=4 - powdahound - https://github.com/powdahound - - - https://avatars.githubusercontent.com/u/3907080?s=40&v=4 - EverettBerry - https://github.com/EverettBerry - - - https://avatars.githubusercontent.com/u/245680?s=40&v=4 - brookemckim - https://github.com/brookemckim - - - https://avatars.githubusercontent.com/u/16725?s=40&v=4 - nelhage - https://github.com/nelhage - - - https://avatars.githubusercontent.com/u/95209?s=40&v=4 - cristim - https://github.com/cristim - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/marko.json b/data/monthly/marko.json index a5d28dacd84c..8bd80de18c23 100644 --- a/data/monthly/marko.json +++ b/data/monthly/marko.json @@ -2,6 +2,6 @@ "title": "GitHub Marko Languages Monthly Trending", "description": "Monthly Trending of Marko Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/marko.xml b/data/monthly/marko.xml index 5be82f1cc51c..3e0ab7b17d41 100644 --- a/data/monthly/marko.xml +++ b/data/monthly/marko.xml @@ -3,6 +3,6 @@ GitHub Marko Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Marko Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mask.json b/data/monthly/mask.json index 677a52e4bd97..d455dbc8db42 100644 --- a/data/monthly/mask.json +++ b/data/monthly/mask.json @@ -2,6 +2,6 @@ "title": "GitHub Mask Languages Monthly Trending", "description": "Monthly Trending of Mask Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mask.xml b/data/monthly/mask.xml index c5d8fea62ce6..7e69734fbf3d 100644 --- a/data/monthly/mask.xml +++ b/data/monthly/mask.xml @@ -3,6 +3,6 @@ GitHub Mask Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mask Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mathematica.json b/data/monthly/mathematica.json index a09648ebfada..e8b8a82bd2c5 100644 --- a/data/monthly/mathematica.json +++ b/data/monthly/mathematica.json @@ -2,7 +2,7 @@ "title": "GitHub Mathematica Languages Monthly Trending", "description": "Monthly Trending of Mathematica Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Autodesk/maya-usd", diff --git a/data/monthly/mathematica.xml b/data/monthly/mathematica.xml index 4eea594393e5..2bcef230d90c 100644 --- a/data/monthly/mathematica.xml +++ b/data/monthly/mathematica.xml @@ -3,7 +3,7 @@ GitHub Mathematica Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mathematica Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Autodesk/maya-usd https://github.com/Autodesk/maya-usd diff --git a/data/monthly/matlab.json b/data/monthly/matlab.json index b57ff0fbc2e8..16bfb305e6af 100644 --- a/data/monthly/matlab.json +++ b/data/monthly/matlab.json @@ -2,7 +2,7 @@ "title": "GitHub Matlab Languages Monthly Trending", "description": "Monthly Trending of Matlab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "TadasBaltrusaitis/OpenFace", diff --git a/data/monthly/matlab.xml b/data/monthly/matlab.xml index 364c135aa4ac..7eb42d9740dd 100644 --- a/data/monthly/matlab.xml +++ b/data/monthly/matlab.xml @@ -3,7 +3,7 @@ GitHub Matlab Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Matlab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT TadasBaltrusaitis/OpenFace https://github.com/TadasBaltrusaitis/OpenFace diff --git a/data/monthly/maven-pom.json b/data/monthly/maven-pom.json index 28e9a3b86bfb..a58d27294f90 100644 --- a/data/monthly/maven-pom.json +++ b/data/monthly/maven-pom.json @@ -2,6 +2,6 @@ "title": "GitHub Maven-pom Languages Monthly Trending", "description": "Monthly Trending of Maven-pom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/maven-pom.xml b/data/monthly/maven-pom.xml index 67ec9e394400..225dfcbf81e7 100644 --- a/data/monthly/maven-pom.xml +++ b/data/monthly/maven-pom.xml @@ -3,6 +3,6 @@ GitHub Maven-pom Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Maven-pom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/max.json b/data/monthly/max.json index d751838a72f0..196161e179ff 100644 --- a/data/monthly/max.json +++ b/data/monthly/max.json @@ -2,6 +2,6 @@ "title": "GitHub Max Languages Monthly Trending", "description": "Monthly Trending of Max Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/max.xml b/data/monthly/max.xml index abdef95ef62f..cde2ef104a2e 100644 --- a/data/monthly/max.xml +++ b/data/monthly/max.xml @@ -3,6 +3,6 @@ GitHub Max Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Max Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/maxscript.json b/data/monthly/maxscript.json index b5f4a69ec43c..6206f0b582be 100644 --- a/data/monthly/maxscript.json +++ b/data/monthly/maxscript.json @@ -2,6 +2,6 @@ "title": "GitHub Maxscript Languages Monthly Trending", "description": "Monthly Trending of Maxscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/maxscript.xml b/data/monthly/maxscript.xml index 5efa5386fd94..8b3c1c2b74b8 100644 --- a/data/monthly/maxscript.xml +++ b/data/monthly/maxscript.xml @@ -3,6 +3,6 @@ GitHub Maxscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Maxscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mcfunction.json b/data/monthly/mcfunction.json index 24b8c7d76bd0..af455399d93d 100644 --- a/data/monthly/mcfunction.json +++ b/data/monthly/mcfunction.json @@ -2,7 +2,7 @@ "title": "GitHub Mcfunction Languages Monthly Trending", "description": "Monthly Trending of Mcfunction Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "QuantumXenon/origins-plus-plus", diff --git a/data/monthly/mcfunction.xml b/data/monthly/mcfunction.xml index b5c7cff18f83..57fba69b9904 100644 --- a/data/monthly/mcfunction.xml +++ b/data/monthly/mcfunction.xml @@ -3,7 +3,7 @@ GitHub Mcfunction Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mcfunction Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT QuantumXenon/origins-plus-plus https://github.com/QuantumXenon/origins-plus-plus diff --git a/data/monthly/mdx.json b/data/monthly/mdx.json index d5996bc5e45e..2875bb251b22 100644 --- a/data/monthly/mdx.json +++ b/data/monthly/mdx.json @@ -2,7 +2,7 @@ "title": "GitHub Mdx Languages Monthly Trending", "description": "Monthly Trending of Mdx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "openai/openai-cookbook", @@ -10,7 +10,7 @@ "description": "Examples and guides for using the OpenAI API", "language": "MDX", "languageColor": "#fcb32c", - "stars": "60,272", + "stars": "60,284", "forks": "9,574", "addStars": "941", "contributors": [ @@ -48,7 +48,7 @@ "language": "MDX", "languageColor": "#fcb32c", "stars": "3,108", - "forks": "4,863", + "forks": "4,864", "addStars": "108", "contributors": [ { @@ -84,7 +84,7 @@ "description": "A collection of modern, server-safe React hooks – from the ui.dev team", "language": "MDX", "languageColor": "#fcb32c", - "stars": "9,881", + "stars": "9,884", "forks": "524", "addStars": "477", "contributors": [ @@ -121,8 +121,8 @@ "description": "🐙 Guides, papers, lecture, notebooks and resources for prompt engineering", "language": "MDX", "languageColor": "#fcb32c", - "stars": "50,459", - "forks": "4,893", + "stars": "50,466", + "forks": "4,894", "addStars": "954", "contributors": [ { @@ -190,7 +190,7 @@ "description": "The Hugging Face course on Transformers", "language": "MDX", "languageColor": "#fcb32c", - "stars": "2,268", + "stars": "2,269", "forks": "752", "addStars": "71", "contributors": [ @@ -221,40 +221,13 @@ } ] }, - { - "title": "tauri-apps/tauri-docs", - "url": "https://github.com/tauri-apps/tauri-docs", - "description": "The source for all Tauri project documentation.", - "language": "MDX", - "languageColor": "#fcb32c", - "stars": "832", - "forks": "628", - "addStars": "21", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/15347255?s=40&v=4", - "name": "lorenzolewis", - "url": "https://github.com/lorenzolewis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/61759797?s=40&v=4", - "name": "vasfvitor", - "url": "https://github.com/vasfvitor" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79983560?s=40&v=4", - "name": "simonhyll", - "url": "https://github.com/simonhyll" - } - ] - }, { "title": "tailwindlabs/tailwindcss.com", "url": "https://github.com/tailwindlabs/tailwindcss.com", "description": "The Tailwind CSS documentation website.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "3,306", + "stars": "3,308", "forks": "1,768", "addStars": "28", "contributors": [ @@ -317,6 +290,33 @@ } ] }, + { + "title": "tauri-apps/tauri-docs", + "url": "https://github.com/tauri-apps/tauri-docs", + "description": "The source for all Tauri project documentation.", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "832", + "forks": "629", + "addStars": "21", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/15347255?s=40&v=4", + "name": "lorenzolewis", + "url": "https://github.com/lorenzolewis" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61759797?s=40&v=4", + "name": "vasfvitor", + "url": "https://github.com/vasfvitor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/79983560?s=40&v=4", + "name": "simonhyll", + "url": "https://github.com/simonhyll" + } + ] + }, { "title": "aws-amplify/docs", "url": "https://github.com/aws-amplify/docs", @@ -386,38 +386,6 @@ } ] }, - { - "title": "yewstack/yew", - "url": "https://github.com/yewstack/yew", - "description": "Rust / Wasm framework for creating reliable and efficient web applications", - "language": "MDX", - "languageColor": "#fcb32c", - "stars": "30,867", - "forks": "1,429", - "addStars": "196", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/418920?s=40&v=4", - "name": "therustmonk", - "url": "https://github.com/therustmonk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1076145?s=40&v=4", - "name": "jstarry", - "url": "https://github.com/jstarry" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47357913?s=40&v=4", - "name": "ranile", - "url": "https://github.com/ranile" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16530283?s=40&v=4", - "name": "siku2", - "url": "https://github.com/siku2" - } - ] - }, { "title": "jellyfin/jellyfin.org", "url": "https://github.com/jellyfin/jellyfin.org", @@ -450,6 +418,38 @@ } ] }, + { + "title": "yewstack/yew", + "url": "https://github.com/yewstack/yew", + "description": "Rust / Wasm framework for creating reliable and efficient web applications", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "30,868", + "forks": "1,429", + "addStars": "196", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/418920?s=40&v=4", + "name": "therustmonk", + "url": "https://github.com/therustmonk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1076145?s=40&v=4", + "name": "jstarry", + "url": "https://github.com/jstarry" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47357913?s=40&v=4", + "name": "ranile", + "url": "https://github.com/ranile" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16530283?s=40&v=4", + "name": "siku2", + "url": "https://github.com/siku2" + } + ] + }, { "title": "drizzle-team/drizzle-orm-docs", "url": "https://github.com/drizzle-team/drizzle-orm-docs", @@ -487,80 +487,6 @@ } ] }, - { - "title": "huggingface/deep-rl-class", - "url": "https://github.com/huggingface/deep-rl-class", - "description": "This repo contains the syllabus of the Hugging Face Deep Reinforcement Learning Course.", - "language": "MDX", - "languageColor": "#fcb32c", - "stars": "3,910", - "forks": "603", - "addStars": "45", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13088472?s=40&v=4", - "name": "simoninithomas", - "url": "https://github.com/simoninithomas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7246357?s=40&v=4", - "name": "osanseviero", - "url": "https://github.com/osanseviero" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22957388?s=40&v=4", - "name": "sayakpaul", - "url": "https://github.com/sayakpaul" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/53534755?s=40&v=4", - "name": "dylwil3", - "url": "https://github.com/dylwil3" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36634572?s=40&v=4", - "name": "josejuanmartinez", - "url": "https://github.com/josejuanmartinez" - } - ] - }, - { - "title": "getsentry/sentry-docs", - "url": "https://github.com/getsentry/sentry-docs", - "description": "Sentry's documentation (and tools to build it)", - "language": "MDX", - "languageColor": "#fcb32c", - "stars": "336", - "forks": "1,470", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/23610?s=40&v=4", - "name": "dcramer", - "url": "https://github.com/dcramer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26800704?s=40&v=4", - "name": "lizokm", - "url": "https://github.com/lizokm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/76437239?s=40&v=4", - "name": "imatwawana", - "url": "https://github.com/imatwawana" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25088225?s=40&v=4", - "name": "MimiDumpling", - "url": "https://github.com/MimiDumpling" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1633368?s=40&v=4", - "name": "bruno-garcia", - "url": "https://github.com/bruno-garcia" - } - ] - }, { "title": "solidjs/solid-docs", "url": "https://github.com/solidjs/solid-docs", @@ -599,34 +525,29 @@ ] }, { - "title": "nolimits4web/swiper-website", - "url": "https://github.com/nolimits4web/swiper-website", - "description": "Swiper website", + "title": "shuding/nextra-docs-template", + "url": "https://github.com/shuding/nextra-docs-template", + "description": "Nextra docs template", "language": "MDX", "languageColor": "#fcb32c", - "stars": "104", - "forks": "720", - "addStars": "1", + "stars": "1,045", + "forks": "606", + "addStars": "31", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/999588?s=40&v=4", - "name": "nolimits4web", - "url": "https://github.com/nolimits4web" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5851280?s=40&v=4", - "name": "vltansky", - "url": "https://github.com/vltansky" + "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", + "name": "shuding", + "url": "https://github.com/shuding" }, { - "avatar": "https://avatars.githubusercontent.com/u/1197819?s=40&v=4", - "name": "DAnn2012", - "url": "https://github.com/DAnn2012" + "avatar": "https://avatars.githubusercontent.com/u/41545?s=40&v=4", + "name": "EndangeredMassa", + "url": "https://github.com/EndangeredMassa" }, { - "avatar": "https://avatars.githubusercontent.com/u/4288643?s=40&v=4", - "name": "MladenJanjetovic", - "url": "https://github.com/MladenJanjetovic" + "avatar": "https://avatars.githubusercontent.com/u/85847352?s=40&v=4", + "name": "C-EO", + "url": "https://github.com/C-EO" } ] }, @@ -667,6 +588,80 @@ } ] }, + { + "title": "huggingface/deep-rl-class", + "url": "https://github.com/huggingface/deep-rl-class", + "description": "This repo contains the syllabus of the Hugging Face Deep Reinforcement Learning Course.", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "3,910", + "forks": "603", + "addStars": "45", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13088472?s=40&v=4", + "name": "simoninithomas", + "url": "https://github.com/simoninithomas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7246357?s=40&v=4", + "name": "osanseviero", + "url": "https://github.com/osanseviero" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22957388?s=40&v=4", + "name": "sayakpaul", + "url": "https://github.com/sayakpaul" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53534755?s=40&v=4", + "name": "dylwil3", + "url": "https://github.com/dylwil3" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36634572?s=40&v=4", + "name": "josejuanmartinez", + "url": "https://github.com/josejuanmartinez" + } + ] + }, + { + "title": "primer/design", + "url": "https://github.com/primer/design", + "description": "Primer Design Guidelines", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "659", + "forks": "368", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/223966?s=40&v=4", + "name": "yaili", + "url": "https://github.com/yaili" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/113896?s=40&v=4", + "name": "shawnbot", + "url": "https://github.com/shawnbot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2313998?s=40&v=4", + "name": "mperrotti", + "url": "https://github.com/mperrotti" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6951037?s=40&v=4", + "name": "auareyou", + "url": "https://github.com/auareyou" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/586552?s=40&v=4", + "name": "emilybrick", + "url": "https://github.com/emilybrick" + } + ] + }, { "title": "newrelic/docs-website", "url": "https://github.com/newrelic/docs-website", @@ -705,39 +700,76 @@ ] }, { - "title": "ngrok/ngrok-docs", - "url": "https://github.com/ngrok/ngrok-docs", - "description": "ngrok's official documentation", + "title": "MetaMask/metamask-docs", + "url": "https://github.com/MetaMask/metamask-docs", + "description": "Developer documentation for MetaMask", "language": "MDX", "languageColor": "#fcb32c", - "stars": "57", - "forks": "2,304", - "addStars": "5", + "stars": "670", + "forks": "975", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12214231?s=40&v=4", + "name": "alexandratran", + "url": "https://github.com/alexandratran" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19909?s=40&v=4", + "name": "Montoya", + "url": "https://github.com/Montoya" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/153745173?s=40&v=4", + "name": "joaniefromtheblock", + "url": "https://github.com/joaniefromtheblock" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3943143?s=40&v=4", + "name": "ziad-saab", + "url": "https://github.com/ziad-saab" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11778717?s=40&v=4", + "name": "BboyAkers", + "url": "https://github.com/BboyAkers" + } + ] + }, + { + "title": "getsentry/sentry-docs", + "url": "https://github.com/getsentry/sentry-docs", + "description": "Sentry's documentation (and tools to build it)", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "336", + "forks": "1,470", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4805997?s=40&v=4", - "name": "russorat", - "url": "https://github.com/russorat" + "avatar": "https://avatars.githubusercontent.com/u/23610?s=40&v=4", + "name": "dcramer", + "url": "https://github.com/dcramer" }, { - "avatar": "https://avatars.githubusercontent.com/u/23283473?s=40&v=4", - "name": "faonbr", - "url": "https://github.com/faonbr" + "avatar": "https://avatars.githubusercontent.com/u/26800704?s=40&v=4", + "name": "lizokm", + "url": "https://github.com/lizokm" }, { - "avatar": "https://avatars.githubusercontent.com/u/5110038?s=40&v=4", - "name": "salilsub", - "url": "https://github.com/salilsub" + "avatar": "https://avatars.githubusercontent.com/u/76437239?s=40&v=4", + "name": "imatwawana", + "url": "https://github.com/imatwawana" }, { - "avatar": "https://avatars.githubusercontent.com/u/198276?s=40&v=4", - "name": "nijikokun", - "url": "https://github.com/nijikokun" + "avatar": "https://avatars.githubusercontent.com/u/25088225?s=40&v=4", + "name": "MimiDumpling", + "url": "https://github.com/MimiDumpling" }, { - "avatar": "https://avatars.githubusercontent.com/u/34115417?s=40&v=4", - "name": "cody-dot-js", - "url": "https://github.com/cody-dot-js" + "avatar": "https://avatars.githubusercontent.com/u/1633368?s=40&v=4", + "name": "bruno-garcia", + "url": "https://github.com/bruno-garcia" } ] } diff --git a/data/monthly/mdx.xml b/data/monthly/mdx.xml index 3d93b50886cb..6ada912409c2 100644 --- a/data/monthly/mdx.xml +++ b/data/monthly/mdx.xml @@ -3,7 +3,7 @@ GitHub Mdx Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mdx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT openai/openai-cookbook https://github.com/openai/openai-cookbook @@ -11,7 +11,7 @@ https://github.com/openai/openai-cookbook MDX #fcb32c - 60,272 + 60,284 9,574 941 @@ -50,7 +50,7 @@ MDX #fcb32c 3,108 - 4,863 + 4,864 108 @@ -87,7 +87,7 @@ https://github.com/uidotdev/usehooks MDX #fcb32c - 9,881 + 9,884 524 477 @@ -125,8 +125,8 @@ https://github.com/dair-ai/Prompt-Engineering-Guide MDX #fcb32c - 50,459 - 4,893 + 50,466 + 4,894 954 @@ -196,7 +196,7 @@ https://github.com/huggingface/course MDX #fcb32c - 2,268 + 2,269 752 71 @@ -227,34 +227,6 @@ - - tauri-apps/tauri-docs - https://github.com/tauri-apps/tauri-docs - The source for all Tauri project documentation. - https://github.com/tauri-apps/tauri-docs - MDX - #fcb32c - 832 - 628 - 21 - - - https://avatars.githubusercontent.com/u/15347255?s=40&v=4 - lorenzolewis - https://github.com/lorenzolewis - - - https://avatars.githubusercontent.com/u/61759797?s=40&v=4 - vasfvitor - https://github.com/vasfvitor - - - https://avatars.githubusercontent.com/u/79983560?s=40&v=4 - simonhyll - https://github.com/simonhyll - - - tailwindlabs/tailwindcss.com https://github.com/tailwindlabs/tailwindcss.com @@ -262,7 +234,7 @@ https://github.com/tailwindlabs/tailwindcss.com MDX #fcb32c - 3,306 + 3,308 1,768 28 @@ -326,6 +298,34 @@ + + tauri-apps/tauri-docs + https://github.com/tauri-apps/tauri-docs + The source for all Tauri project documentation. + https://github.com/tauri-apps/tauri-docs + MDX + #fcb32c + 832 + 629 + 21 + + + https://avatars.githubusercontent.com/u/15347255?s=40&v=4 + lorenzolewis + https://github.com/lorenzolewis + + + https://avatars.githubusercontent.com/u/61759797?s=40&v=4 + vasfvitor + https://github.com/vasfvitor + + + https://avatars.githubusercontent.com/u/79983560?s=40&v=4 + simonhyll + https://github.com/simonhyll + + + aws-amplify/docs https://github.com/aws-amplify/docs @@ -397,39 +397,6 @@ - - yewstack/yew - https://github.com/yewstack/yew - Rust / Wasm framework for creating reliable and efficient web applications - https://github.com/yewstack/yew - MDX - #fcb32c - 30,867 - 1,429 - 196 - - - https://avatars.githubusercontent.com/u/418920?s=40&v=4 - therustmonk - https://github.com/therustmonk - - - https://avatars.githubusercontent.com/u/1076145?s=40&v=4 - jstarry - https://github.com/jstarry - - - https://avatars.githubusercontent.com/u/47357913?s=40&v=4 - ranile - https://github.com/ranile - - - https://avatars.githubusercontent.com/u/16530283?s=40&v=4 - siku2 - https://github.com/siku2 - - - jellyfin/jellyfin.org https://github.com/jellyfin/jellyfin.org @@ -463,6 +430,39 @@ + + yewstack/yew + https://github.com/yewstack/yew + Rust / Wasm framework for creating reliable and efficient web applications + https://github.com/yewstack/yew + MDX + #fcb32c + 30,868 + 1,429 + 196 + + + https://avatars.githubusercontent.com/u/418920?s=40&v=4 + therustmonk + https://github.com/therustmonk + + + https://avatars.githubusercontent.com/u/1076145?s=40&v=4 + jstarry + https://github.com/jstarry + + + https://avatars.githubusercontent.com/u/47357913?s=40&v=4 + ranile + https://github.com/ranile + + + https://avatars.githubusercontent.com/u/16530283?s=40&v=4 + siku2 + https://github.com/siku2 + + + drizzle-team/drizzle-orm-docs https://github.com/drizzle-team/drizzle-orm-docs @@ -501,82 +501,6 @@ - - huggingface/deep-rl-class - https://github.com/huggingface/deep-rl-class - This repo contains the syllabus of the Hugging Face Deep Reinforcement Learning Course. - https://github.com/huggingface/deep-rl-class - MDX - #fcb32c - 3,910 - 603 - 45 - - - https://avatars.githubusercontent.com/u/13088472?s=40&v=4 - simoninithomas - https://github.com/simoninithomas - - - https://avatars.githubusercontent.com/u/7246357?s=40&v=4 - osanseviero - https://github.com/osanseviero - - - https://avatars.githubusercontent.com/u/22957388?s=40&v=4 - sayakpaul - https://github.com/sayakpaul - - - https://avatars.githubusercontent.com/u/53534755?s=40&v=4 - dylwil3 - https://github.com/dylwil3 - - - https://avatars.githubusercontent.com/u/36634572?s=40&v=4 - josejuanmartinez - https://github.com/josejuanmartinez - - - - - getsentry/sentry-docs - https://github.com/getsentry/sentry-docs - Sentry's documentation (and tools to build it) - https://github.com/getsentry/sentry-docs - MDX - #fcb32c - 336 - 1,470 - 7 - - - https://avatars.githubusercontent.com/u/23610?s=40&v=4 - dcramer - https://github.com/dcramer - - - https://avatars.githubusercontent.com/u/26800704?s=40&v=4 - lizokm - https://github.com/lizokm - - - https://avatars.githubusercontent.com/u/76437239?s=40&v=4 - imatwawana - https://github.com/imatwawana - - - https://avatars.githubusercontent.com/u/25088225?s=40&v=4 - MimiDumpling - https://github.com/MimiDumpling - - - https://avatars.githubusercontent.com/u/1633368?s=40&v=4 - bruno-garcia - https://github.com/bruno-garcia - - - solidjs/solid-docs https://github.com/solidjs/solid-docs @@ -616,35 +540,30 @@ - nolimits4web/swiper-website - https://github.com/nolimits4web/swiper-website - Swiper website - https://github.com/nolimits4web/swiper-website + shuding/nextra-docs-template + https://github.com/shuding/nextra-docs-template + Nextra docs template + https://github.com/shuding/nextra-docs-template MDX #fcb32c - 104 - 720 - 1 + 1,045 + 606 + 31 - https://avatars.githubusercontent.com/u/999588?s=40&v=4 - nolimits4web - https://github.com/nolimits4web + https://avatars.githubusercontent.com/u/3676859?s=40&v=4 + shuding + https://github.com/shuding - https://avatars.githubusercontent.com/u/5851280?s=40&v=4 - vltansky - https://github.com/vltansky + https://avatars.githubusercontent.com/u/41545?s=40&v=4 + EndangeredMassa + https://github.com/EndangeredMassa - https://avatars.githubusercontent.com/u/1197819?s=40&v=4 - DAnn2012 - https://github.com/DAnn2012 - - - https://avatars.githubusercontent.com/u/4288643?s=40&v=4 - MladenJanjetovic - https://github.com/MladenJanjetovic + https://avatars.githubusercontent.com/u/85847352?s=40&v=4 + C-EO + https://github.com/C-EO @@ -686,6 +605,82 @@ + + huggingface/deep-rl-class + https://github.com/huggingface/deep-rl-class + This repo contains the syllabus of the Hugging Face Deep Reinforcement Learning Course. + https://github.com/huggingface/deep-rl-class + MDX + #fcb32c + 3,910 + 603 + 45 + + + https://avatars.githubusercontent.com/u/13088472?s=40&v=4 + simoninithomas + https://github.com/simoninithomas + + + https://avatars.githubusercontent.com/u/7246357?s=40&v=4 + osanseviero + https://github.com/osanseviero + + + https://avatars.githubusercontent.com/u/22957388?s=40&v=4 + sayakpaul + https://github.com/sayakpaul + + + https://avatars.githubusercontent.com/u/53534755?s=40&v=4 + dylwil3 + https://github.com/dylwil3 + + + https://avatars.githubusercontent.com/u/36634572?s=40&v=4 + josejuanmartinez + https://github.com/josejuanmartinez + + + + + primer/design + https://github.com/primer/design + Primer Design Guidelines + https://github.com/primer/design + MDX + #fcb32c + 659 + 368 + 15 + + + https://avatars.githubusercontent.com/u/223966?s=40&v=4 + yaili + https://github.com/yaili + + + https://avatars.githubusercontent.com/u/113896?s=40&v=4 + shawnbot + https://github.com/shawnbot + + + https://avatars.githubusercontent.com/u/2313998?s=40&v=4 + mperrotti + https://github.com/mperrotti + + + https://avatars.githubusercontent.com/u/6951037?s=40&v=4 + auareyou + https://github.com/auareyou + + + https://avatars.githubusercontent.com/u/586552?s=40&v=4 + emilybrick + https://github.com/emilybrick + + + newrelic/docs-website https://github.com/newrelic/docs-website @@ -725,40 +720,78 @@ - ngrok/ngrok-docs - https://github.com/ngrok/ngrok-docs - ngrok's official documentation - https://github.com/ngrok/ngrok-docs + MetaMask/metamask-docs + https://github.com/MetaMask/metamask-docs + Developer documentation for MetaMask + https://github.com/MetaMask/metamask-docs MDX #fcb32c - 57 - 2,304 - 5 + 670 + 975 + 15 + + + https://avatars.githubusercontent.com/u/12214231?s=40&v=4 + alexandratran + https://github.com/alexandratran + + + https://avatars.githubusercontent.com/u/19909?s=40&v=4 + Montoya + https://github.com/Montoya + + + https://avatars.githubusercontent.com/u/153745173?s=40&v=4 + joaniefromtheblock + https://github.com/joaniefromtheblock + + + https://avatars.githubusercontent.com/u/3943143?s=40&v=4 + ziad-saab + https://github.com/ziad-saab + + + https://avatars.githubusercontent.com/u/11778717?s=40&v=4 + BboyAkers + https://github.com/BboyAkers + + + + + getsentry/sentry-docs + https://github.com/getsentry/sentry-docs + Sentry's documentation (and tools to build it) + https://github.com/getsentry/sentry-docs + MDX + #fcb32c + 336 + 1,470 + 7 - https://avatars.githubusercontent.com/u/4805997?s=40&v=4 - russorat - https://github.com/russorat + https://avatars.githubusercontent.com/u/23610?s=40&v=4 + dcramer + https://github.com/dcramer - https://avatars.githubusercontent.com/u/23283473?s=40&v=4 - faonbr - https://github.com/faonbr + https://avatars.githubusercontent.com/u/26800704?s=40&v=4 + lizokm + https://github.com/lizokm - https://avatars.githubusercontent.com/u/5110038?s=40&v=4 - salilsub - https://github.com/salilsub + https://avatars.githubusercontent.com/u/76437239?s=40&v=4 + imatwawana + https://github.com/imatwawana - https://avatars.githubusercontent.com/u/198276?s=40&v=4 - nijikokun - https://github.com/nijikokun + https://avatars.githubusercontent.com/u/25088225?s=40&v=4 + MimiDumpling + https://github.com/MimiDumpling - https://avatars.githubusercontent.com/u/34115417?s=40&v=4 - cody-dot-js - https://github.com/cody-dot-js + https://avatars.githubusercontent.com/u/1633368?s=40&v=4 + bruno-garcia + https://github.com/bruno-garcia diff --git a/data/monthly/mercury.json b/data/monthly/mercury.json index 50178103bfa7..8919ca1ab940 100644 --- a/data/monthly/mercury.json +++ b/data/monthly/mercury.json @@ -2,6 +2,6 @@ "title": "GitHub Mercury Languages Monthly Trending", "description": "Monthly Trending of Mercury Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mercury.xml b/data/monthly/mercury.xml index bf253f89da2d..b01a62bfa435 100644 --- a/data/monthly/mercury.xml +++ b/data/monthly/mercury.xml @@ -3,6 +3,6 @@ GitHub Mercury Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mercury Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mermaid.json b/data/monthly/mermaid.json index 0c58a4702d2e..991b3dcb9863 100644 --- a/data/monthly/mermaid.json +++ b/data/monthly/mermaid.json @@ -2,45 +2,8 @@ "title": "GitHub Mermaid Languages Monthly Trending", "description": "Monthly Trending of Mermaid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "MicrosoftDocs/power-automate-docs", - "url": "https://github.com/MicrosoftDocs/power-automate-docs", - "description": "", - "language": "Mermaid", - "languageColor": "#ff3670", - "stars": "284", - "forks": "287", - "addStars": "6", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9972157?s=40&v=4", - "name": "MSFTMan", - "url": "https://github.com/MSFTMan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68758324?s=40&v=4", - "name": "v-aangie", - "url": "https://github.com/v-aangie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68054762?s=40&v=4", - "name": "georgiostrantzas", - "url": "https://github.com/georgiostrantzas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25205455?s=40&v=4", - "name": "buck1ey", - "url": "https://github.com/buck1ey" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68023448?s=40&v=4", - "name": "mariosleon", - "url": "https://github.com/mariosleon" - } - ] - }, { "title": "MicrosoftDocs/power-platform", "url": "https://github.com/MicrosoftDocs/power-platform", @@ -77,6 +40,43 @@ "url": "https://github.com/buck1ey" } ] + }, + { + "title": "MicrosoftDocs/power-automate-docs", + "url": "https://github.com/MicrosoftDocs/power-automate-docs", + "description": "", + "language": "Mermaid", + "languageColor": "#ff3670", + "stars": "284", + "forks": "287", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9972157?s=40&v=4", + "name": "MSFTMan", + "url": "https://github.com/MSFTMan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/68758324?s=40&v=4", + "name": "v-aangie", + "url": "https://github.com/v-aangie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/68054762?s=40&v=4", + "name": "georgiostrantzas", + "url": "https://github.com/georgiostrantzas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25205455?s=40&v=4", + "name": "buck1ey", + "url": "https://github.com/buck1ey" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/68023448?s=40&v=4", + "name": "mariosleon", + "url": "https://github.com/mariosleon" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/mermaid.xml b/data/monthly/mermaid.xml index 818993685972..9ee286c4dc82 100644 --- a/data/monthly/mermaid.xml +++ b/data/monthly/mermaid.xml @@ -3,45 +3,7 @@ GitHub Mermaid Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mermaid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - MicrosoftDocs/power-automate-docs - https://github.com/MicrosoftDocs/power-automate-docs - - https://github.com/MicrosoftDocs/power-automate-docs - Mermaid - #ff3670 - 284 - 287 - 6 - - - https://avatars.githubusercontent.com/u/9972157?s=40&v=4 - MSFTMan - https://github.com/MSFTMan - - - https://avatars.githubusercontent.com/u/68758324?s=40&v=4 - v-aangie - https://github.com/v-aangie - - - https://avatars.githubusercontent.com/u/68054762?s=40&v=4 - georgiostrantzas - https://github.com/georgiostrantzas - - - https://avatars.githubusercontent.com/u/25205455?s=40&v=4 - buck1ey - https://github.com/buck1ey - - - https://avatars.githubusercontent.com/u/68023448?s=40&v=4 - mariosleon - https://github.com/mariosleon - - - + Mon, 25 Nov 2024 13:30:36 GMT MicrosoftDocs/power-platform https://github.com/MicrosoftDocs/power-platform @@ -80,5 +42,43 @@ + + MicrosoftDocs/power-automate-docs + https://github.com/MicrosoftDocs/power-automate-docs + + https://github.com/MicrosoftDocs/power-automate-docs + Mermaid + #ff3670 + 284 + 287 + 6 + + + https://avatars.githubusercontent.com/u/9972157?s=40&v=4 + MSFTMan + https://github.com/MSFTMan + + + https://avatars.githubusercontent.com/u/68758324?s=40&v=4 + v-aangie + https://github.com/v-aangie + + + https://avatars.githubusercontent.com/u/68054762?s=40&v=4 + georgiostrantzas + https://github.com/georgiostrantzas + + + https://avatars.githubusercontent.com/u/25205455?s=40&v=4 + buck1ey + https://github.com/buck1ey + + + https://avatars.githubusercontent.com/u/68023448?s=40&v=4 + mariosleon + https://github.com/mariosleon + + + \ No newline at end of file diff --git a/data/monthly/meson.json b/data/monthly/meson.json index af15621975f4..89427e6ab5ee 100644 --- a/data/monthly/meson.json +++ b/data/monthly/meson.json @@ -2,7 +2,7 @@ "title": "GitHub Meson Languages Monthly Trending", "description": "Monthly Trending of Meson Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "openbmc/phosphor-dbus-interfaces", @@ -47,7 +47,7 @@ "description": "Clone this repo to build Frida", "language": "Meson", "languageColor": "#007800", - "stars": "16,275", + "stars": "16,277", "forks": "1,673", "addStars": "261", "contributors": [ diff --git a/data/monthly/meson.xml b/data/monthly/meson.xml index 4fa38147bbe1..d39a9e0087c8 100644 --- a/data/monthly/meson.xml +++ b/data/monthly/meson.xml @@ -3,7 +3,7 @@ GitHub Meson Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Meson Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT openbmc/phosphor-dbus-interfaces https://github.com/openbmc/phosphor-dbus-interfaces @@ -49,7 +49,7 @@ https://github.com/frida/frida Meson #007800 - 16,275 + 16,277 1,673 261 diff --git a/data/monthly/metal.json b/data/monthly/metal.json index 2a657aa7971a..ba5e044774df 100644 --- a/data/monthly/metal.json +++ b/data/monthly/metal.json @@ -2,44 +2,6 @@ "title": "GitHub Metal Languages Monthly Trending", "description": "Monthly Trending of Metal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "twostraws/Inferno", - "url": "https://github.com/twostraws/Inferno", - "description": "Metal shaders for SwiftUI.", - "language": "Metal", - "languageColor": "#8f14e9", - "stars": "2,459", - "forks": "119", - "addStars": "27", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/190200?s=40&v=4", - "name": "twostraws", - "url": "https://github.com/twostraws" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66309582?s=40&v=4", - "name": "ynagatomo", - "url": "https://github.com/ynagatomo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38116152?s=40&v=4", - "name": "psalzAppDev", - "url": "https://github.com/psalzAppDev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/300863?s=40&v=4", - "name": "frankschlegel", - "url": "https://github.com/frankschlegel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/973682?s=40&v=4", - "name": "Czajnikowski", - "url": "https://github.com/Czajnikowski" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/monthly/metal.xml b/data/monthly/metal.xml index 5e9057ced127..4176d343b78c 100644 --- a/data/monthly/metal.xml +++ b/data/monthly/metal.xml @@ -3,44 +3,6 @@ GitHub Metal Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Metal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - twostraws/Inferno - https://github.com/twostraws/Inferno - Metal shaders for SwiftUI. - https://github.com/twostraws/Inferno - Metal - #8f14e9 - 2,459 - 119 - 27 - - - https://avatars.githubusercontent.com/u/190200?s=40&v=4 - twostraws - https://github.com/twostraws - - - https://avatars.githubusercontent.com/u/66309582?s=40&v=4 - ynagatomo - https://github.com/ynagatomo - - - https://avatars.githubusercontent.com/u/38116152?s=40&v=4 - psalzAppDev - https://github.com/psalzAppDev - - - https://avatars.githubusercontent.com/u/300863?s=40&v=4 - frankschlegel - https://github.com/frankschlegel - - - https://avatars.githubusercontent.com/u/973682?s=40&v=4 - Czajnikowski - https://github.com/Czajnikowski - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/microsoft-developer-studio-project.json b/data/monthly/microsoft-developer-studio-project.json index 6d7a2c8d54cb..75c3f0c367c2 100644 --- a/data/monthly/microsoft-developer-studio-project.json +++ b/data/monthly/microsoft-developer-studio-project.json @@ -2,6 +2,6 @@ "title": "GitHub Microsoft-developer-studio-project Languages Monthly Trending", "description": "Monthly Trending of Microsoft-developer-studio-project Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/microsoft-developer-studio-project.xml b/data/monthly/microsoft-developer-studio-project.xml index ff370b592926..3ed4680529a3 100644 --- a/data/monthly/microsoft-developer-studio-project.xml +++ b/data/monthly/microsoft-developer-studio-project.xml @@ -3,6 +3,6 @@ GitHub Microsoft-developer-studio-project Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Microsoft-developer-studio-project Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/microsoft-visual-studio-solution.json b/data/monthly/microsoft-visual-studio-solution.json index decf1a2316e5..be22f21a0ed6 100644 --- a/data/monthly/microsoft-visual-studio-solution.json +++ b/data/monthly/microsoft-visual-studio-solution.json @@ -2,6 +2,6 @@ "title": "GitHub Microsoft-visual-studio-solution Languages Monthly Trending", "description": "Monthly Trending of Microsoft-visual-studio-solution Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/microsoft-visual-studio-solution.xml b/data/monthly/microsoft-visual-studio-solution.xml index c9384559e6c7..e9505127ddb3 100644 --- a/data/monthly/microsoft-visual-studio-solution.xml +++ b/data/monthly/microsoft-visual-studio-solution.xml @@ -3,6 +3,6 @@ GitHub Microsoft-visual-studio-solution Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Microsoft-visual-studio-solution Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/minid.json b/data/monthly/minid.json index b32ec8358bba..5af140a9eca9 100644 --- a/data/monthly/minid.json +++ b/data/monthly/minid.json @@ -2,6 +2,6 @@ "title": "GitHub Minid Languages Monthly Trending", "description": "Monthly Trending of Minid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/minid.xml b/data/monthly/minid.xml index 56ba233fc24a..1e3085905ac3 100644 --- a/data/monthly/minid.xml +++ b/data/monthly/minid.xml @@ -3,6 +3,6 @@ GitHub Minid Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Minid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/miniyaml.json b/data/monthly/miniyaml.json index 3a91c4b4d915..c57cdf0884af 100644 --- a/data/monthly/miniyaml.json +++ b/data/monthly/miniyaml.json @@ -2,6 +2,6 @@ "title": "GitHub Miniyaml Languages Monthly Trending", "description": "Monthly Trending of Miniyaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/miniyaml.xml b/data/monthly/miniyaml.xml index dba5dfe11174..39fd323d2b3e 100644 --- a/data/monthly/miniyaml.xml +++ b/data/monthly/miniyaml.xml @@ -3,6 +3,6 @@ GitHub Miniyaml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Miniyaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mint.json b/data/monthly/mint.json index f65333f1c91f..cf163f625cfc 100644 --- a/data/monthly/mint.json +++ b/data/monthly/mint.json @@ -2,6 +2,6 @@ "title": "GitHub Mint Languages Monthly Trending", "description": "Monthly Trending of Mint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mint.xml b/data/monthly/mint.xml index f1a3a0ddf2ad..13f49be7c4af 100644 --- a/data/monthly/mint.xml +++ b/data/monthly/mint.xml @@ -3,6 +3,6 @@ GitHub Mint Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mirah.json b/data/monthly/mirah.json index 18149810cf31..b547b51c6304 100644 --- a/data/monthly/mirah.json +++ b/data/monthly/mirah.json @@ -2,6 +2,6 @@ "title": "GitHub Mirah Languages Monthly Trending", "description": "Monthly Trending of Mirah Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mirah.xml b/data/monthly/mirah.xml index d1cc6ec9d066..4a20245080ef 100644 --- a/data/monthly/mirah.xml +++ b/data/monthly/mirah.xml @@ -3,6 +3,6 @@ GitHub Mirah Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mirah Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mirc-script.json b/data/monthly/mirc-script.json index 5cc687ad3e8e..b39e304f8462 100644 --- a/data/monthly/mirc-script.json +++ b/data/monthly/mirc-script.json @@ -2,6 +2,6 @@ "title": "GitHub Mirc-script Languages Monthly Trending", "description": "Monthly Trending of Mirc-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mirc-script.xml b/data/monthly/mirc-script.xml index 1e0e6cd26609..490f35caef8e 100644 --- a/data/monthly/mirc-script.xml +++ b/data/monthly/mirc-script.xml @@ -3,6 +3,6 @@ GitHub Mirc-script Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mirc-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mlir.json b/data/monthly/mlir.json index 92502d147378..05e35cdec04e 100644 --- a/data/monthly/mlir.json +++ b/data/monthly/mlir.json @@ -2,7 +2,7 @@ "title": "GitHub Mlir Languages Monthly Trending", "description": "Monthly Trending of Mlir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "openxla/stablehlo", diff --git a/data/monthly/mlir.xml b/data/monthly/mlir.xml index 4807a2bbb28b..7cc2e2b6808e 100644 --- a/data/monthly/mlir.xml +++ b/data/monthly/mlir.xml @@ -3,7 +3,7 @@ GitHub Mlir Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mlir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT openxla/stablehlo https://github.com/openxla/stablehlo diff --git a/data/monthly/modelica.json b/data/monthly/modelica.json index 816212b2dbc6..9abf2884df24 100644 --- a/data/monthly/modelica.json +++ b/data/monthly/modelica.json @@ -2,7 +2,7 @@ "title": "GitHub Modelica Languages Monthly Trending", "description": "Monthly Trending of Modelica Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "OpenModelica/OpenModelica", diff --git a/data/monthly/modelica.xml b/data/monthly/modelica.xml index 08167fc1071f..91a8c00e28f5 100644 --- a/data/monthly/modelica.xml +++ b/data/monthly/modelica.xml @@ -3,7 +3,7 @@ GitHub Modelica Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Modelica Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT OpenModelica/OpenModelica https://github.com/OpenModelica/OpenModelica diff --git a/data/monthly/modula-2.json b/data/monthly/modula-2.json index a473c69bbeec..9de85ab82dcd 100644 --- a/data/monthly/modula-2.json +++ b/data/monthly/modula-2.json @@ -2,6 +2,6 @@ "title": "GitHub Modula-2 Languages Monthly Trending", "description": "Monthly Trending of Modula-2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/modula-2.xml b/data/monthly/modula-2.xml index 9a3fd78e2957..2524ad43d989 100644 --- a/data/monthly/modula-2.xml +++ b/data/monthly/modula-2.xml @@ -3,6 +3,6 @@ GitHub Modula-2 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Modula-2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/modula-3.json b/data/monthly/modula-3.json index e444619bd55b..f36fa835cd6d 100644 --- a/data/monthly/modula-3.json +++ b/data/monthly/modula-3.json @@ -2,7 +2,7 @@ "title": "GitHub Modula-3 Languages Monthly Trending", "description": "Monthly Trending of Modula-3 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "recodehive/Opensource-practice", diff --git a/data/monthly/modula-3.xml b/data/monthly/modula-3.xml index 918c86fda679..e630e33a2a78 100644 --- a/data/monthly/modula-3.xml +++ b/data/monthly/modula-3.xml @@ -3,7 +3,7 @@ GitHub Modula-3 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Modula-3 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT recodehive/Opensource-practice https://github.com/recodehive/Opensource-practice diff --git a/data/monthly/module-management-system.json b/data/monthly/module-management-system.json index cf5f67bce9e5..0134817cc9bf 100644 --- a/data/monthly/module-management-system.json +++ b/data/monthly/module-management-system.json @@ -2,6 +2,6 @@ "title": "GitHub Module-management-system Languages Monthly Trending", "description": "Monthly Trending of Module-management-system Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/module-management-system.xml b/data/monthly/module-management-system.xml index 7a92b252a9b1..4f26b1276d2e 100644 --- a/data/monthly/module-management-system.xml +++ b/data/monthly/module-management-system.xml @@ -3,6 +3,6 @@ GitHub Module-management-system Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Module-management-system Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mojo.json b/data/monthly/mojo.json index a83ba521fb01..2aa8fed07f76 100644 --- a/data/monthly/mojo.json +++ b/data/monthly/mojo.json @@ -2,7 +2,7 @@ "title": "GitHub Mojo Languages Monthly Trending", "description": "Monthly Trending of Mojo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "modularml/mojo", diff --git a/data/monthly/mojo.xml b/data/monthly/mojo.xml index 50eddf07eff3..4f509c716d29 100644 --- a/data/monthly/mojo.xml +++ b/data/monthly/mojo.xml @@ -3,7 +3,7 @@ GitHub Mojo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mojo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT modularml/mojo https://github.com/modularml/mojo diff --git a/data/monthly/monkey-c.json b/data/monthly/monkey-c.json index 94cbb5d3768a..1ba4ca1490e3 100644 --- a/data/monthly/monkey-c.json +++ b/data/monthly/monkey-c.json @@ -2,6 +2,6 @@ "title": "GitHub Monkey-c Languages Monthly Trending", "description": "Monthly Trending of Monkey-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/monkey-c.xml b/data/monthly/monkey-c.xml index 33c65e945e34..f74778bfb875 100644 --- a/data/monthly/monkey-c.xml +++ b/data/monthly/monkey-c.xml @@ -3,6 +3,6 @@ GitHub Monkey-c Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Monkey-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/monkey.json b/data/monthly/monkey.json index bc97c143c67b..fd12dc954f37 100644 --- a/data/monthly/monkey.json +++ b/data/monthly/monkey.json @@ -2,6 +2,6 @@ "title": "GitHub Monkey Languages Monthly Trending", "description": "Monthly Trending of Monkey Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/monkey.xml b/data/monthly/monkey.xml index 6e36e82581aa..c4ffec8a497d 100644 --- a/data/monthly/monkey.xml +++ b/data/monthly/monkey.xml @@ -3,6 +3,6 @@ GitHub Monkey Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Monkey Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/moocode.json b/data/monthly/moocode.json index 0d031f22fbe1..024c9dbef201 100644 --- a/data/monthly/moocode.json +++ b/data/monthly/moocode.json @@ -2,6 +2,6 @@ "title": "GitHub Moocode Languages Monthly Trending", "description": "Monthly Trending of Moocode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/moocode.xml b/data/monthly/moocode.xml index 375481d0ad36..9fc616d758e6 100644 --- a/data/monthly/moocode.xml +++ b/data/monthly/moocode.xml @@ -3,6 +3,6 @@ GitHub Moocode Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Moocode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/moonscript.json b/data/monthly/moonscript.json index d979bc10d2e0..e99af43f8c5f 100644 --- a/data/monthly/moonscript.json +++ b/data/monthly/moonscript.json @@ -2,6 +2,6 @@ "title": "GitHub Moonscript Languages Monthly Trending", "description": "Monthly Trending of Moonscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/moonscript.xml b/data/monthly/moonscript.xml index b0e03fea93ce..b385255774fc 100644 --- a/data/monthly/moonscript.xml +++ b/data/monthly/moonscript.xml @@ -3,6 +3,6 @@ GitHub Moonscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Moonscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/motoko.json b/data/monthly/motoko.json index 2b5ff4bd3b42..37d24140c440 100644 --- a/data/monthly/motoko.json +++ b/data/monthly/motoko.json @@ -2,6 +2,6 @@ "title": "GitHub Motoko Languages Monthly Trending", "description": "Monthly Trending of Motoko Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/motoko.xml b/data/monthly/motoko.xml index 22d7137500dc..fcac8fcac7c1 100644 --- a/data/monthly/motoko.xml +++ b/data/monthly/motoko.xml @@ -3,6 +3,6 @@ GitHub Motoko Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Motoko Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/motorola-68k-assembly.json b/data/monthly/motorola-68k-assembly.json index c7f7f2806154..b60b90ad4b28 100644 --- a/data/monthly/motorola-68k-assembly.json +++ b/data/monthly/motorola-68k-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Motorola-68k-assembly Languages Monthly Trending", "description": "Monthly Trending of Motorola-68k-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/motorola-68k-assembly.xml b/data/monthly/motorola-68k-assembly.xml index 25a98339bac8..babea0406551 100644 --- a/data/monthly/motorola-68k-assembly.xml +++ b/data/monthly/motorola-68k-assembly.xml @@ -3,6 +3,6 @@ GitHub Motorola-68k-assembly Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Motorola-68k-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/move.json b/data/monthly/move.json index 1bb62b4bae98..7f086afa0217 100644 --- a/data/monthly/move.json +++ b/data/monthly/move.json @@ -2,6 +2,6 @@ "title": "GitHub Move Languages Monthly Trending", "description": "Monthly Trending of Move Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/move.xml b/data/monthly/move.xml index 417ddb3ee4fb..4040c0042532 100644 --- a/data/monthly/move.xml +++ b/data/monthly/move.xml @@ -3,6 +3,6 @@ GitHub Move Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Move Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mql4.json b/data/monthly/mql4.json index 7b2cf121e42c..cfe6af32b78d 100644 --- a/data/monthly/mql4.json +++ b/data/monthly/mql4.json @@ -2,6 +2,6 @@ "title": "GitHub Mql4 Languages Monthly Trending", "description": "Monthly Trending of Mql4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mql4.xml b/data/monthly/mql4.xml index b61a4354b7f9..68933dc98ef9 100644 --- a/data/monthly/mql4.xml +++ b/data/monthly/mql4.xml @@ -3,6 +3,6 @@ GitHub Mql4 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mql4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mql5.json b/data/monthly/mql5.json index 6a77f5a9e14e..725d32fdac80 100644 --- a/data/monthly/mql5.json +++ b/data/monthly/mql5.json @@ -2,6 +2,6 @@ "title": "GitHub Mql5 Languages Monthly Trending", "description": "Monthly Trending of Mql5 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mql5.xml b/data/monthly/mql5.xml index 2c62815df755..3800cc65b7e3 100644 --- a/data/monthly/mql5.xml +++ b/data/monthly/mql5.xml @@ -3,6 +3,6 @@ GitHub Mql5 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mql5 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mtml.json b/data/monthly/mtml.json index 8d49c556f0b5..a7e7da8d511c 100644 --- a/data/monthly/mtml.json +++ b/data/monthly/mtml.json @@ -2,6 +2,6 @@ "title": "GitHub Mtml Languages Monthly Trending", "description": "Monthly Trending of Mtml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mtml.xml b/data/monthly/mtml.xml index 83e9998472af..8a9dc9dc4280 100644 --- a/data/monthly/mtml.xml +++ b/data/monthly/mtml.xml @@ -3,6 +3,6 @@ GitHub Mtml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mtml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/muf.json b/data/monthly/muf.json index ea18966e155e..6c9ebe12abb9 100644 --- a/data/monthly/muf.json +++ b/data/monthly/muf.json @@ -2,6 +2,6 @@ "title": "GitHub Muf Languages Monthly Trending", "description": "Monthly Trending of Muf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/muf.xml b/data/monthly/muf.xml index 037121adb92a..e595c4c39074 100644 --- a/data/monthly/muf.xml +++ b/data/monthly/muf.xml @@ -3,6 +3,6 @@ GitHub Muf Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Muf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mupad.json b/data/monthly/mupad.json index c3c8a9fe8f8a..c263394e6a60 100644 --- a/data/monthly/mupad.json +++ b/data/monthly/mupad.json @@ -2,6 +2,6 @@ "title": "GitHub Mupad Languages Monthly Trending", "description": "Monthly Trending of Mupad Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/mupad.xml b/data/monthly/mupad.xml index 02eaf99721a4..8d12fbe29953 100644 --- a/data/monthly/mupad.xml +++ b/data/monthly/mupad.xml @@ -3,6 +3,6 @@ GitHub Mupad Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mupad Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/muse.json b/data/monthly/muse.json index 8228ba4b0f93..cfca420c77fd 100644 --- a/data/monthly/muse.json +++ b/data/monthly/muse.json @@ -2,6 +2,6 @@ "title": "GitHub Muse Languages Monthly Trending", "description": "Monthly Trending of Muse Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/muse.xml b/data/monthly/muse.xml index d97dd621d194..b123b4977398 100644 --- a/data/monthly/muse.xml +++ b/data/monthly/muse.xml @@ -3,6 +3,6 @@ GitHub Muse Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Muse Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/mustache.json b/data/monthly/mustache.json index 209c852f0fab..263938a018f2 100644 --- a/data/monthly/mustache.json +++ b/data/monthly/mustache.json @@ -2,7 +2,7 @@ "title": "GitHub Mustache Languages Monthly Trending", "description": "Monthly Trending of Mustache Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "prometheus-community/helm-charts", @@ -11,7 +11,7 @@ "language": "Mustache", "languageColor": "#724b3b", "stars": "5,139", - "forks": "5,032", + "forks": "5,033", "addStars": "86", "contributors": [ { @@ -42,39 +42,39 @@ ] }, { - "title": "goharbor/harbor-helm", - "url": "https://github.com/goharbor/harbor-helm", - "description": "The helm chart to deploy Harbor", + "title": "argoproj/argo-helm", + "url": "https://github.com/argoproj/argo-helm", + "description": "ArgoProj Helm Charts", "language": "Mustache", "languageColor": "#724b3b", - "stars": "1,191", - "forks": "759", - "addStars": "10", + "stars": "1,773", + "forks": "1,878", + "addStars": "43", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5835782?s=40&v=4", - "name": "ywk253100", - "url": "https://github.com/ywk253100" + "avatar": "https://avatars.githubusercontent.com/u/7290987?s=40&v=4", + "name": "mkilchhofer", + "url": "https://github.com/mkilchhofer" }, { - "avatar": "https://avatars.githubusercontent.com/u/2390463?s=40&v=4", - "name": "reasonerjt", - "url": "https://github.com/reasonerjt" + "avatar": "https://avatars.githubusercontent.com/u/30188755?s=40&v=4", + "name": "yu-croco", + "url": "https://github.com/yu-croco" }, { - "avatar": "https://avatars.githubusercontent.com/u/5634205?s=40&v=4", - "name": "heww", - "url": "https://github.com/heww" + "avatar": "https://avatars.githubusercontent.com/u/19188617?s=40&v=4", + "name": "pdrastil", + "url": "https://github.com/pdrastil" }, { - "avatar": "https://avatars.githubusercontent.com/u/1069785?s=40&v=4", - "name": "ninjadq", - "url": "https://github.com/ninjadq" + "avatar": "https://avatars.githubusercontent.com/u/21042968?s=40&v=4", + "name": "mbevc1", + "url": "https://github.com/mbevc1" }, { - "avatar": "https://avatars.githubusercontent.com/u/2841473?s=40&v=4", - "name": "wy65701436", - "url": "https://github.com/wy65701436" + "avatar": "https://avatars.githubusercontent.com/u/35014?s=40&v=4", + "name": "jmeridth", + "url": "https://github.com/jmeridth" } ] }, @@ -115,43 +115,6 @@ } ] }, - { - "title": "argoproj/argo-helm", - "url": "https://github.com/argoproj/argo-helm", - "description": "ArgoProj Helm Charts", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "1,772", - "forks": "1,878", - "addStars": "43", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7290987?s=40&v=4", - "name": "mkilchhofer", - "url": "https://github.com/mkilchhofer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30188755?s=40&v=4", - "name": "yu-croco", - "url": "https://github.com/yu-croco" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19188617?s=40&v=4", - "name": "pdrastil", - "url": "https://github.com/pdrastil" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21042968?s=40&v=4", - "name": "mbevc1", - "url": "https://github.com/mbevc1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35014?s=40&v=4", - "name": "jmeridth", - "url": "https://github.com/jmeridth" - } - ] - }, { "title": "fluent/helm-charts", "url": "https://github.com/fluent/helm-charts", @@ -226,6 +189,43 @@ } ] }, + { + "title": "goharbor/harbor-helm", + "url": "https://github.com/goharbor/harbor-helm", + "description": "The helm chart to deploy Harbor", + "language": "Mustache", + "languageColor": "#724b3b", + "stars": "1,192", + "forks": "759", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5835782?s=40&v=4", + "name": "ywk253100", + "url": "https://github.com/ywk253100" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2390463?s=40&v=4", + "name": "reasonerjt", + "url": "https://github.com/reasonerjt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5634205?s=40&v=4", + "name": "heww", + "url": "https://github.com/heww" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1069785?s=40&v=4", + "name": "ninjadq", + "url": "https://github.com/ninjadq" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2841473?s=40&v=4", + "name": "wy65701436", + "url": "https://github.com/wy65701436" + } + ] + }, { "title": "thmsgbrt/thmsgbrt", "url": "https://github.com/thmsgbrt/thmsgbrt", @@ -233,7 +233,7 @@ "language": "Mustache", "languageColor": "#724b3b", "stars": "465", - "forks": "1,018", + "forks": "1,017", "addStars": "4", "contributors": [ { @@ -285,43 +285,6 @@ } ] }, - { - "title": "kedacore/charts", - "url": "https://github.com/kedacore/charts", - "description": "Helm charts for KEDA", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "157", - "forks": "224", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4345663?s=40&v=4", - "name": "tomkerkhove", - "url": "https://github.com/tomkerkhove" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36899226?s=40&v=4", - "name": "JorTurFer", - "url": "https://github.com/JorTurFer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/726523?s=40&v=4", - "name": "zroubalik", - "url": "https://github.com/zroubalik" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/70865?s=40&v=4", - "name": "arschles", - "url": "https://github.com/arschles" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7132626?s=40&v=4", - "name": "jeffhollan", - "url": "https://github.com/jeffhollan" - } - ] - }, { "title": "aws/eks-charts", "url": "https://github.com/aws/eks-charts", @@ -359,6 +322,43 @@ } ] }, + { + "title": "kedacore/charts", + "url": "https://github.com/kedacore/charts", + "description": "Helm charts for KEDA", + "language": "Mustache", + "languageColor": "#724b3b", + "stars": "157", + "forks": "224", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4345663?s=40&v=4", + "name": "tomkerkhove", + "url": "https://github.com/tomkerkhove" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36899226?s=40&v=4", + "name": "JorTurFer", + "url": "https://github.com/JorTurFer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/726523?s=40&v=4", + "name": "zroubalik", + "url": "https://github.com/zroubalik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70865?s=40&v=4", + "name": "arschles", + "url": "https://github.com/arschles" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7132626?s=40&v=4", + "name": "jeffhollan", + "url": "https://github.com/jeffhollan" + } + ] + }, { "title": "vmware-tanzu/helm-charts", "url": "https://github.com/vmware-tanzu/helm-charts", @@ -402,7 +402,7 @@ "description": "Jenkins helm charts", "language": "Mustache", "languageColor": "#724b3b", - "stars": "574", + "stars": "575", "forks": "889", "addStars": "9", "contributors": [ diff --git a/data/monthly/mustache.xml b/data/monthly/mustache.xml index 9c9230343472..4713f6e67307 100644 --- a/data/monthly/mustache.xml +++ b/data/monthly/mustache.xml @@ -3,7 +3,7 @@ GitHub Mustache Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Mustache Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT prometheus-community/helm-charts https://github.com/prometheus-community/helm-charts @@ -12,7 +12,7 @@ Mustache #724b3b 5,139 - 5,032 + 5,033 86 @@ -43,40 +43,40 @@ - goharbor/harbor-helm - https://github.com/goharbor/harbor-helm - The helm chart to deploy Harbor - https://github.com/goharbor/harbor-helm + argoproj/argo-helm + https://github.com/argoproj/argo-helm + ArgoProj Helm Charts + https://github.com/argoproj/argo-helm Mustache #724b3b - 1,191 - 759 - 10 + 1,773 + 1,878 + 43 - https://avatars.githubusercontent.com/u/5835782?s=40&v=4 - ywk253100 - https://github.com/ywk253100 + https://avatars.githubusercontent.com/u/7290987?s=40&v=4 + mkilchhofer + https://github.com/mkilchhofer - https://avatars.githubusercontent.com/u/2390463?s=40&v=4 - reasonerjt - https://github.com/reasonerjt + https://avatars.githubusercontent.com/u/30188755?s=40&v=4 + yu-croco + https://github.com/yu-croco - https://avatars.githubusercontent.com/u/5634205?s=40&v=4 - heww - https://github.com/heww + https://avatars.githubusercontent.com/u/19188617?s=40&v=4 + pdrastil + https://github.com/pdrastil - https://avatars.githubusercontent.com/u/1069785?s=40&v=4 - ninjadq - https://github.com/ninjadq + https://avatars.githubusercontent.com/u/21042968?s=40&v=4 + mbevc1 + https://github.com/mbevc1 - https://avatars.githubusercontent.com/u/2841473?s=40&v=4 - wy65701436 - https://github.com/wy65701436 + https://avatars.githubusercontent.com/u/35014?s=40&v=4 + jmeridth + https://github.com/jmeridth @@ -118,44 +118,6 @@ - - argoproj/argo-helm - https://github.com/argoproj/argo-helm - ArgoProj Helm Charts - https://github.com/argoproj/argo-helm - Mustache - #724b3b - 1,772 - 1,878 - 43 - - - https://avatars.githubusercontent.com/u/7290987?s=40&v=4 - mkilchhofer - https://github.com/mkilchhofer - - - https://avatars.githubusercontent.com/u/30188755?s=40&v=4 - yu-croco - https://github.com/yu-croco - - - https://avatars.githubusercontent.com/u/19188617?s=40&v=4 - pdrastil - https://github.com/pdrastil - - - https://avatars.githubusercontent.com/u/21042968?s=40&v=4 - mbevc1 - https://github.com/mbevc1 - - - https://avatars.githubusercontent.com/u/35014?s=40&v=4 - jmeridth - https://github.com/jmeridth - - - fluent/helm-charts https://github.com/fluent/helm-charts @@ -232,6 +194,44 @@ + + goharbor/harbor-helm + https://github.com/goharbor/harbor-helm + The helm chart to deploy Harbor + https://github.com/goharbor/harbor-helm + Mustache + #724b3b + 1,192 + 759 + 10 + + + https://avatars.githubusercontent.com/u/5835782?s=40&v=4 + ywk253100 + https://github.com/ywk253100 + + + https://avatars.githubusercontent.com/u/2390463?s=40&v=4 + reasonerjt + https://github.com/reasonerjt + + + https://avatars.githubusercontent.com/u/5634205?s=40&v=4 + heww + https://github.com/heww + + + https://avatars.githubusercontent.com/u/1069785?s=40&v=4 + ninjadq + https://github.com/ninjadq + + + https://avatars.githubusercontent.com/u/2841473?s=40&v=4 + wy65701436 + https://github.com/wy65701436 + + + thmsgbrt/thmsgbrt https://github.com/thmsgbrt/thmsgbrt @@ -240,7 +240,7 @@ Mustache #724b3b 465 - 1,018 + 1,017 4 @@ -293,44 +293,6 @@ - - kedacore/charts - https://github.com/kedacore/charts - Helm charts for KEDA - https://github.com/kedacore/charts - Mustache - #724b3b - 157 - 224 - 3 - - - https://avatars.githubusercontent.com/u/4345663?s=40&v=4 - tomkerkhove - https://github.com/tomkerkhove - - - https://avatars.githubusercontent.com/u/36899226?s=40&v=4 - JorTurFer - https://github.com/JorTurFer - - - https://avatars.githubusercontent.com/u/726523?s=40&v=4 - zroubalik - https://github.com/zroubalik - - - https://avatars.githubusercontent.com/u/70865?s=40&v=4 - arschles - https://github.com/arschles - - - https://avatars.githubusercontent.com/u/7132626?s=40&v=4 - jeffhollan - https://github.com/jeffhollan - - - aws/eks-charts https://github.com/aws/eks-charts @@ -369,6 +331,44 @@ + + kedacore/charts + https://github.com/kedacore/charts + Helm charts for KEDA + https://github.com/kedacore/charts + Mustache + #724b3b + 157 + 224 + 3 + + + https://avatars.githubusercontent.com/u/4345663?s=40&v=4 + tomkerkhove + https://github.com/tomkerkhove + + + https://avatars.githubusercontent.com/u/36899226?s=40&v=4 + JorTurFer + https://github.com/JorTurFer + + + https://avatars.githubusercontent.com/u/726523?s=40&v=4 + zroubalik + https://github.com/zroubalik + + + https://avatars.githubusercontent.com/u/70865?s=40&v=4 + arschles + https://github.com/arschles + + + https://avatars.githubusercontent.com/u/7132626?s=40&v=4 + jeffhollan + https://github.com/jeffhollan + + + vmware-tanzu/helm-charts https://github.com/vmware-tanzu/helm-charts @@ -414,7 +414,7 @@ https://github.com/jenkinsci/helm-charts Mustache #724b3b - 574 + 575 889 9 diff --git a/data/monthly/myghty.json b/data/monthly/myghty.json index 334dc0e853a9..0956f5b2d541 100644 --- a/data/monthly/myghty.json +++ b/data/monthly/myghty.json @@ -2,6 +2,6 @@ "title": "GitHub Myghty Languages Monthly Trending", "description": "Monthly Trending of Myghty Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/myghty.xml b/data/monthly/myghty.xml index 0b7545bca570..1c5478604138 100644 --- a/data/monthly/myghty.xml +++ b/data/monthly/myghty.xml @@ -3,6 +3,6 @@ GitHub Myghty Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Myghty Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nanorc.json b/data/monthly/nanorc.json index db89369a13a5..3ccd27ad40b2 100644 --- a/data/monthly/nanorc.json +++ b/data/monthly/nanorc.json @@ -2,6 +2,6 @@ "title": "GitHub Nanorc Languages Monthly Trending", "description": "Monthly Trending of Nanorc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nanorc.xml b/data/monthly/nanorc.xml index c7c095bc5934..168566e664ac 100644 --- a/data/monthly/nanorc.xml +++ b/data/monthly/nanorc.xml @@ -3,6 +3,6 @@ GitHub Nanorc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nanorc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nasal.json b/data/monthly/nasal.json index 81e27a346940..a7cf84014cfa 100644 --- a/data/monthly/nasal.json +++ b/data/monthly/nasal.json @@ -2,6 +2,6 @@ "title": "GitHub Nasal Languages Monthly Trending", "description": "Monthly Trending of Nasal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nasal.xml b/data/monthly/nasal.xml index dfa50195d506..56e538335e0f 100644 --- a/data/monthly/nasal.xml +++ b/data/monthly/nasal.xml @@ -3,6 +3,6 @@ GitHub Nasal Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nasal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nasl.json b/data/monthly/nasl.json index 3f70846c7653..4d51c6ac1fbc 100644 --- a/data/monthly/nasl.json +++ b/data/monthly/nasl.json @@ -2,6 +2,6 @@ "title": "GitHub Nasl Languages Monthly Trending", "description": "Monthly Trending of Nasl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nasl.xml b/data/monthly/nasl.xml index 63e0f0e5eb16..f27927a05604 100644 --- a/data/monthly/nasl.xml +++ b/data/monthly/nasl.xml @@ -3,6 +3,6 @@ GitHub Nasl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nasl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ncl.json b/data/monthly/ncl.json index e9bdb9522b36..0c45e16522d9 100644 --- a/data/monthly/ncl.json +++ b/data/monthly/ncl.json @@ -2,6 +2,6 @@ "title": "GitHub Ncl Languages Monthly Trending", "description": "Monthly Trending of Ncl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ncl.xml b/data/monthly/ncl.xml index 78fa5587eb82..5ab6f3fd3846 100644 --- a/data/monthly/ncl.xml +++ b/data/monthly/ncl.xml @@ -3,6 +3,6 @@ GitHub Ncl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ncl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nearley.json b/data/monthly/nearley.json index e3ae338836c7..3f6e154e0f2c 100644 --- a/data/monthly/nearley.json +++ b/data/monthly/nearley.json @@ -2,6 +2,6 @@ "title": "GitHub Nearley Languages Monthly Trending", "description": "Monthly Trending of Nearley Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nearley.xml b/data/monthly/nearley.xml index 91b40b71241a..1dce4569a7b5 100644 --- a/data/monthly/nearley.xml +++ b/data/monthly/nearley.xml @@ -3,6 +3,6 @@ GitHub Nearley Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nearley Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nemerle.json b/data/monthly/nemerle.json index be33bc12c31a..47efebc9a1d4 100644 --- a/data/monthly/nemerle.json +++ b/data/monthly/nemerle.json @@ -2,6 +2,6 @@ "title": "GitHub Nemerle Languages Monthly Trending", "description": "Monthly Trending of Nemerle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nemerle.xml b/data/monthly/nemerle.xml index 2cb7bbba97fc..b8734fd8cbce 100644 --- a/data/monthly/nemerle.xml +++ b/data/monthly/nemerle.xml @@ -3,6 +3,6 @@ GitHub Nemerle Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nemerle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/neon.json b/data/monthly/neon.json index bd2171471f06..e55eafa3db9a 100644 --- a/data/monthly/neon.json +++ b/data/monthly/neon.json @@ -2,6 +2,6 @@ "title": "GitHub Neon Languages Monthly Trending", "description": "Monthly Trending of Neon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/neon.xml b/data/monthly/neon.xml index dbf665ef6d34..c0cf9550958d 100644 --- a/data/monthly/neon.xml +++ b/data/monthly/neon.xml @@ -3,6 +3,6 @@ GitHub Neon Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Neon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nesc.json b/data/monthly/nesc.json index a55434dae59f..6f4fd504f7ee 100644 --- a/data/monthly/nesc.json +++ b/data/monthly/nesc.json @@ -2,7 +2,7 @@ "title": "GitHub Nesc Languages Monthly Trending", "description": "Monthly Trending of Nesc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "bdring/Grbl_Esp32", diff --git a/data/monthly/nesc.xml b/data/monthly/nesc.xml index 4d0ea01dafac..b47503de364f 100644 --- a/data/monthly/nesc.xml +++ b/data/monthly/nesc.xml @@ -3,7 +3,7 @@ GitHub Nesc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nesc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT bdring/Grbl_Esp32 https://github.com/bdring/Grbl_Esp32 diff --git a/data/monthly/netlinx+erb.json b/data/monthly/netlinx+erb.json index 1820a19401ae..546edf91e671 100644 --- a/data/monthly/netlinx+erb.json +++ b/data/monthly/netlinx+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Netlinx+erb Languages Monthly Trending", "description": "Monthly Trending of Netlinx+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/netlinx+erb.xml b/data/monthly/netlinx+erb.xml index eec16f55f7ac..ef8c66e76991 100644 --- a/data/monthly/netlinx+erb.xml +++ b/data/monthly/netlinx+erb.xml @@ -3,6 +3,6 @@ GitHub Netlinx+erb Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Netlinx+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/netlinx.json b/data/monthly/netlinx.json index 97ce5e69fbf1..bcda237ac762 100644 --- a/data/monthly/netlinx.json +++ b/data/monthly/netlinx.json @@ -2,6 +2,6 @@ "title": "GitHub Netlinx Languages Monthly Trending", "description": "Monthly Trending of Netlinx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/netlinx.xml b/data/monthly/netlinx.xml index 99f8b5768120..ace23fabb3f3 100644 --- a/data/monthly/netlinx.xml +++ b/data/monthly/netlinx.xml @@ -3,6 +3,6 @@ GitHub Netlinx Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Netlinx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/netlogo.json b/data/monthly/netlogo.json index fedb8e53e800..6b8317c141cb 100644 --- a/data/monthly/netlogo.json +++ b/data/monthly/netlogo.json @@ -2,6 +2,6 @@ "title": "GitHub Netlogo Languages Monthly Trending", "description": "Monthly Trending of Netlogo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/netlogo.xml b/data/monthly/netlogo.xml index 743ee08f8584..6c80646c98b5 100644 --- a/data/monthly/netlogo.xml +++ b/data/monthly/netlogo.xml @@ -3,6 +3,6 @@ GitHub Netlogo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Netlogo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/newlisp.json b/data/monthly/newlisp.json index fa7b1b01459e..1ad7734d5cf6 100644 --- a/data/monthly/newlisp.json +++ b/data/monthly/newlisp.json @@ -2,6 +2,6 @@ "title": "GitHub Newlisp Languages Monthly Trending", "description": "Monthly Trending of Newlisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/newlisp.xml b/data/monthly/newlisp.xml index 28daf07490b3..8d973a73e545 100644 --- a/data/monthly/newlisp.xml +++ b/data/monthly/newlisp.xml @@ -3,6 +3,6 @@ GitHub Newlisp Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Newlisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nextflow.json b/data/monthly/nextflow.json index c11b1d04d178..47a2fe98ee5a 100644 --- a/data/monthly/nextflow.json +++ b/data/monthly/nextflow.json @@ -2,7 +2,7 @@ "title": "GitHub Nextflow Languages Monthly Trending", "description": "Monthly Trending of Nextflow Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nf-core/modules", @@ -47,7 +47,7 @@ "description": "RNA sequencing analysis pipeline using STAR, RSEM, HISAT2 or Salmon with gene/isoform counts and extensive quality control.", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "923", + "stars": "924", "forks": "709", "addStars": "35", "contributors": [ diff --git a/data/monthly/nextflow.xml b/data/monthly/nextflow.xml index 842760629f82..314586c84cb7 100644 --- a/data/monthly/nextflow.xml +++ b/data/monthly/nextflow.xml @@ -3,7 +3,7 @@ GitHub Nextflow Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nextflow Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nf-core/modules https://github.com/nf-core/modules @@ -49,7 +49,7 @@ https://github.com/nf-core/rnaseq Nextflow #3ac486 - 923 + 924 709 35 diff --git a/data/monthly/nginx.json b/data/monthly/nginx.json index 756c5fddb179..90905b77eff7 100644 --- a/data/monthly/nginx.json +++ b/data/monthly/nginx.json @@ -2,6 +2,6 @@ "title": "GitHub Nginx Languages Monthly Trending", "description": "Monthly Trending of Nginx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nginx.xml b/data/monthly/nginx.xml index 97590ce586d2..fd4a3fe9af20 100644 --- a/data/monthly/nginx.xml +++ b/data/monthly/nginx.xml @@ -3,6 +3,6 @@ GitHub Nginx Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nginx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nim.json b/data/monthly/nim.json index cace398ddbb5..8a815a14ac83 100644 --- a/data/monthly/nim.json +++ b/data/monthly/nim.json @@ -2,39 +2,6 @@ "title": "GitHub Nim Languages Monthly Trending", "description": "Monthly Trending of Nim Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "nim-lang/Nim", - "url": "https://github.com/nim-lang/Nim", - "description": "Nim is a statically typed compiled systems programming language. It combines successful concepts from mature languages like Python, Ada and Modula. Its design focuses on efficiency, expressiveness, and elegance (in that order of priority).", - "language": "Nim", - "languageColor": "#ffc200", - "stars": "16,623", - "forks": "1,471", - "addStars": "105", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/287816?s=40&v=4", - "name": "Araq", - "url": "https://github.com/Araq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", - "name": "traviscibot", - "url": "https://github.com/traviscibot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43030857?s=40&v=4", - "name": "ringabout", - "url": "https://github.com/ringabout" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/246651?s=40&v=4", - "name": "dom96", - "url": "https://github.com/dom96" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/monthly/nim.xml b/data/monthly/nim.xml index ddb523415264..545c66c5fc7c 100644 --- a/data/monthly/nim.xml +++ b/data/monthly/nim.xml @@ -3,39 +3,6 @@ GitHub Nim Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nim Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - nim-lang/Nim - https://github.com/nim-lang/Nim - Nim is a statically typed compiled systems programming language. It combines successful concepts from mature languages like Python, Ada and Modula. Its design focuses on efficiency, expressiveness, and elegance (in that order of priority). - https://github.com/nim-lang/Nim - Nim - #ffc200 - 16,623 - 1,471 - 105 - - - https://avatars.githubusercontent.com/u/287816?s=40&v=4 - Araq - https://github.com/Araq - - - https://avatars.githubusercontent.com/u/33116358?s=40&v=4 - traviscibot - https://github.com/traviscibot - - - https://avatars.githubusercontent.com/u/43030857?s=40&v=4 - ringabout - https://github.com/ringabout - - - https://avatars.githubusercontent.com/u/246651?s=40&v=4 - dom96 - https://github.com/dom96 - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ninja.json b/data/monthly/ninja.json index 06047d67f760..31f332c4a548 100644 --- a/data/monthly/ninja.json +++ b/data/monthly/ninja.json @@ -2,6 +2,6 @@ "title": "GitHub Ninja Languages Monthly Trending", "description": "Monthly Trending of Ninja Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ninja.xml b/data/monthly/ninja.xml index d6878e8eb2fe..422d63f9c5d3 100644 --- a/data/monthly/ninja.xml +++ b/data/monthly/ninja.xml @@ -3,6 +3,6 @@ GitHub Ninja Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ninja Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nit.json b/data/monthly/nit.json index 97818fca3127..7dce4c56a830 100644 --- a/data/monthly/nit.json +++ b/data/monthly/nit.json @@ -2,6 +2,6 @@ "title": "GitHub Nit Languages Monthly Trending", "description": "Monthly Trending of Nit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nit.xml b/data/monthly/nit.xml index 375a0401479d..8d1dbbb89143 100644 --- a/data/monthly/nit.xml +++ b/data/monthly/nit.xml @@ -3,6 +3,6 @@ GitHub Nit Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nix.json b/data/monthly/nix.json index a161fd2c3992..a8f24737640d 100644 --- a/data/monthly/nix.json +++ b/data/monthly/nix.json @@ -2,7 +2,7 @@ "title": "GitHub Nix Languages Monthly Trending", "description": "Monthly Trending of Nix Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "LnL7/nix-darwin", @@ -10,8 +10,8 @@ "description": "nix modules for darwin", "language": "Nix", "languageColor": "#7e7eff", - "stars": "3,206", - "forks": "457", + "stars": "3,207", + "forks": "458", "addStars": "184", "contributors": [ { @@ -42,7 +42,7 @@ "description": "General purpose Nix configuration for macOS / NixOS with starter templates + step-by-step guides ✨", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,794", + "stars": "1,795", "forks": "113", "addStars": "162", "contributors": [ @@ -68,51 +68,14 @@ } ] }, - { - "title": "nix-community/home-manager", - "url": "https://github.com/nix-community/home-manager", - "description": "Manage a user environment using Nix [maintainer=@rycee]", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "7,140", - "forks": "1,844", - "addStars": "200", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/798147?s=40&v=4", - "name": "rycee", - "url": "https://github.com/rycee" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4323933?s=40&v=4", - "name": "ncfavier", - "url": "https://github.com/ncfavier" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20448408?s=40&v=4", - "name": "berbiche", - "url": "https://github.com/berbiche" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/886074?s=40&v=4", - "name": "teto", - "url": "https://github.com/teto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16734772?s=40&v=4", - "name": "sumnerevans", - "url": "https://github.com/sumnerevans" - } - ] - }, { "title": "NixOS/nixpkgs", "url": "https://github.com/NixOS/nixpkgs", "description": "Nix Packages collection & NixOS", "language": "Nix", "languageColor": "#7e7eff", - "stars": "18,305", - "forks": "14,273", + "stars": "18,306", + "forks": "14,276", "addStars": "453", "contributors": [ { @@ -143,29 +106,39 @@ ] }, { - "title": "nix-community/disko", - "url": "https://github.com/nix-community/disko", - "description": "Declarative disk partitioning and formatting using nix [maintainers=@Lassulus @Enzime @iFreilicht]", + "title": "nix-community/home-manager", + "url": "https://github.com/nix-community/home-manager", + "description": "Manage a user environment using Nix [maintainer=@rycee]", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,874", - "forks": "199", - "addStars": "83", + "stars": "7,140", + "forks": "1,844", + "addStars": "200", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/621759?s=40&v=4", - "name": "Lassulus", - "url": "https://github.com/Lassulus" + "avatar": "https://avatars.githubusercontent.com/u/798147?s=40&v=4", + "name": "rycee", + "url": "https://github.com/rycee" }, { - "avatar": "https://avatars.githubusercontent.com/u/96200?s=40&v=4", - "name": "Mic92", - "url": "https://github.com/Mic92" + "avatar": "https://avatars.githubusercontent.com/u/4323933?s=40&v=4", + "name": "ncfavier", + "url": "https://github.com/ncfavier" }, { - "avatar": "https://avatars.githubusercontent.com/u/9742635?s=40&v=4", - "name": "iFreilicht", - "url": "https://github.com/iFreilicht" + "avatar": "https://avatars.githubusercontent.com/u/20448408?s=40&v=4", + "name": "berbiche", + "url": "https://github.com/berbiche" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/886074?s=40&v=4", + "name": "teto", + "url": "https://github.com/teto" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16734772?s=40&v=4", + "name": "sumnerevans", + "url": "https://github.com/sumnerevans" } ] }, @@ -206,6 +179,33 @@ } ] }, + { + "title": "nix-community/disko", + "url": "https://github.com/nix-community/disko", + "description": "Declarative disk partitioning and formatting using nix [maintainers=@Lassulus @Enzime @iFreilicht]", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "1,874", + "forks": "199", + "addStars": "83", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/621759?s=40&v=4", + "name": "Lassulus", + "url": "https://github.com/Lassulus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/96200?s=40&v=4", + "name": "Mic92", + "url": "https://github.com/Mic92" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9742635?s=40&v=4", + "name": "iFreilicht", + "url": "https://github.com/iFreilicht" + } + ] + }, { "title": "MarceColl/zen-browser-flake", "url": "https://github.com/MarceColl/zen-browser-flake", @@ -280,45 +280,13 @@ } ] }, - { - "title": "cachix/devenv", - "url": "https://github.com/cachix/devenv", - "description": "Fast, Declarative, Reproducible, and Composable Developer Environments", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "4,486", - "forks": "339", - "addStars": "159", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", - "name": "domenkozar", - "url": "https://github.com/domenkozar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7572407?s=40&v=4", - "name": "sandydoo", - "url": "https://github.com/sandydoo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6375609?s=40&v=4", - "name": "bobvanderlinden", - "url": "https://github.com/bobvanderlinden" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6224096?s=40&v=4", - "name": "shyim", - "url": "https://github.com/shyim" - } - ] - }, { "title": "NixOS/nix.dev", "url": "https://github.com/NixOS/nix.dev", "description": "Official documentation for getting things done with Nix.", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,637", + "stars": "2,639", "forks": "253", "addStars": "108", "contributors": [ @@ -386,13 +354,50 @@ } ] }, + { + "title": "nix-community/nixvim", + "url": "https://github.com/nix-community/nixvim", + "description": "Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman]", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "1,797", + "forks": "281", + "addStars": "83", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/33058747?s=40&v=4", + "name": "GaetanLepage", + "url": "https://github.com/GaetanLepage" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5046562?s=40&v=4", + "name": "MattSturgeon", + "url": "https://github.com/MattSturgeon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1778670?s=40&v=4", + "name": "khaneliman", + "url": "https://github.com/khaneliman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7443916?s=40&v=4", + "name": "pta2002", + "url": "https://github.com/pta2002" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5623227?s=40&v=4", + "name": "traxys", + "url": "https://github.com/traxys" + } + ] + }, { "title": "NixOS/nixos-hardware", "url": "https://github.com/NixOS/nixos-hardware", "description": "A collection of NixOS modules covering hardware quirks.", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,070", + "stars": "2,072", "forks": "640", "addStars": "77", "contributors": [ @@ -418,6 +423,38 @@ } ] }, + { + "title": "astro/microvm.nix", + "url": "https://github.com/astro/microvm.nix", + "description": "NixOS MicroVMs", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "1,430", + "forks": "103", + "addStars": "81", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12923?s=40&v=4", + "name": "astro", + "url": "https://github.com/astro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", + "name": "SuperSandro2000", + "url": "https://github.com/SuperSandro2000" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/826368?s=40&v=4", + "name": "mikatammi", + "url": "https://github.com/mikatammi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31919558?s=40&v=4", + "name": "oddlama", + "url": "https://github.com/oddlama" + } + ] + }, { "title": "nix-community/nix-on-droid", "url": "https://github.com/nix-community/nix-on-droid", @@ -456,71 +493,71 @@ ] }, { - "title": "nix-community/nixvim", - "url": "https://github.com/nix-community/nixvim", - "description": "Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman]", + "title": "cachix/devenv", + "url": "https://github.com/cachix/devenv", + "description": "Fast, Declarative, Reproducible, and Composable Developer Environments", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,797", - "forks": "281", - "addStars": "83", + "stars": "4,488", + "forks": "339", + "addStars": "159", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/33058747?s=40&v=4", - "name": "GaetanLepage", - "url": "https://github.com/GaetanLepage" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5046562?s=40&v=4", - "name": "MattSturgeon", - "url": "https://github.com/MattSturgeon" + "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", + "name": "domenkozar", + "url": "https://github.com/domenkozar" }, { - "avatar": "https://avatars.githubusercontent.com/u/1778670?s=40&v=4", - "name": "khaneliman", - "url": "https://github.com/khaneliman" + "avatar": "https://avatars.githubusercontent.com/u/7572407?s=40&v=4", + "name": "sandydoo", + "url": "https://github.com/sandydoo" }, { - "avatar": "https://avatars.githubusercontent.com/u/7443916?s=40&v=4", - "name": "pta2002", - "url": "https://github.com/pta2002" + "avatar": "https://avatars.githubusercontent.com/u/6375609?s=40&v=4", + "name": "bobvanderlinden", + "url": "https://github.com/bobvanderlinden" }, { - "avatar": "https://avatars.githubusercontent.com/u/5623227?s=40&v=4", - "name": "traxys", - "url": "https://github.com/traxys" + "avatar": "https://avatars.githubusercontent.com/u/6224096?s=40&v=4", + "name": "shyim", + "url": "https://github.com/shyim" } ] }, { - "title": "astro/microvm.nix", - "url": "https://github.com/astro/microvm.nix", - "description": "NixOS MicroVMs", + "title": "mitchellh/nixos-config", + "url": "https://github.com/mitchellh/nixos-config", + "description": "My NixOS configurations.", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,429", - "forks": "103", - "addStars": "81", + "stars": "2,073", + "forks": "197", + "addStars": "56", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12923?s=40&v=4", - "name": "astro", - "url": "https://github.com/astro" + "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", + "name": "mitchellh", + "url": "https://github.com/mitchellh" }, { - "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", - "name": "SuperSandro2000", - "url": "https://github.com/SuperSandro2000" + "avatar": "https://avatars.githubusercontent.com/u/143861?s=40&v=4", + "name": "klivan", + "url": "https://github.com/klivan" }, { - "avatar": "https://avatars.githubusercontent.com/u/826368?s=40&v=4", - "name": "mikatammi", - "url": "https://github.com/mikatammi" + "avatar": "https://avatars.githubusercontent.com/u/627285?s=40&v=4", + "name": "supermarin", + "url": "https://github.com/supermarin" }, { - "avatar": "https://avatars.githubusercontent.com/u/31919558?s=40&v=4", - "name": "oddlama", - "url": "https://github.com/oddlama" + "avatar": "https://avatars.githubusercontent.com/u/8778?s=40&v=4", + "name": "fud", + "url": "https://github.com/fud" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/332968?s=40&v=4", + "name": "lucamaraschi", + "url": "https://github.com/lucamaraschi" } ] }, @@ -561,6 +598,43 @@ } ] }, + { + "title": "tpwrules/nixos-apple-silicon", + "url": "https://github.com/tpwrules/nixos-apple-silicon", + "description": "Resources to install NixOS bare metal on Apple Silicon Macs", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "896", + "forks": "92", + "addStars": "37", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/208010?s=40&v=4", + "name": "tpwrules", + "url": "https://github.com/tpwrules" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86169957?s=40&v=4", + "name": "yu-re-ka", + "url": "https://github.com/yu-re-ka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9061737?s=40&v=4", + "name": "natsukagami", + "url": "https://github.com/natsukagami" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4971975?s=40&v=4", + "name": "dasJ", + "url": "https://github.com/dasJ" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/51676322?s=40&v=4", + "name": "zzywysm", + "url": "https://github.com/zzywysm" + } + ] + }, { "title": "lilyinstarlight/nixos-cosmic", "url": "https://github.com/lilyinstarlight/nixos-cosmic", @@ -624,43 +698,6 @@ "url": "https://github.com/JulienGiguere" } ] - }, - { - "title": "tpwrules/nixos-apple-silicon", - "url": "https://github.com/tpwrules/nixos-apple-silicon", - "description": "Resources to install NixOS bare metal on Apple Silicon Macs", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "896", - "forks": "92", - "addStars": "37", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/208010?s=40&v=4", - "name": "tpwrules", - "url": "https://github.com/tpwrules" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/86169957?s=40&v=4", - "name": "yu-re-ka", - "url": "https://github.com/yu-re-ka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9061737?s=40&v=4", - "name": "natsukagami", - "url": "https://github.com/natsukagami" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4971975?s=40&v=4", - "name": "dasJ", - "url": "https://github.com/dasJ" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51676322?s=40&v=4", - "name": "zzywysm", - "url": "https://github.com/zzywysm" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/nix.xml b/data/monthly/nix.xml index 084e713d77a5..22265e1902c9 100644 --- a/data/monthly/nix.xml +++ b/data/monthly/nix.xml @@ -3,7 +3,7 @@ GitHub Nix Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nix Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT LnL7/nix-darwin https://github.com/LnL7/nix-darwin @@ -11,8 +11,8 @@ https://github.com/LnL7/nix-darwin Nix #7e7eff - 3,206 - 457 + 3,207 + 458 184 @@ -44,7 +44,7 @@ https://github.com/dustinlyons/nixos-config Nix #7e7eff - 1,794 + 1,795 113 162 @@ -70,44 +70,6 @@ - - nix-community/home-manager - https://github.com/nix-community/home-manager - Manage a user environment using Nix [maintainer=@rycee] - https://github.com/nix-community/home-manager - Nix - #7e7eff - 7,140 - 1,844 - 200 - - - https://avatars.githubusercontent.com/u/798147?s=40&v=4 - rycee - https://github.com/rycee - - - https://avatars.githubusercontent.com/u/4323933?s=40&v=4 - ncfavier - https://github.com/ncfavier - - - https://avatars.githubusercontent.com/u/20448408?s=40&v=4 - berbiche - https://github.com/berbiche - - - https://avatars.githubusercontent.com/u/886074?s=40&v=4 - teto - https://github.com/teto - - - https://avatars.githubusercontent.com/u/16734772?s=40&v=4 - sumnerevans - https://github.com/sumnerevans - - - NixOS/nixpkgs https://github.com/NixOS/nixpkgs @@ -115,8 +77,8 @@ https://github.com/NixOS/nixpkgs Nix #7e7eff - 18,305 - 14,273 + 18,306 + 14,276 453 @@ -147,30 +109,40 @@ - nix-community/disko - https://github.com/nix-community/disko - Declarative disk partitioning and formatting using nix [maintainers=@Lassulus @Enzime @iFreilicht] - https://github.com/nix-community/disko + nix-community/home-manager + https://github.com/nix-community/home-manager + Manage a user environment using Nix [maintainer=@rycee] + https://github.com/nix-community/home-manager Nix #7e7eff - 1,874 - 199 - 83 + 7,140 + 1,844 + 200 - https://avatars.githubusercontent.com/u/621759?s=40&v=4 - Lassulus - https://github.com/Lassulus + https://avatars.githubusercontent.com/u/798147?s=40&v=4 + rycee + https://github.com/rycee - https://avatars.githubusercontent.com/u/96200?s=40&v=4 - Mic92 - https://github.com/Mic92 + https://avatars.githubusercontent.com/u/4323933?s=40&v=4 + ncfavier + https://github.com/ncfavier - https://avatars.githubusercontent.com/u/9742635?s=40&v=4 - iFreilicht - https://github.com/iFreilicht + https://avatars.githubusercontent.com/u/20448408?s=40&v=4 + berbiche + https://github.com/berbiche + + + https://avatars.githubusercontent.com/u/886074?s=40&v=4 + teto + https://github.com/teto + + + https://avatars.githubusercontent.com/u/16734772?s=40&v=4 + sumnerevans + https://github.com/sumnerevans @@ -212,6 +184,34 @@ + + nix-community/disko + https://github.com/nix-community/disko + Declarative disk partitioning and formatting using nix [maintainers=@Lassulus @Enzime @iFreilicht] + https://github.com/nix-community/disko + Nix + #7e7eff + 1,874 + 199 + 83 + + + https://avatars.githubusercontent.com/u/621759?s=40&v=4 + Lassulus + https://github.com/Lassulus + + + https://avatars.githubusercontent.com/u/96200?s=40&v=4 + Mic92 + https://github.com/Mic92 + + + https://avatars.githubusercontent.com/u/9742635?s=40&v=4 + iFreilicht + https://github.com/iFreilicht + + + MarceColl/zen-browser-flake https://github.com/MarceColl/zen-browser-flake @@ -288,39 +288,6 @@ - - cachix/devenv - https://github.com/cachix/devenv - Fast, Declarative, Reproducible, and Composable Developer Environments - https://github.com/cachix/devenv - Nix - #7e7eff - 4,486 - 339 - 159 - - - https://avatars.githubusercontent.com/u/126339?s=40&v=4 - domenkozar - https://github.com/domenkozar - - - https://avatars.githubusercontent.com/u/7572407?s=40&v=4 - sandydoo - https://github.com/sandydoo - - - https://avatars.githubusercontent.com/u/6375609?s=40&v=4 - bobvanderlinden - https://github.com/bobvanderlinden - - - https://avatars.githubusercontent.com/u/6224096?s=40&v=4 - shyim - https://github.com/shyim - - - NixOS/nix.dev https://github.com/NixOS/nix.dev @@ -328,7 +295,7 @@ https://github.com/NixOS/nix.dev Nix #7e7eff - 2,637 + 2,639 253 108 @@ -397,6 +364,44 @@ + + nix-community/nixvim + https://github.com/nix-community/nixvim + Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman] + https://github.com/nix-community/nixvim + Nix + #7e7eff + 1,797 + 281 + 83 + + + https://avatars.githubusercontent.com/u/33058747?s=40&v=4 + GaetanLepage + https://github.com/GaetanLepage + + + https://avatars.githubusercontent.com/u/5046562?s=40&v=4 + MattSturgeon + https://github.com/MattSturgeon + + + https://avatars.githubusercontent.com/u/1778670?s=40&v=4 + khaneliman + https://github.com/khaneliman + + + https://avatars.githubusercontent.com/u/7443916?s=40&v=4 + pta2002 + https://github.com/pta2002 + + + https://avatars.githubusercontent.com/u/5623227?s=40&v=4 + traxys + https://github.com/traxys + + + NixOS/nixos-hardware https://github.com/NixOS/nixos-hardware @@ -404,7 +409,7 @@ https://github.com/NixOS/nixos-hardware Nix #7e7eff - 2,070 + 2,072 640 77 @@ -430,6 +435,39 @@ + + astro/microvm.nix + https://github.com/astro/microvm.nix + NixOS MicroVMs + https://github.com/astro/microvm.nix + Nix + #7e7eff + 1,430 + 103 + 81 + + + https://avatars.githubusercontent.com/u/12923?s=40&v=4 + astro + https://github.com/astro + + + https://avatars.githubusercontent.com/u/7258858?s=40&v=4 + SuperSandro2000 + https://github.com/SuperSandro2000 + + + https://avatars.githubusercontent.com/u/826368?s=40&v=4 + mikatammi + https://github.com/mikatammi + + + https://avatars.githubusercontent.com/u/31919558?s=40&v=4 + oddlama + https://github.com/oddlama + + + nix-community/nix-on-droid https://github.com/nix-community/nix-on-droid @@ -469,73 +507,73 @@ - nix-community/nixvim - https://github.com/nix-community/nixvim - Configure Neovim with Nix! [maintainers=@GaetanLepage, @traxys, @MattSturgeon, @khaneliman] - https://github.com/nix-community/nixvim + cachix/devenv + https://github.com/cachix/devenv + Fast, Declarative, Reproducible, and Composable Developer Environments + https://github.com/cachix/devenv Nix #7e7eff - 1,797 - 281 - 83 + 4,488 + 339 + 159 - https://avatars.githubusercontent.com/u/33058747?s=40&v=4 - GaetanLepage - https://github.com/GaetanLepage - - - https://avatars.githubusercontent.com/u/5046562?s=40&v=4 - MattSturgeon - https://github.com/MattSturgeon + https://avatars.githubusercontent.com/u/126339?s=40&v=4 + domenkozar + https://github.com/domenkozar - https://avatars.githubusercontent.com/u/1778670?s=40&v=4 - khaneliman - https://github.com/khaneliman + https://avatars.githubusercontent.com/u/7572407?s=40&v=4 + sandydoo + https://github.com/sandydoo - https://avatars.githubusercontent.com/u/7443916?s=40&v=4 - pta2002 - https://github.com/pta2002 + https://avatars.githubusercontent.com/u/6375609?s=40&v=4 + bobvanderlinden + https://github.com/bobvanderlinden - https://avatars.githubusercontent.com/u/5623227?s=40&v=4 - traxys - https://github.com/traxys + https://avatars.githubusercontent.com/u/6224096?s=40&v=4 + shyim + https://github.com/shyim - astro/microvm.nix - https://github.com/astro/microvm.nix - NixOS MicroVMs - https://github.com/astro/microvm.nix + mitchellh/nixos-config + https://github.com/mitchellh/nixos-config + My NixOS configurations. + https://github.com/mitchellh/nixos-config Nix #7e7eff - 1,429 - 103 - 81 + 2,073 + 197 + 56 - https://avatars.githubusercontent.com/u/12923?s=40&v=4 - astro - https://github.com/astro + https://avatars.githubusercontent.com/u/1299?s=40&v=4 + mitchellh + https://github.com/mitchellh - https://avatars.githubusercontent.com/u/7258858?s=40&v=4 - SuperSandro2000 - https://github.com/SuperSandro2000 + https://avatars.githubusercontent.com/u/143861?s=40&v=4 + klivan + https://github.com/klivan - https://avatars.githubusercontent.com/u/826368?s=40&v=4 - mikatammi - https://github.com/mikatammi + https://avatars.githubusercontent.com/u/627285?s=40&v=4 + supermarin + https://github.com/supermarin - https://avatars.githubusercontent.com/u/31919558?s=40&v=4 - oddlama - https://github.com/oddlama + https://avatars.githubusercontent.com/u/8778?s=40&v=4 + fud + https://github.com/fud + + + https://avatars.githubusercontent.com/u/332968?s=40&v=4 + lucamaraschi + https://github.com/lucamaraschi @@ -577,6 +615,44 @@ + + tpwrules/nixos-apple-silicon + https://github.com/tpwrules/nixos-apple-silicon + Resources to install NixOS bare metal on Apple Silicon Macs + https://github.com/tpwrules/nixos-apple-silicon + Nix + #7e7eff + 896 + 92 + 37 + + + https://avatars.githubusercontent.com/u/208010?s=40&v=4 + tpwrules + https://github.com/tpwrules + + + https://avatars.githubusercontent.com/u/86169957?s=40&v=4 + yu-re-ka + https://github.com/yu-re-ka + + + https://avatars.githubusercontent.com/u/9061737?s=40&v=4 + natsukagami + https://github.com/natsukagami + + + https://avatars.githubusercontent.com/u/4971975?s=40&v=4 + dasJ + https://github.com/dasJ + + + https://avatars.githubusercontent.com/u/51676322?s=40&v=4 + zzywysm + https://github.com/zzywysm + + + lilyinstarlight/nixos-cosmic https://github.com/lilyinstarlight/nixos-cosmic @@ -643,43 +719,5 @@ - - tpwrules/nixos-apple-silicon - https://github.com/tpwrules/nixos-apple-silicon - Resources to install NixOS bare metal on Apple Silicon Macs - https://github.com/tpwrules/nixos-apple-silicon - Nix - #7e7eff - 896 - 92 - 37 - - - https://avatars.githubusercontent.com/u/208010?s=40&v=4 - tpwrules - https://github.com/tpwrules - - - https://avatars.githubusercontent.com/u/86169957?s=40&v=4 - yu-re-ka - https://github.com/yu-re-ka - - - https://avatars.githubusercontent.com/u/9061737?s=40&v=4 - natsukagami - https://github.com/natsukagami - - - https://avatars.githubusercontent.com/u/4971975?s=40&v=4 - dasJ - https://github.com/dasJ - - - https://avatars.githubusercontent.com/u/51676322?s=40&v=4 - zzywysm - https://github.com/zzywysm - - - \ No newline at end of file diff --git a/data/monthly/nl.json b/data/monthly/nl.json index 04d425446fa8..c3c6cd95a493 100644 --- a/data/monthly/nl.json +++ b/data/monthly/nl.json @@ -2,6 +2,6 @@ "title": "GitHub Nl Languages Monthly Trending", "description": "Monthly Trending of Nl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nl.xml b/data/monthly/nl.xml index 59d5fd387ab6..b4f5eb37a290 100644 --- a/data/monthly/nl.xml +++ b/data/monthly/nl.xml @@ -3,6 +3,6 @@ GitHub Nl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nmodl.json b/data/monthly/nmodl.json index cf98a5a82a9f..0dacf8a92951 100644 --- a/data/monthly/nmodl.json +++ b/data/monthly/nmodl.json @@ -2,6 +2,6 @@ "title": "GitHub Nmodl Languages Monthly Trending", "description": "Monthly Trending of Nmodl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nmodl.xml b/data/monthly/nmodl.xml index 2be9761c7300..f0e4fe1ebc57 100644 --- a/data/monthly/nmodl.xml +++ b/data/monthly/nmodl.xml @@ -3,6 +3,6 @@ GitHub Nmodl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nmodl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/npm-config.json b/data/monthly/npm-config.json index 51fb0c92115c..984c2876345e 100644 --- a/data/monthly/npm-config.json +++ b/data/monthly/npm-config.json @@ -2,6 +2,6 @@ "title": "GitHub Npm-config Languages Monthly Trending", "description": "Monthly Trending of Npm-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/npm-config.xml b/data/monthly/npm-config.xml index bb7bc353616d..eadf37690940 100644 --- a/data/monthly/npm-config.xml +++ b/data/monthly/npm-config.xml @@ -3,6 +3,6 @@ GitHub Npm-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Npm-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nsis.json b/data/monthly/nsis.json index dfdd5d7bedf4..27461dcc1a75 100644 --- a/data/monthly/nsis.json +++ b/data/monthly/nsis.json @@ -2,6 +2,6 @@ "title": "GitHub Nsis Languages Monthly Trending", "description": "Monthly Trending of Nsis Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nsis.xml b/data/monthly/nsis.xml index 3976ee6a40c0..025aa50613da 100644 --- a/data/monthly/nsis.xml +++ b/data/monthly/nsis.xml @@ -3,6 +3,6 @@ GitHub Nsis Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nsis Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nu.json b/data/monthly/nu.json index 226fac6b15d0..fadc2752c643 100644 --- a/data/monthly/nu.json +++ b/data/monthly/nu.json @@ -2,6 +2,6 @@ "title": "GitHub Nu Languages Monthly Trending", "description": "Monthly Trending of Nu Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nu.xml b/data/monthly/nu.xml index f220cce1bada..d622e53c5921 100644 --- a/data/monthly/nu.xml +++ b/data/monthly/nu.xml @@ -3,6 +3,6 @@ GitHub Nu Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nu Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/numpy.json b/data/monthly/numpy.json index ba45236c816d..ce0ad29885c1 100644 --- a/data/monthly/numpy.json +++ b/data/monthly/numpy.json @@ -2,6 +2,6 @@ "title": "GitHub Numpy Languages Monthly Trending", "description": "Monthly Trending of Numpy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/numpy.xml b/data/monthly/numpy.xml index c7ed63a706fe..2551d95afe69 100644 --- a/data/monthly/numpy.xml +++ b/data/monthly/numpy.xml @@ -3,6 +3,6 @@ GitHub Numpy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Numpy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/nunjucks.json b/data/monthly/nunjucks.json index 328eb06abe99..8d707b2aebe5 100644 --- a/data/monthly/nunjucks.json +++ b/data/monthly/nunjucks.json @@ -2,40 +2,8 @@ "title": "GitHub Nunjucks Languages Monthly Trending", "description": "Monthly Trending of Nunjucks Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "xdesro/personalsit.es", - "url": "https://github.com/xdesro/personalsit.es", - "description": "📇 A little directory of people's personal sites", - "language": "Nunjucks", - "languageColor": "#3d8137", - "stars": "721", - "forks": "557", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8672583?s=40&v=4", - "name": "Andy-set-studio", - "url": "https://github.com/Andy-set-studio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13542610?s=40&v=4", - "name": "xdesro", - "url": "https://github.com/xdesro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12626746?s=40&v=4", - "name": "bbbhltz", - "url": "https://github.com/bbbhltz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/413660?s=40&v=4", - "name": "zanetaylor", - "url": "https://github.com/zanetaylor" - } - ] - }, { "title": "GoogleChrome/web.dev", "url": "https://github.com/GoogleChrome/web.dev", @@ -73,13 +41,45 @@ } ] }, + { + "title": "xdesro/personalsit.es", + "url": "https://github.com/xdesro/personalsit.es", + "description": "📇 A little directory of people's personal sites", + "language": "Nunjucks", + "languageColor": "#3d8137", + "stars": "721", + "forks": "557", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8672583?s=40&v=4", + "name": "Andy-set-studio", + "url": "https://github.com/Andy-set-studio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13542610?s=40&v=4", + "name": "xdesro", + "url": "https://github.com/xdesro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12626746?s=40&v=4", + "name": "bbbhltz", + "url": "https://github.com/bbbhltz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/413660?s=40&v=4", + "name": "zanetaylor", + "url": "https://github.com/zanetaylor" + } + ] + }, { "title": "h5bp/Front-end-Developer-Interview-Questions", "url": "https://github.com/h5bp/Front-end-Developer-Interview-Questions", "description": "A list of helpful front-end related questions you can use to interview potential candidates, test yourself or completely ignore.", "language": "Nunjucks", "languageColor": "#3d8137", - "stars": "59,714", + "stars": "59,715", "forks": "11,063", "addStars": "113", "contributors": [ diff --git a/data/monthly/nunjucks.xml b/data/monthly/nunjucks.xml index 3affd00406e3..5b207daf54e5 100644 --- a/data/monthly/nunjucks.xml +++ b/data/monthly/nunjucks.xml @@ -3,40 +3,7 @@ GitHub Nunjucks Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nunjucks Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - xdesro/personalsit.es - https://github.com/xdesro/personalsit.es - 📇 A little directory of people's personal sites - https://github.com/xdesro/personalsit.es - Nunjucks - #3d8137 - 721 - 557 - 9 - - - https://avatars.githubusercontent.com/u/8672583?s=40&v=4 - Andy-set-studio - https://github.com/Andy-set-studio - - - https://avatars.githubusercontent.com/u/13542610?s=40&v=4 - xdesro - https://github.com/xdesro - - - https://avatars.githubusercontent.com/u/12626746?s=40&v=4 - bbbhltz - https://github.com/bbbhltz - - - https://avatars.githubusercontent.com/u/413660?s=40&v=4 - zanetaylor - https://github.com/zanetaylor - - - + Mon, 25 Nov 2024 13:30:36 GMT GoogleChrome/web.dev https://github.com/GoogleChrome/web.dev @@ -75,6 +42,39 @@ + + xdesro/personalsit.es + https://github.com/xdesro/personalsit.es + 📇 A little directory of people's personal sites + https://github.com/xdesro/personalsit.es + Nunjucks + #3d8137 + 721 + 557 + 9 + + + https://avatars.githubusercontent.com/u/8672583?s=40&v=4 + Andy-set-studio + https://github.com/Andy-set-studio + + + https://avatars.githubusercontent.com/u/13542610?s=40&v=4 + xdesro + https://github.com/xdesro + + + https://avatars.githubusercontent.com/u/12626746?s=40&v=4 + bbbhltz + https://github.com/bbbhltz + + + https://avatars.githubusercontent.com/u/413660?s=40&v=4 + zanetaylor + https://github.com/zanetaylor + + + h5bp/Front-end-Developer-Interview-Questions https://github.com/h5bp/Front-end-Developer-Interview-Questions @@ -82,7 +82,7 @@ https://github.com/h5bp/Front-end-Developer-Interview-Questions Nunjucks #3d8137 - 59,714 + 59,715 11,063 113 diff --git a/data/monthly/nushell.json b/data/monthly/nushell.json index fcfb3754d042..1349726e72b3 100644 --- a/data/monthly/nushell.json +++ b/data/monthly/nushell.json @@ -2,7 +2,7 @@ "title": "GitHub Nushell Languages Monthly Trending", "description": "Monthly Trending of Nushell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "omerxx/dotfiles", @@ -10,7 +10,7 @@ "description": "My dotfiles synced from localhost and remote machines", "language": "Nushell", "languageColor": "#4E9906", - "stars": "1,157", + "stars": "1,161", "forks": "96", "addStars": "147", "contributors": [ diff --git a/data/monthly/nushell.xml b/data/monthly/nushell.xml index 061d0d8369b6..173ec1ba591c 100644 --- a/data/monthly/nushell.xml +++ b/data/monthly/nushell.xml @@ -3,7 +3,7 @@ GitHub Nushell Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nushell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT omerxx/dotfiles https://github.com/omerxx/dotfiles @@ -11,7 +11,7 @@ https://github.com/omerxx/dotfiles Nushell #4E9906 - 1,157 + 1,161 96 147 diff --git a/data/monthly/nwscript.json b/data/monthly/nwscript.json index cbae53248ead..be7f263bfa3c 100644 --- a/data/monthly/nwscript.json +++ b/data/monthly/nwscript.json @@ -2,6 +2,6 @@ "title": "GitHub Nwscript Languages Monthly Trending", "description": "Monthly Trending of Nwscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/nwscript.xml b/data/monthly/nwscript.xml index c8db582c6427..11447a083855 100644 --- a/data/monthly/nwscript.xml +++ b/data/monthly/nwscript.xml @@ -3,6 +3,6 @@ GitHub Nwscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Nwscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/oasv2-json.json b/data/monthly/oasv2-json.json index 925bcfbe51c7..e7d31dedef6d 100644 --- a/data/monthly/oasv2-json.json +++ b/data/monthly/oasv2-json.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv2-json Languages Monthly Trending", "description": "Monthly Trending of Oasv2-json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/oasv2-json.xml b/data/monthly/oasv2-json.xml index 1e8b0e8d1cf6..0b26c384c819 100644 --- a/data/monthly/oasv2-json.xml +++ b/data/monthly/oasv2-json.xml @@ -3,6 +3,6 @@ GitHub Oasv2-json Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Oasv2-json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/oasv2-yaml.json b/data/monthly/oasv2-yaml.json index 4d62abb86cc6..98a8a72acff6 100644 --- a/data/monthly/oasv2-yaml.json +++ b/data/monthly/oasv2-yaml.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv2-yaml Languages Monthly Trending", "description": "Monthly Trending of Oasv2-yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/oasv2-yaml.xml b/data/monthly/oasv2-yaml.xml index 904ebe31371e..4eb8aca84683 100644 --- a/data/monthly/oasv2-yaml.xml +++ b/data/monthly/oasv2-yaml.xml @@ -3,6 +3,6 @@ GitHub Oasv2-yaml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Oasv2-yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/oasv3-json.json b/data/monthly/oasv3-json.json index f5b13b76c48a..88605e2d8737 100644 --- a/data/monthly/oasv3-json.json +++ b/data/monthly/oasv3-json.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv3-json Languages Monthly Trending", "description": "Monthly Trending of Oasv3-json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/oasv3-json.xml b/data/monthly/oasv3-json.xml index be2e338ebc6c..4a47ab05fc2a 100644 --- a/data/monthly/oasv3-json.xml +++ b/data/monthly/oasv3-json.xml @@ -3,6 +3,6 @@ GitHub Oasv3-json Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Oasv3-json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/oasv3-yaml.json b/data/monthly/oasv3-yaml.json index ea32d0e616d9..d2c12fb5aed5 100644 --- a/data/monthly/oasv3-yaml.json +++ b/data/monthly/oasv3-yaml.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv3-yaml Languages Monthly Trending", "description": "Monthly Trending of Oasv3-yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/oasv3-yaml.xml b/data/monthly/oasv3-yaml.xml index 9f623f7f2521..8b69c8e1b591 100644 --- a/data/monthly/oasv3-yaml.xml +++ b/data/monthly/oasv3-yaml.xml @@ -3,6 +3,6 @@ GitHub Oasv3-yaml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Oasv3-yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/oberon.json b/data/monthly/oberon.json index 0f76b3e4c065..43ac01342198 100644 --- a/data/monthly/oberon.json +++ b/data/monthly/oberon.json @@ -2,6 +2,6 @@ "title": "GitHub Oberon Languages Monthly Trending", "description": "Monthly Trending of Oberon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/oberon.xml b/data/monthly/oberon.xml index c2e09fc86961..0c2df2e4600d 100644 --- a/data/monthly/oberon.xml +++ b/data/monthly/oberon.xml @@ -3,6 +3,6 @@ GitHub Oberon Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Oberon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/objdump.json b/data/monthly/objdump.json index 8bdde48276b3..29d0225496ad 100644 --- a/data/monthly/objdump.json +++ b/data/monthly/objdump.json @@ -2,6 +2,6 @@ "title": "GitHub Objdump Languages Monthly Trending", "description": "Monthly Trending of Objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/objdump.xml b/data/monthly/objdump.xml index 5a98e4e89760..fbf3166dbcbf 100644 --- a/data/monthly/objdump.xml +++ b/data/monthly/objdump.xml @@ -3,6 +3,6 @@ GitHub Objdump Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/object-data-instance-notation.json b/data/monthly/object-data-instance-notation.json index 5b89799cd126..9abfecb9d48d 100644 --- a/data/monthly/object-data-instance-notation.json +++ b/data/monthly/object-data-instance-notation.json @@ -2,6 +2,6 @@ "title": "GitHub Object-data-instance-notation Languages Monthly Trending", "description": "Monthly Trending of Object-data-instance-notation Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/object-data-instance-notation.xml b/data/monthly/object-data-instance-notation.xml index c24b0cf642e1..1cacf1be73e6 100644 --- a/data/monthly/object-data-instance-notation.xml +++ b/data/monthly/object-data-instance-notation.xml @@ -3,6 +3,6 @@ GitHub Object-data-instance-notation Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Object-data-instance-notation Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/objective-c++.json b/data/monthly/objective-c++.json index 633a26c0cc34..17d12cdf6f3b 100644 --- a/data/monthly/objective-c++.json +++ b/data/monthly/objective-c++.json @@ -2,7 +2,7 @@ "title": "GitHub Objective-c++ Languages Monthly Trending", "description": "Monthly Trending of Objective-c++ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gree/unity-webview", @@ -41,14 +41,36 @@ } ] }, + { + "title": "avibrazil/RDM", + "url": "https://github.com/avibrazil/RDM", + "description": "Easily set Mac Retina display to higher unsupported resolutions", + "language": "Objective-C++", + "languageColor": "#6866fb", + "stars": "4,142", + "forks": "356", + "addStars": "14", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3484242?s=40&v=4", + "name": "avibrazil", + "url": "https://github.com/avibrazil" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5395205?s=40&v=4", + "name": "aryamccarthy", + "url": "https://github.com/aryamccarthy" + } + ] + }, { "title": "KhronosGroup/MoltenVK", "url": "https://github.com/KhronosGroup/MoltenVK", "description": "MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS.", "language": "Objective-C++", "languageColor": "#6866fb", - "stars": "4,840", - "forks": "429", + "stars": "4,841", + "forks": "430", "addStars": "54", "contributors": [ { @@ -115,28 +137,6 @@ } ] }, - { - "title": "avibrazil/RDM", - "url": "https://github.com/avibrazil/RDM", - "description": "Easily set Mac Retina display to higher unsupported resolutions", - "language": "Objective-C++", - "languageColor": "#6866fb", - "stars": "4,142", - "forks": "356", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3484242?s=40&v=4", - "name": "avibrazil", - "url": "https://github.com/avibrazil" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5395205?s=40&v=4", - "name": "aryamccarthy", - "url": "https://github.com/aryamccarthy" - } - ] - }, { "title": "TextureGroup/Texture", "url": "https://github.com/TextureGroup/Texture", @@ -174,6 +174,33 @@ } ] }, + { + "title": "sbmpost/AutoRaise", + "url": "https://github.com/sbmpost/AutoRaise", + "description": "AutoRaise (and focus) a window when hovering over it with the mouse", + "language": "Objective-C++", + "languageColor": "#6866fb", + "stars": "1,534", + "forks": "63", + "addStars": "52", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10278551?s=40&v=4", + "name": "sbmpost", + "url": "https://github.com/sbmpost" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5391420?s=40&v=4", + "name": "Dimentium", + "url": "https://github.com/Dimentium" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67840377?s=40&v=4", + "name": "fathah1", + "url": "https://github.com/fathah1" + } + ] + }, { "title": "facebookarchive/pop", "url": "https://github.com/facebookarchive/pop", @@ -211,33 +238,6 @@ } ] }, - { - "title": "sbmpost/AutoRaise", - "url": "https://github.com/sbmpost/AutoRaise", - "description": "AutoRaise (and focus) a window when hovering over it with the mouse", - "language": "Objective-C++", - "languageColor": "#6866fb", - "stars": "1,534", - "forks": "63", - "addStars": "52", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/10278551?s=40&v=4", - "name": "sbmpost", - "url": "https://github.com/sbmpost" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5391420?s=40&v=4", - "name": "Dimentium", - "url": "https://github.com/Dimentium" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/67840377?s=40&v=4", - "name": "fathah1", - "url": "https://github.com/fathah1" - } - ] - }, { "title": "zoontek/react-native-permissions", "url": "https://github.com/zoontek/react-native-permissions", diff --git a/data/monthly/objective-c++.xml b/data/monthly/objective-c++.xml index 6c95495be83d..4e1c233793ab 100644 --- a/data/monthly/objective-c++.xml +++ b/data/monthly/objective-c++.xml @@ -3,7 +3,7 @@ GitHub Objective-c++ Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Objective-c++ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gree/unity-webview https://github.com/gree/unity-webview @@ -42,6 +42,29 @@ + + avibrazil/RDM + https://github.com/avibrazil/RDM + Easily set Mac Retina display to higher unsupported resolutions + https://github.com/avibrazil/RDM + Objective-C++ + #6866fb + 4,142 + 356 + 14 + + + https://avatars.githubusercontent.com/u/3484242?s=40&v=4 + avibrazil + https://github.com/avibrazil + + + https://avatars.githubusercontent.com/u/5395205?s=40&v=4 + aryamccarthy + https://github.com/aryamccarthy + + + KhronosGroup/MoltenVK https://github.com/KhronosGroup/MoltenVK @@ -49,8 +72,8 @@ https://github.com/KhronosGroup/MoltenVK Objective-C++ #6866fb - 4,840 - 429 + 4,841 + 430 54 @@ -118,29 +141,6 @@ - - avibrazil/RDM - https://github.com/avibrazil/RDM - Easily set Mac Retina display to higher unsupported resolutions - https://github.com/avibrazil/RDM - Objective-C++ - #6866fb - 4,142 - 356 - 14 - - - https://avatars.githubusercontent.com/u/3484242?s=40&v=4 - avibrazil - https://github.com/avibrazil - - - https://avatars.githubusercontent.com/u/5395205?s=40&v=4 - aryamccarthy - https://github.com/aryamccarthy - - - TextureGroup/Texture https://github.com/TextureGroup/Texture @@ -179,6 +179,34 @@ + + sbmpost/AutoRaise + https://github.com/sbmpost/AutoRaise + AutoRaise (and focus) a window when hovering over it with the mouse + https://github.com/sbmpost/AutoRaise + Objective-C++ + #6866fb + 1,534 + 63 + 52 + + + https://avatars.githubusercontent.com/u/10278551?s=40&v=4 + sbmpost + https://github.com/sbmpost + + + https://avatars.githubusercontent.com/u/5391420?s=40&v=4 + Dimentium + https://github.com/Dimentium + + + https://avatars.githubusercontent.com/u/67840377?s=40&v=4 + fathah1 + https://github.com/fathah1 + + + facebookarchive/pop https://github.com/facebookarchive/pop @@ -217,34 +245,6 @@ - - sbmpost/AutoRaise - https://github.com/sbmpost/AutoRaise - AutoRaise (and focus) a window when hovering over it with the mouse - https://github.com/sbmpost/AutoRaise - Objective-C++ - #6866fb - 1,534 - 63 - 52 - - - https://avatars.githubusercontent.com/u/10278551?s=40&v=4 - sbmpost - https://github.com/sbmpost - - - https://avatars.githubusercontent.com/u/5391420?s=40&v=4 - Dimentium - https://github.com/Dimentium - - - https://avatars.githubusercontent.com/u/67840377?s=40&v=4 - fathah1 - https://github.com/fathah1 - - - zoontek/react-native-permissions https://github.com/zoontek/react-native-permissions diff --git a/data/monthly/objective-c.json b/data/monthly/objective-c.json index 5a1693ed36f7..e9a3e4290ada 100644 --- a/data/monthly/objective-c.json +++ b/data/monthly/objective-c.json @@ -2,7 +2,7 @@ "title": "GitHub Objective-c Languages Monthly Trending", "description": "Monthly Trending of Objective-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gnachman/iTerm2", @@ -10,7 +10,7 @@ "description": "iTerm2 is a terminal emulator for Mac OS X that does amazing things.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "15,397", + "stars": "15,398", "forks": "1,201", "addStars": "168", "contributors": [ @@ -47,7 +47,7 @@ "description": "Mac Mouse Fix - Make Your $10 Mouse Better Than an Apple Trackpad!", "language": "Objective-C", "languageColor": "#438eff", - "stars": "5,722", + "stars": "5,729", "forks": "161", "addStars": "220", "contributors": [ @@ -106,8 +106,8 @@ "description": "一个简洁优雅的词典翻译 macOS App。开箱即用,支持离线 OCR 识别,支持有道词典,🍎 苹果系统词典,🍎 苹果系统翻译,OpenAI,Gemini,DeepL,Google,Bing,腾讯,百度,阿里,小牛,彩云和火山翻译。A concise and elegant Dictionary and Translator macOS App for looking up words and translating text.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "7,403", - "forks": "371", + "stars": "7,404", + "forks": "372", "addStars": "247", "contributors": [ { @@ -180,7 +180,7 @@ "description": "Official frugal and native macOS Syncthing application bundle", "language": "Objective-C", "languageColor": "#438eff", - "stars": "2,758", + "stars": "2,759", "forks": "148", "addStars": "47", "contributors": [ @@ -206,6 +206,43 @@ } ] }, + { + "title": "CocoaLumberjack/CocoaLumberjack", + "url": "https://github.com/CocoaLumberjack/CocoaLumberjack", + "description": "A fast & simple, yet powerful & flexible logging framework for macOS, iOS, tvOS and watchOS", + "language": "Objective-C", + "languageColor": "#438eff", + "stars": "13,214", + "forks": "2,273", + "addStars": "30", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1689782?s=40&v=4", + "name": "ffried", + "url": "https://github.com/ffried" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/154913?s=40&v=4", + "name": "bpoplauschi", + "url": "https://github.com/bpoplauschi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1261982?s=40&v=4", + "name": "rivera-ernesto", + "url": "https://github.com/rivera-ernesto" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/304604?s=40&v=4", + "name": "robbiehanson", + "url": "https://github.com/robbiehanson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5669641?s=40&v=4", + "name": "sushichop", + "url": "https://github.com/sushichop" + } + ] + }, { "title": "adjust/ios_sdk", "url": "https://github.com/adjust/ios_sdk", @@ -286,7 +323,7 @@ "description": "Asynchronous image downloader with cache support as a UIImageView category", "language": "Objective-C", "languageColor": "#438eff", - "stars": "25,061", + "stars": "25,062", "forks": "5,960", "addStars": "56", "contributors": [ @@ -317,6 +354,43 @@ } ] }, + { + "title": "facebookincubator/SocketRocket", + "url": "https://github.com/facebookincubator/SocketRocket", + "description": "A conforming Objective-C WebSocket client library.", + "language": "Objective-C", + "languageColor": "#438eff", + "stars": "9,558", + "forks": "2,012", + "addStars": "12", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/21435?s=40&v=4", + "name": "mikelikespie", + "url": "https://github.com/mikelikespie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/606991?s=40&v=4", + "name": "nlutsenko", + "url": "https://github.com/nlutsenko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/139364?s=40&v=4", + "name": "dfed", + "url": "https://github.com/dfed" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1195260?s=40&v=4", + "name": "jleandroperez", + "url": "https://github.com/jleandroperez" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10638?s=40&v=4", + "name": "natan", + "url": "https://github.com/natan" + } + ] + }, { "title": "google/GoogleUtilities", "url": "https://github.com/google/GoogleUtilities", @@ -354,43 +428,6 @@ } ] }, - { - "title": "facebookincubator/SocketRocket", - "url": "https://github.com/facebookincubator/SocketRocket", - "description": "A conforming Objective-C WebSocket client library.", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "9,557", - "forks": "2,012", - "addStars": "12", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/21435?s=40&v=4", - "name": "mikelikespie", - "url": "https://github.com/mikelikespie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/606991?s=40&v=4", - "name": "nlutsenko", - "url": "https://github.com/nlutsenko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/139364?s=40&v=4", - "name": "dfed", - "url": "https://github.com/dfed" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1195260?s=40&v=4", - "name": "jleandroperez", - "url": "https://github.com/jleandroperez" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10638?s=40&v=4", - "name": "natan", - "url": "https://github.com/natan" - } - ] - }, { "title": "SDWebImage/SDWebImageWebPCoder", "url": "https://github.com/SDWebImage/SDWebImageWebPCoder", @@ -465,50 +502,13 @@ } ] }, - { - "title": "CocoaLumberjack/CocoaLumberjack", - "url": "https://github.com/CocoaLumberjack/CocoaLumberjack", - "description": "A fast & simple, yet powerful & flexible logging framework for macOS, iOS, tvOS and watchOS", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "13,214", - "forks": "2,273", - "addStars": "30", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1689782?s=40&v=4", - "name": "ffried", - "url": "https://github.com/ffried" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/154913?s=40&v=4", - "name": "bpoplauschi", - "url": "https://github.com/bpoplauschi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1261982?s=40&v=4", - "name": "rivera-ernesto", - "url": "https://github.com/rivera-ernesto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/304604?s=40&v=4", - "name": "robbiehanson", - "url": "https://github.com/robbiehanson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5669641?s=40&v=4", - "name": "sushichop", - "url": "https://github.com/sushichop" - } - ] - }, { "title": "getsentry/sentry-cocoa", "url": "https://github.com/getsentry/sentry-cocoa", "description": "The official Sentry SDK for iOS, tvOS, macOS, watchOS.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "814", + "stars": "815", "forks": "329", "addStars": "12", "contributors": [ diff --git a/data/monthly/objective-c.xml b/data/monthly/objective-c.xml index 1ac12f93e8d0..c7e26f7eacae 100644 --- a/data/monthly/objective-c.xml +++ b/data/monthly/objective-c.xml @@ -3,7 +3,7 @@ GitHub Objective-c Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Objective-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gnachman/iTerm2 https://github.com/gnachman/iTerm2 @@ -11,7 +11,7 @@ https://github.com/gnachman/iTerm2 Objective-C #438eff - 15,397 + 15,398 1,201 168 @@ -49,7 +49,7 @@ https://github.com/noah-nuebling/mac-mouse-fix Objective-C #438eff - 5,722 + 5,729 161 220 @@ -110,8 +110,8 @@ https://github.com/tisfeng/Easydict Objective-C #438eff - 7,403 - 371 + 7,404 + 372 247 @@ -186,7 +186,7 @@ https://github.com/syncthing/syncthing-macos Objective-C #438eff - 2,758 + 2,759 148 47 @@ -212,6 +212,44 @@ + + CocoaLumberjack/CocoaLumberjack + https://github.com/CocoaLumberjack/CocoaLumberjack + A fast & simple, yet powerful & flexible logging framework for macOS, iOS, tvOS and watchOS + https://github.com/CocoaLumberjack/CocoaLumberjack + Objective-C + #438eff + 13,214 + 2,273 + 30 + + + https://avatars.githubusercontent.com/u/1689782?s=40&v=4 + ffried + https://github.com/ffried + + + https://avatars.githubusercontent.com/u/154913?s=40&v=4 + bpoplauschi + https://github.com/bpoplauschi + + + https://avatars.githubusercontent.com/u/1261982?s=40&v=4 + rivera-ernesto + https://github.com/rivera-ernesto + + + https://avatars.githubusercontent.com/u/304604?s=40&v=4 + robbiehanson + https://github.com/robbiehanson + + + https://avatars.githubusercontent.com/u/5669641?s=40&v=4 + sushichop + https://github.com/sushichop + + + adjust/ios_sdk https://github.com/adjust/ios_sdk @@ -295,7 +333,7 @@ https://github.com/SDWebImage/SDWebImage Objective-C #438eff - 25,061 + 25,062 5,960 56 @@ -326,6 +364,44 @@ + + facebookincubator/SocketRocket + https://github.com/facebookincubator/SocketRocket + A conforming Objective-C WebSocket client library. + https://github.com/facebookincubator/SocketRocket + Objective-C + #438eff + 9,558 + 2,012 + 12 + + + https://avatars.githubusercontent.com/u/21435?s=40&v=4 + mikelikespie + https://github.com/mikelikespie + + + https://avatars.githubusercontent.com/u/606991?s=40&v=4 + nlutsenko + https://github.com/nlutsenko + + + https://avatars.githubusercontent.com/u/139364?s=40&v=4 + dfed + https://github.com/dfed + + + https://avatars.githubusercontent.com/u/1195260?s=40&v=4 + jleandroperez + https://github.com/jleandroperez + + + https://avatars.githubusercontent.com/u/10638?s=40&v=4 + natan + https://github.com/natan + + + google/GoogleUtilities https://github.com/google/GoogleUtilities @@ -364,44 +440,6 @@ - - facebookincubator/SocketRocket - https://github.com/facebookincubator/SocketRocket - A conforming Objective-C WebSocket client library. - https://github.com/facebookincubator/SocketRocket - Objective-C - #438eff - 9,557 - 2,012 - 12 - - - https://avatars.githubusercontent.com/u/21435?s=40&v=4 - mikelikespie - https://github.com/mikelikespie - - - https://avatars.githubusercontent.com/u/606991?s=40&v=4 - nlutsenko - https://github.com/nlutsenko - - - https://avatars.githubusercontent.com/u/139364?s=40&v=4 - dfed - https://github.com/dfed - - - https://avatars.githubusercontent.com/u/1195260?s=40&v=4 - jleandroperez - https://github.com/jleandroperez - - - https://avatars.githubusercontent.com/u/10638?s=40&v=4 - natan - https://github.com/natan - - - SDWebImage/SDWebImageWebPCoder https://github.com/SDWebImage/SDWebImageWebPCoder @@ -478,44 +516,6 @@ - - CocoaLumberjack/CocoaLumberjack - https://github.com/CocoaLumberjack/CocoaLumberjack - A fast & simple, yet powerful & flexible logging framework for macOS, iOS, tvOS and watchOS - https://github.com/CocoaLumberjack/CocoaLumberjack - Objective-C - #438eff - 13,214 - 2,273 - 30 - - - https://avatars.githubusercontent.com/u/1689782?s=40&v=4 - ffried - https://github.com/ffried - - - https://avatars.githubusercontent.com/u/154913?s=40&v=4 - bpoplauschi - https://github.com/bpoplauschi - - - https://avatars.githubusercontent.com/u/1261982?s=40&v=4 - rivera-ernesto - https://github.com/rivera-ernesto - - - https://avatars.githubusercontent.com/u/304604?s=40&v=4 - robbiehanson - https://github.com/robbiehanson - - - https://avatars.githubusercontent.com/u/5669641?s=40&v=4 - sushichop - https://github.com/sushichop - - - getsentry/sentry-cocoa https://github.com/getsentry/sentry-cocoa @@ -523,7 +523,7 @@ https://github.com/getsentry/sentry-cocoa Objective-C #438eff - 814 + 815 329 12 diff --git a/data/monthly/objective-j.json b/data/monthly/objective-j.json index 3ae138cc6da1..9a21ade75481 100644 --- a/data/monthly/objective-j.json +++ b/data/monthly/objective-j.json @@ -2,6 +2,6 @@ "title": "GitHub Objective-j Languages Monthly Trending", "description": "Monthly Trending of Objective-j Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/objective-j.xml b/data/monthly/objective-j.xml index 86ba0005d1bf..36889490ebe4 100644 --- a/data/monthly/objective-j.xml +++ b/data/monthly/objective-j.xml @@ -3,6 +3,6 @@ GitHub Objective-j Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Objective-j Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/objectscript.json b/data/monthly/objectscript.json index d59279adfd56..41b43e5b8e80 100644 --- a/data/monthly/objectscript.json +++ b/data/monthly/objectscript.json @@ -2,6 +2,6 @@ "title": "GitHub Objectscript Languages Monthly Trending", "description": "Monthly Trending of Objectscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/objectscript.xml b/data/monthly/objectscript.xml index 6214d0a49ce6..2d401be1225f 100644 --- a/data/monthly/objectscript.xml +++ b/data/monthly/objectscript.xml @@ -3,6 +3,6 @@ GitHub Objectscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Objectscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ocaml.json b/data/monthly/ocaml.json index 21087dbc5e99..e217dbf5d084 100644 --- a/data/monthly/ocaml.json +++ b/data/monthly/ocaml.json @@ -2,7 +2,7 @@ "title": "GitHub Ocaml Languages Monthly Trending", "description": "Monthly Trending of Ocaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "semgrep/semgrep", @@ -10,7 +10,7 @@ "description": "Lightweight static analysis for many languages. Find bug variants with patterns that look like source code.", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "10,694", + "stars": "10,695", "forks": "627", "addStars": "164", "contributors": [ @@ -47,7 +47,7 @@ "description": "A static analyzer for Java, C, C++, and Objective-C", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "14,995", + "stars": "14,996", "forks": "2,016", "addStars": "50", "contributors": [ @@ -84,7 +84,7 @@ "description": "magic-trace collects and displays high-resolution traces of what a process is doing", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "4,686", + "stars": "4,687", "forks": "91", "addStars": "91", "contributors": [ @@ -152,13 +152,45 @@ } ] }, + { + "title": "coq/coq", + "url": "https://github.com/coq/coq", + "description": "Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs.", + "language": "OCaml", + "languageColor": "#ef7a08", + "stars": "4,870", + "forks": "655", + "addStars": "40", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1202327?s=40&v=4", + "name": "ppedrot", + "url": "https://github.com/ppedrot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2461932?s=40&v=4", + "name": "SkySkimmer", + "url": "https://github.com/SkySkimmer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/460771?s=40&v=4", + "name": "herbelin", + "url": "https://github.com/herbelin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/647105?s=40&v=4", + "name": "maximedenes", + "url": "https://github.com/maximedenes" + } + ] + }, { "title": "bcpierce00/unison", "url": "https://github.com/bcpierce00/unison", "description": "Unison file synchronizer", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "4,190", + "stars": "4,191", "forks": "234", "addStars": "69", "contributors": [ @@ -189,38 +221,6 @@ } ] }, - { - "title": "coq/coq", - "url": "https://github.com/coq/coq", - "description": "Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs.", - "language": "OCaml", - "languageColor": "#ef7a08", - "stars": "4,869", - "forks": "654", - "addStars": "40", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1202327?s=40&v=4", - "name": "ppedrot", - "url": "https://github.com/ppedrot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2461932?s=40&v=4", - "name": "SkySkimmer", - "url": "https://github.com/SkySkimmer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/460771?s=40&v=4", - "name": "herbelin", - "url": "https://github.com/herbelin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/647105?s=40&v=4", - "name": "maximedenes", - "url": "https://github.com/maximedenes" - } - ] - }, { "title": "semgrep/ocaml-tree-sitter-core", "url": "https://github.com/semgrep/ocaml-tree-sitter-core", @@ -257,43 +257,6 @@ "url": "https://github.com/joseemds" } ] - }, - { - "title": "ocaml/dune", - "url": "https://github.com/ocaml/dune", - "description": "A composable build system for OCaml.", - "language": "OCaml", - "languageColor": "#ef7a08", - "stars": "1,641", - "forks": "409", - "addStars": "20", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/139003?s=40&v=4", - "name": "rgrinberg", - "url": "https://github.com/rgrinberg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/496345?s=40&v=4", - "name": "emillon", - "url": "https://github.com/emillon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/617530?s=40&v=4", - "name": "mefyl", - "url": "https://github.com/mefyl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8614547?s=40&v=4", - "name": "Alizter", - "url": "https://github.com/Alizter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/113560?s=40&v=4", - "name": "nojb", - "url": "https://github.com/nojb" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/ocaml.xml b/data/monthly/ocaml.xml index 60a55a3c50b9..de8f832a0eaa 100644 --- a/data/monthly/ocaml.xml +++ b/data/monthly/ocaml.xml @@ -3,7 +3,7 @@ GitHub Ocaml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ocaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT semgrep/semgrep https://github.com/semgrep/semgrep @@ -11,7 +11,7 @@ https://github.com/semgrep/semgrep OCaml #ef7a08 - 10,694 + 10,695 627 164 @@ -49,7 +49,7 @@ https://github.com/facebook/infer OCaml #ef7a08 - 14,995 + 14,996 2,016 50 @@ -87,7 +87,7 @@ https://github.com/janestreet/magic-trace OCaml #ef7a08 - 4,686 + 4,687 91 91 @@ -156,6 +156,39 @@ + + coq/coq + https://github.com/coq/coq + Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs. + https://github.com/coq/coq + OCaml + #ef7a08 + 4,870 + 655 + 40 + + + https://avatars.githubusercontent.com/u/1202327?s=40&v=4 + ppedrot + https://github.com/ppedrot + + + https://avatars.githubusercontent.com/u/2461932?s=40&v=4 + SkySkimmer + https://github.com/SkySkimmer + + + https://avatars.githubusercontent.com/u/460771?s=40&v=4 + herbelin + https://github.com/herbelin + + + https://avatars.githubusercontent.com/u/647105?s=40&v=4 + maximedenes + https://github.com/maximedenes + + + bcpierce00/unison https://github.com/bcpierce00/unison @@ -163,7 +196,7 @@ https://github.com/bcpierce00/unison OCaml #ef7a08 - 4,190 + 4,191 234 69 @@ -194,39 +227,6 @@ - - coq/coq - https://github.com/coq/coq - Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs. - https://github.com/coq/coq - OCaml - #ef7a08 - 4,869 - 654 - 40 - - - https://avatars.githubusercontent.com/u/1202327?s=40&v=4 - ppedrot - https://github.com/ppedrot - - - https://avatars.githubusercontent.com/u/2461932?s=40&v=4 - SkySkimmer - https://github.com/SkySkimmer - - - https://avatars.githubusercontent.com/u/460771?s=40&v=4 - herbelin - https://github.com/herbelin - - - https://avatars.githubusercontent.com/u/647105?s=40&v=4 - maximedenes - https://github.com/maximedenes - - - semgrep/ocaml-tree-sitter-core https://github.com/semgrep/ocaml-tree-sitter-core @@ -265,43 +265,5 @@ - - ocaml/dune - https://github.com/ocaml/dune - A composable build system for OCaml. - https://github.com/ocaml/dune - OCaml - #ef7a08 - 1,641 - 409 - 20 - - - https://avatars.githubusercontent.com/u/139003?s=40&v=4 - rgrinberg - https://github.com/rgrinberg - - - https://avatars.githubusercontent.com/u/496345?s=40&v=4 - emillon - https://github.com/emillon - - - https://avatars.githubusercontent.com/u/617530?s=40&v=4 - mefyl - https://github.com/mefyl - - - https://avatars.githubusercontent.com/u/8614547?s=40&v=4 - Alizter - https://github.com/Alizter - - - https://avatars.githubusercontent.com/u/113560?s=40&v=4 - nojb - https://github.com/nojb - - - \ No newline at end of file diff --git a/data/monthly/odin.json b/data/monthly/odin.json index a43105c4c19f..65e3577a6431 100644 --- a/data/monthly/odin.json +++ b/data/monthly/odin.json @@ -2,7 +2,7 @@ "title": "GitHub Odin Languages Monthly Trending", "description": "Monthly Trending of Odin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "odin-lang/Odin", @@ -11,7 +11,7 @@ "language": "Odin", "languageColor": "#60AFFE", "stars": "7,009", - "forks": "620", + "forks": "621", "addStars": "235", "contributors": [ { @@ -40,43 +40,6 @@ "url": "https://github.com/flysand7" } ] - }, - { - "title": "DanielGavin/ols", - "url": "https://github.com/DanielGavin/ols", - "description": "Language server for Odin", - "language": "Odin", - "languageColor": "#60AFFE", - "stars": "481", - "forks": "71", - "addStars": "28", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5999133?s=40&v=4", - "name": "DanielGavin", - "url": "https://github.com/DanielGavin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24491503?s=40&v=4", - "name": "thetarnav", - "url": "https://github.com/thetarnav" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44361234?s=40&v=4", - "name": "ryuukk", - "url": "https://github.com/ryuukk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20369598?s=40&v=4", - "name": "laytan", - "url": "https://github.com/laytan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/64324649?s=40&v=4", - "name": "nico-barbas", - "url": "https://github.com/nico-barbas" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/odin.xml b/data/monthly/odin.xml index 56f90cb53a9a..b95ade3380b2 100644 --- a/data/monthly/odin.xml +++ b/data/monthly/odin.xml @@ -3,7 +3,7 @@ GitHub Odin Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Odin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT odin-lang/Odin https://github.com/odin-lang/Odin @@ -12,7 +12,7 @@ Odin #60AFFE 7,009 - 620 + 621 235 @@ -42,43 +42,5 @@ - - DanielGavin/ols - https://github.com/DanielGavin/ols - Language server for Odin - https://github.com/DanielGavin/ols - Odin - #60AFFE - 481 - 71 - 28 - - - https://avatars.githubusercontent.com/u/5999133?s=40&v=4 - DanielGavin - https://github.com/DanielGavin - - - https://avatars.githubusercontent.com/u/24491503?s=40&v=4 - thetarnav - https://github.com/thetarnav - - - https://avatars.githubusercontent.com/u/44361234?s=40&v=4 - ryuukk - https://github.com/ryuukk - - - https://avatars.githubusercontent.com/u/20369598?s=40&v=4 - laytan - https://github.com/laytan - - - https://avatars.githubusercontent.com/u/64324649?s=40&v=4 - nico-barbas - https://github.com/nico-barbas - - - \ No newline at end of file diff --git a/data/monthly/omgrofl.json b/data/monthly/omgrofl.json index d6014a36cc8e..6aa000a1dd0c 100644 --- a/data/monthly/omgrofl.json +++ b/data/monthly/omgrofl.json @@ -2,6 +2,6 @@ "title": "GitHub Omgrofl Languages Monthly Trending", "description": "Monthly Trending of Omgrofl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/omgrofl.xml b/data/monthly/omgrofl.xml index 7eaecfc9bf32..1a97a04d59d4 100644 --- a/data/monthly/omgrofl.xml +++ b/data/monthly/omgrofl.xml @@ -3,6 +3,6 @@ GitHub Omgrofl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Omgrofl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ooc.json b/data/monthly/ooc.json index 37e43d5bbf92..b22304986890 100644 --- a/data/monthly/ooc.json +++ b/data/monthly/ooc.json @@ -2,6 +2,6 @@ "title": "GitHub Ooc Languages Monthly Trending", "description": "Monthly Trending of Ooc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ooc.xml b/data/monthly/ooc.xml index 3d7dd4934b13..5089348db5f5 100644 --- a/data/monthly/ooc.xml +++ b/data/monthly/ooc.xml @@ -3,6 +3,6 @@ GitHub Ooc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ooc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/opa.json b/data/monthly/opa.json index a682641f59dd..1cb9e1671ce4 100644 --- a/data/monthly/opa.json +++ b/data/monthly/opa.json @@ -2,6 +2,6 @@ "title": "GitHub Opa Languages Monthly Trending", "description": "Monthly Trending of Opa Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/opa.xml b/data/monthly/opa.xml index 70fbc29ca839..8310c17d5bb6 100644 --- a/data/monthly/opa.xml +++ b/data/monthly/opa.xml @@ -3,6 +3,6 @@ GitHub Opa Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Opa Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/opal.json b/data/monthly/opal.json index bf7cf731302d..7fc3251062d5 100644 --- a/data/monthly/opal.json +++ b/data/monthly/opal.json @@ -2,6 +2,6 @@ "title": "GitHub Opal Languages Monthly Trending", "description": "Monthly Trending of Opal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/opal.xml b/data/monthly/opal.xml index d6872dcfe18d..9d4099e20851 100644 --- a/data/monthly/opal.xml +++ b/data/monthly/opal.xml @@ -3,6 +3,6 @@ GitHub Opal Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Opal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/open-policy-agent.json b/data/monthly/open-policy-agent.json index 494c366721cf..bce01032354f 100644 --- a/data/monthly/open-policy-agent.json +++ b/data/monthly/open-policy-agent.json @@ -2,7 +2,7 @@ "title": "GitHub Open-policy-agent Languages Monthly Trending", "description": "Monthly Trending of Open-policy-agent Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "open-telemetry/semantic-conventions", @@ -42,34 +42,34 @@ ] }, { - "title": "Checkmarx/kics", - "url": "https://github.com/Checkmarx/kics", - "description": "Find security vulnerabilities, compliance issues, and infrastructure misconfigurations early in the development cycle of your infrastructure-as-code with KICS by Checkmarx.", + "title": "open-policy-agent/gatekeeper-library", + "url": "https://github.com/open-policy-agent/gatekeeper-library", + "description": "📚 The OPA Gatekeeper policy library", "language": "Open Policy Agent", "languageColor": "#7d9199", - "stars": "2,100", - "forks": "314", - "addStars": "37", + "stars": "650", + "forks": "321", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/153724638?s=40&v=4", - "name": "ArturRibeiro-CX", - "url": "https://github.com/ArturRibeiro-CX" + "avatar": "https://avatars.githubusercontent.com/u/852750?s=40&v=4", + "name": "sozercan", + "url": "https://github.com/sozercan" }, { - "avatar": "https://avatars.githubusercontent.com/u/111127232?s=40&v=4", - "name": "gabriel-cx", - "url": "https://github.com/gabriel-cx" + "avatar": "https://avatars.githubusercontent.com/u/14035345?s=40&v=4", + "name": "apeabody", + "url": "https://github.com/apeabody" }, { - "avatar": "https://avatars.githubusercontent.com/u/75368139?s=40&v=4", - "name": "rogeriopeixotocx", - "url": "https://github.com/rogeriopeixotocx" + "avatar": "https://avatars.githubusercontent.com/u/1856066?s=40&v=4", + "name": "ritazh", + "url": "https://github.com/ritazh" }, { - "avatar": "https://avatars.githubusercontent.com/u/74001161?s=40&v=4", - "name": "rafaela-soares", - "url": "https://github.com/rafaela-soares" + "avatar": "https://avatars.githubusercontent.com/u/5998310?s=40&v=4", + "name": "maxsmythe", + "url": "https://github.com/maxsmythe" } ] }, @@ -111,34 +111,34 @@ ] }, { - "title": "open-policy-agent/gatekeeper-library", - "url": "https://github.com/open-policy-agent/gatekeeper-library", - "description": "📚 The OPA Gatekeeper policy library", + "title": "Checkmarx/kics", + "url": "https://github.com/Checkmarx/kics", + "description": "Find security vulnerabilities, compliance issues, and infrastructure misconfigurations early in the development cycle of your infrastructure-as-code with KICS by Checkmarx.", "language": "Open Policy Agent", "languageColor": "#7d9199", - "stars": "650", - "forks": "321", - "addStars": "3", + "stars": "2,100", + "forks": "314", + "addStars": "37", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/852750?s=40&v=4", - "name": "sozercan", - "url": "https://github.com/sozercan" + "avatar": "https://avatars.githubusercontent.com/u/153724638?s=40&v=4", + "name": "ArturRibeiro-CX", + "url": "https://github.com/ArturRibeiro-CX" }, { - "avatar": "https://avatars.githubusercontent.com/u/14035345?s=40&v=4", - "name": "apeabody", - "url": "https://github.com/apeabody" + "avatar": "https://avatars.githubusercontent.com/u/111127232?s=40&v=4", + "name": "gabriel-cx", + "url": "https://github.com/gabriel-cx" }, { - "avatar": "https://avatars.githubusercontent.com/u/1856066?s=40&v=4", - "name": "ritazh", - "url": "https://github.com/ritazh" + "avatar": "https://avatars.githubusercontent.com/u/75368139?s=40&v=4", + "name": "rogeriopeixotocx", + "url": "https://github.com/rogeriopeixotocx" }, { - "avatar": "https://avatars.githubusercontent.com/u/5998310?s=40&v=4", - "name": "maxsmythe", - "url": "https://github.com/maxsmythe" + "avatar": "https://avatars.githubusercontent.com/u/74001161?s=40&v=4", + "name": "rafaela-soares", + "url": "https://github.com/rafaela-soares" } ] } diff --git a/data/monthly/open-policy-agent.xml b/data/monthly/open-policy-agent.xml index 68eefe743062..69a3112810bc 100644 --- a/data/monthly/open-policy-agent.xml +++ b/data/monthly/open-policy-agent.xml @@ -3,7 +3,7 @@ GitHub Open-policy-agent Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Open-policy-agent Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT open-telemetry/semantic-conventions https://github.com/open-telemetry/semantic-conventions @@ -43,35 +43,35 @@ - Checkmarx/kics - https://github.com/Checkmarx/kics - Find security vulnerabilities, compliance issues, and infrastructure misconfigurations early in the development cycle of your infrastructure-as-code with KICS by Checkmarx. - https://github.com/Checkmarx/kics + open-policy-agent/gatekeeper-library + https://github.com/open-policy-agent/gatekeeper-library + 📚 The OPA Gatekeeper policy library + https://github.com/open-policy-agent/gatekeeper-library Open Policy Agent #7d9199 - 2,100 - 314 - 37 + 650 + 321 + 3 - https://avatars.githubusercontent.com/u/153724638?s=40&v=4 - ArturRibeiro-CX - https://github.com/ArturRibeiro-CX + https://avatars.githubusercontent.com/u/852750?s=40&v=4 + sozercan + https://github.com/sozercan - https://avatars.githubusercontent.com/u/111127232?s=40&v=4 - gabriel-cx - https://github.com/gabriel-cx + https://avatars.githubusercontent.com/u/14035345?s=40&v=4 + apeabody + https://github.com/apeabody - https://avatars.githubusercontent.com/u/75368139?s=40&v=4 - rogeriopeixotocx - https://github.com/rogeriopeixotocx + https://avatars.githubusercontent.com/u/1856066?s=40&v=4 + ritazh + https://github.com/ritazh - https://avatars.githubusercontent.com/u/74001161?s=40&v=4 - rafaela-soares - https://github.com/rafaela-soares + https://avatars.githubusercontent.com/u/5998310?s=40&v=4 + maxsmythe + https://github.com/maxsmythe @@ -114,35 +114,35 @@ - open-policy-agent/gatekeeper-library - https://github.com/open-policy-agent/gatekeeper-library - 📚 The OPA Gatekeeper policy library - https://github.com/open-policy-agent/gatekeeper-library + Checkmarx/kics + https://github.com/Checkmarx/kics + Find security vulnerabilities, compliance issues, and infrastructure misconfigurations early in the development cycle of your infrastructure-as-code with KICS by Checkmarx. + https://github.com/Checkmarx/kics Open Policy Agent #7d9199 - 650 - 321 - 3 + 2,100 + 314 + 37 - https://avatars.githubusercontent.com/u/852750?s=40&v=4 - sozercan - https://github.com/sozercan + https://avatars.githubusercontent.com/u/153724638?s=40&v=4 + ArturRibeiro-CX + https://github.com/ArturRibeiro-CX - https://avatars.githubusercontent.com/u/14035345?s=40&v=4 - apeabody - https://github.com/apeabody + https://avatars.githubusercontent.com/u/111127232?s=40&v=4 + gabriel-cx + https://github.com/gabriel-cx - https://avatars.githubusercontent.com/u/1856066?s=40&v=4 - ritazh - https://github.com/ritazh + https://avatars.githubusercontent.com/u/75368139?s=40&v=4 + rogeriopeixotocx + https://github.com/rogeriopeixotocx - https://avatars.githubusercontent.com/u/5998310?s=40&v=4 - maxsmythe - https://github.com/maxsmythe + https://avatars.githubusercontent.com/u/74001161?s=40&v=4 + rafaela-soares + https://github.com/rafaela-soares diff --git a/data/monthly/openapi-specification-v2.json b/data/monthly/openapi-specification-v2.json index dbd6dcdab14d..8266c0530c41 100644 --- a/data/monthly/openapi-specification-v2.json +++ b/data/monthly/openapi-specification-v2.json @@ -2,6 +2,6 @@ "title": "GitHub Openapi-specification-v2 Languages Monthly Trending", "description": "Monthly Trending of Openapi-specification-v2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/openapi-specification-v2.xml b/data/monthly/openapi-specification-v2.xml index 583afe3f31f1..233906dde025 100644 --- a/data/monthly/openapi-specification-v2.xml +++ b/data/monthly/openapi-specification-v2.xml @@ -3,6 +3,6 @@ GitHub Openapi-specification-v2 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Openapi-specification-v2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/openapi-specification-v3.json b/data/monthly/openapi-specification-v3.json index 649a9b79c890..f4e47da0087b 100644 --- a/data/monthly/openapi-specification-v3.json +++ b/data/monthly/openapi-specification-v3.json @@ -2,6 +2,6 @@ "title": "GitHub Openapi-specification-v3 Languages Monthly Trending", "description": "Monthly Trending of Openapi-specification-v3 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/openapi-specification-v3.xml b/data/monthly/openapi-specification-v3.xml index 90a568030166..e65bb709cbdb 100644 --- a/data/monthly/openapi-specification-v3.xml +++ b/data/monthly/openapi-specification-v3.xml @@ -3,6 +3,6 @@ GitHub Openapi-specification-v3 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Openapi-specification-v3 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/opencl.json b/data/monthly/opencl.json index 02b65d2394f1..2dbd7bd2b227 100644 --- a/data/monthly/opencl.json +++ b/data/monthly/opencl.json @@ -2,6 +2,6 @@ "title": "GitHub Opencl Languages Monthly Trending", "description": "Monthly Trending of Opencl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/opencl.xml b/data/monthly/opencl.xml index 1ef1080576d1..424a626cc5ac 100644 --- a/data/monthly/opencl.xml +++ b/data/monthly/opencl.xml @@ -3,6 +3,6 @@ GitHub Opencl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Opencl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/openedge-abl.json b/data/monthly/openedge-abl.json index c8599746b325..8f44fd73c67e 100644 --- a/data/monthly/openedge-abl.json +++ b/data/monthly/openedge-abl.json @@ -2,6 +2,6 @@ "title": "GitHub Openedge-abl Languages Monthly Trending", "description": "Monthly Trending of Openedge-abl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/openedge-abl.xml b/data/monthly/openedge-abl.xml index 822389282434..3e4cad0c1872 100644 --- a/data/monthly/openedge-abl.xml +++ b/data/monthly/openedge-abl.xml @@ -3,6 +3,6 @@ GitHub Openedge-abl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Openedge-abl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/openqasm.json b/data/monthly/openqasm.json index de08bd983088..577a9ff5f680 100644 --- a/data/monthly/openqasm.json +++ b/data/monthly/openqasm.json @@ -2,6 +2,6 @@ "title": "GitHub Openqasm Languages Monthly Trending", "description": "Monthly Trending of Openqasm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/openqasm.xml b/data/monthly/openqasm.xml index 022f2c90f489..00d1ee770697 100644 --- a/data/monthly/openqasm.xml +++ b/data/monthly/openqasm.xml @@ -3,6 +3,6 @@ GitHub Openqasm Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Openqasm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/openrc-runscript.json b/data/monthly/openrc-runscript.json index 54e33dd6a496..f8613f2a622b 100644 --- a/data/monthly/openrc-runscript.json +++ b/data/monthly/openrc-runscript.json @@ -2,6 +2,6 @@ "title": "GitHub Openrc-runscript Languages Monthly Trending", "description": "Monthly Trending of Openrc-runscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/openrc-runscript.xml b/data/monthly/openrc-runscript.xml index 6e778c47bdb8..76e1e117813f 100644 --- a/data/monthly/openrc-runscript.xml +++ b/data/monthly/openrc-runscript.xml @@ -3,6 +3,6 @@ GitHub Openrc-runscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Openrc-runscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/openscad.json b/data/monthly/openscad.json index 735fab92832b..b19673508503 100644 --- a/data/monthly/openscad.json +++ b/data/monthly/openscad.json @@ -2,7 +2,7 @@ "title": "GitHub Openscad Languages Monthly Trending", "description": "Monthly Trending of Openscad Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "BelfrySCAD/BOSL2", @@ -78,43 +78,6 @@ } ] }, - { - "title": "ostat/gridfinity_extended_openscad", - "url": "https://github.com/ostat/gridfinity_extended_openscad", - "description": "Gridfinity Extended OpenSCAD Model", - "language": "OpenSCAD", - "languageColor": "#e5cd45", - "stars": "192", - "forks": "21", - "addStars": "25", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2128234?s=40&v=4", - "name": "ostat", - "url": "https://github.com/ostat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/955138?s=40&v=4", - "name": "vector76", - "url": "https://github.com/vector76" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1548809?s=40&v=4", - "name": "MinchinWeb", - "url": "https://github.com/MinchinWeb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5918766?s=40&v=4", - "name": "fmthoma", - "url": "https://github.com/fmthoma" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/37037712?s=40&v=4", - "name": "Monniasza", - "url": "https://github.com/Monniasza" - } - ] - }, { "title": "VoronDesign/VoronUsers", "url": "https://github.com/VoronDesign/VoronUsers", diff --git a/data/monthly/openscad.xml b/data/monthly/openscad.xml index dc286f81eb43..652b37096945 100644 --- a/data/monthly/openscad.xml +++ b/data/monthly/openscad.xml @@ -3,7 +3,7 @@ GitHub Openscad Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Openscad Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT BelfrySCAD/BOSL2 https://github.com/BelfrySCAD/BOSL2 @@ -80,44 +80,6 @@ - - ostat/gridfinity_extended_openscad - https://github.com/ostat/gridfinity_extended_openscad - Gridfinity Extended OpenSCAD Model - https://github.com/ostat/gridfinity_extended_openscad - OpenSCAD - #e5cd45 - 192 - 21 - 25 - - - https://avatars.githubusercontent.com/u/2128234?s=40&v=4 - ostat - https://github.com/ostat - - - https://avatars.githubusercontent.com/u/955138?s=40&v=4 - vector76 - https://github.com/vector76 - - - https://avatars.githubusercontent.com/u/1548809?s=40&v=4 - MinchinWeb - https://github.com/MinchinWeb - - - https://avatars.githubusercontent.com/u/5918766?s=40&v=4 - fmthoma - https://github.com/fmthoma - - - https://avatars.githubusercontent.com/u/37037712?s=40&v=4 - Monniasza - https://github.com/Monniasza - - - VoronDesign/VoronUsers https://github.com/VoronDesign/VoronUsers diff --git a/data/monthly/openstep-property-list.json b/data/monthly/openstep-property-list.json index 9af6c76918ba..9de31dd06ab6 100644 --- a/data/monthly/openstep-property-list.json +++ b/data/monthly/openstep-property-list.json @@ -2,6 +2,6 @@ "title": "GitHub Openstep-property-list Languages Monthly Trending", "description": "Monthly Trending of Openstep-property-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/openstep-property-list.xml b/data/monthly/openstep-property-list.xml index 9e8ec6ec38e6..0c2558545816 100644 --- a/data/monthly/openstep-property-list.xml +++ b/data/monthly/openstep-property-list.xml @@ -3,6 +3,6 @@ GitHub Openstep-property-list Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Openstep-property-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/opentype-feature-file.json b/data/monthly/opentype-feature-file.json index 67df423ed9c6..188efcf661e6 100644 --- a/data/monthly/opentype-feature-file.json +++ b/data/monthly/opentype-feature-file.json @@ -2,6 +2,6 @@ "title": "GitHub Opentype-feature-file Languages Monthly Trending", "description": "Monthly Trending of Opentype-feature-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/opentype-feature-file.xml b/data/monthly/opentype-feature-file.xml index 8adb01200ac9..046fc7c6d39d 100644 --- a/data/monthly/opentype-feature-file.xml +++ b/data/monthly/opentype-feature-file.xml @@ -3,6 +3,6 @@ GitHub Opentype-feature-file Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Opentype-feature-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/option-list.json b/data/monthly/option-list.json index 9cb4bc3fc36b..fa7546681d7d 100644 --- a/data/monthly/option-list.json +++ b/data/monthly/option-list.json @@ -2,6 +2,6 @@ "title": "GitHub Option-list Languages Monthly Trending", "description": "Monthly Trending of Option-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/option-list.xml b/data/monthly/option-list.xml index f719d03a17f5..c64949892448 100644 --- a/data/monthly/option-list.xml +++ b/data/monthly/option-list.xml @@ -3,6 +3,6 @@ GitHub Option-list Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Option-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/org.json b/data/monthly/org.json index b33b0adad8e7..21520da7e410 100644 --- a/data/monthly/org.json +++ b/data/monthly/org.json @@ -2,6 +2,6 @@ "title": "GitHub Org Languages Monthly Trending", "description": "Monthly Trending of Org Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/org.xml b/data/monthly/org.xml index 0586a5b43df2..8683b205e609 100644 --- a/data/monthly/org.xml +++ b/data/monthly/org.xml @@ -3,6 +3,6 @@ GitHub Org Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Org Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ox.json b/data/monthly/ox.json index b577c65c01dd..f7d903b72d0b 100644 --- a/data/monthly/ox.json +++ b/data/monthly/ox.json @@ -2,6 +2,6 @@ "title": "GitHub Ox Languages Monthly Trending", "description": "Monthly Trending of Ox Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ox.xml b/data/monthly/ox.xml index 6e8ff6e501d7..b9d844887653 100644 --- a/data/monthly/ox.xml +++ b/data/monthly/ox.xml @@ -3,6 +3,6 @@ GitHub Ox Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ox Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/oxygene.json b/data/monthly/oxygene.json index 3f4ce6c2fa1f..5780000dea2f 100644 --- a/data/monthly/oxygene.json +++ b/data/monthly/oxygene.json @@ -2,6 +2,6 @@ "title": "GitHub Oxygene Languages Monthly Trending", "description": "Monthly Trending of Oxygene Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/oxygene.xml b/data/monthly/oxygene.xml index 3ee42ee9f85e..d19bf7aedee8 100644 --- a/data/monthly/oxygene.xml +++ b/data/monthly/oxygene.xml @@ -3,6 +3,6 @@ GitHub Oxygene Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Oxygene Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/oz.json b/data/monthly/oz.json index 20744d6b4889..3dda4ece210d 100644 --- a/data/monthly/oz.json +++ b/data/monthly/oz.json @@ -2,6 +2,6 @@ "title": "GitHub Oz Languages Monthly Trending", "description": "Monthly Trending of Oz Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/oz.xml b/data/monthly/oz.xml index 4dd711610f07..4d71baa2dc97 100644 --- a/data/monthly/oz.xml +++ b/data/monthly/oz.xml @@ -3,6 +3,6 @@ GitHub Oz Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Oz Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/p4.json b/data/monthly/p4.json index 52b1c9a59301..b141b8d108b7 100644 --- a/data/monthly/p4.json +++ b/data/monthly/p4.json @@ -2,6 +2,6 @@ "title": "GitHub P4 Languages Monthly Trending", "description": "Monthly Trending of P4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/p4.xml b/data/monthly/p4.xml index de57c735594e..6fb9b5453c2a 100644 --- a/data/monthly/p4.xml +++ b/data/monthly/p4.xml @@ -3,6 +3,6 @@ GitHub P4 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of P4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pact.json b/data/monthly/pact.json index 0f04408cf83d..8ea39fcc7538 100644 --- a/data/monthly/pact.json +++ b/data/monthly/pact.json @@ -2,6 +2,6 @@ "title": "GitHub Pact Languages Monthly Trending", "description": "Monthly Trending of Pact Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pact.xml b/data/monthly/pact.xml index 1caf7bd1c89a..40d63e602fb0 100644 --- a/data/monthly/pact.xml +++ b/data/monthly/pact.xml @@ -3,6 +3,6 @@ GitHub Pact Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pact Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pan.json b/data/monthly/pan.json index 42e401f6e272..9cde5c778cd5 100644 --- a/data/monthly/pan.json +++ b/data/monthly/pan.json @@ -2,6 +2,6 @@ "title": "GitHub Pan Languages Monthly Trending", "description": "Monthly Trending of Pan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pan.xml b/data/monthly/pan.xml index 886877e7bdf7..b6617d165dd1 100644 --- a/data/monthly/pan.xml +++ b/data/monthly/pan.xml @@ -3,6 +3,6 @@ GitHub Pan Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/papyrus.json b/data/monthly/papyrus.json index 80a9c5cc95be..6c1f603d90fe 100644 --- a/data/monthly/papyrus.json +++ b/data/monthly/papyrus.json @@ -2,7 +2,7 @@ "title": "GitHub Papyrus Languages Monthly Trending", "description": "Monthly Trending of Papyrus Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "eeveelo/SexLab", diff --git a/data/monthly/papyrus.xml b/data/monthly/papyrus.xml index f6c20cfd5b19..e2fe9c4bb524 100644 --- a/data/monthly/papyrus.xml +++ b/data/monthly/papyrus.xml @@ -3,7 +3,7 @@ GitHub Papyrus Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Papyrus Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT eeveelo/SexLab https://github.com/eeveelo/SexLab diff --git a/data/monthly/parrot-assembly.json b/data/monthly/parrot-assembly.json index 0a6f5db93d20..769017099f95 100644 --- a/data/monthly/parrot-assembly.json +++ b/data/monthly/parrot-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot-assembly Languages Monthly Trending", "description": "Monthly Trending of Parrot-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/parrot-assembly.xml b/data/monthly/parrot-assembly.xml index 91165a921cde..ae510161d5fd 100644 --- a/data/monthly/parrot-assembly.xml +++ b/data/monthly/parrot-assembly.xml @@ -3,6 +3,6 @@ GitHub Parrot-assembly Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Parrot-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/parrot-internal-representation.json b/data/monthly/parrot-internal-representation.json index 3afc5bda328c..fa57f3908a36 100644 --- a/data/monthly/parrot-internal-representation.json +++ b/data/monthly/parrot-internal-representation.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot-internal-representation Languages Monthly Trending", "description": "Monthly Trending of Parrot-internal-representation Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/parrot-internal-representation.xml b/data/monthly/parrot-internal-representation.xml index ad93c61ae37d..e860b41f7663 100644 --- a/data/monthly/parrot-internal-representation.xml +++ b/data/monthly/parrot-internal-representation.xml @@ -3,6 +3,6 @@ GitHub Parrot-internal-representation Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Parrot-internal-representation Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/parrot.json b/data/monthly/parrot.json index 3910290a2278..64fb2fd0aa1b 100644 --- a/data/monthly/parrot.json +++ b/data/monthly/parrot.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot Languages Monthly Trending", "description": "Monthly Trending of Parrot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/parrot.xml b/data/monthly/parrot.xml index 1cd494619da6..c7fbea2d220c 100644 --- a/data/monthly/parrot.xml +++ b/data/monthly/parrot.xml @@ -3,6 +3,6 @@ GitHub Parrot Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Parrot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pascal.json b/data/monthly/pascal.json index 89d30bac082b..bec85444f7ec 100644 --- a/data/monthly/pascal.json +++ b/data/monthly/pascal.json @@ -2,7 +2,7 @@ "title": "GitHub Pascal Languages Monthly Trending", "description": "Monthly Trending of Pascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "peazip/PeaZip", @@ -10,7 +10,7 @@ "description": "Free Zip / Unzip software and Rar file extractor. Cross-platform file and archive manager. Features volume spanning, compression, authenticated encryption. Supports 7Z, 7-Zip sfx, ACE, ARJ, Brotli, BZ2, CAB, CHM, CPIO, DEB, GZ, ISO, JAR, LHA/LZH, NSIS, OOo, PAQ/LPAQ, PEA, QUAD, RAR, RPM, split, TAR, Z, ZIP, ZIPX, Zstandard.", "language": "Pascal", "languageColor": "#E3F171", - "stars": "4,472", + "stars": "4,471", "forks": "257", "addStars": "255", "contributors": [ @@ -42,39 +42,39 @@ ] }, { - "title": "UltraStar-Deluxe/USDX", - "url": "https://github.com/UltraStar-Deluxe/USDX", - "description": "The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™", + "title": "jrsoftware/issrc", + "url": "https://github.com/jrsoftware/issrc", + "description": "Inno Setup is a free installer for Windows programs. First introduced in 1997, Inno Setup today rivals and even surpasses many commercial installers in feature set and stability.", "language": "Pascal", "languageColor": "#E3F171", - "stars": "872", - "forks": "162", - "addStars": "16", + "stars": "4,329", + "forks": "931", + "addStars": "56", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10870269?s=40&v=4", - "name": "basisbit", - "url": "https://github.com/basisbit" + "avatar": "https://avatars.githubusercontent.com/u/1092369?s=40&v=4", + "name": "martijnlaan", + "url": "https://github.com/martijnlaan" }, { - "avatar": "https://avatars.githubusercontent.com/u/10702429?s=40&v=4", - "name": "s09bQ5", - "url": "https://github.com/s09bQ5" + "avatar": "https://avatars.githubusercontent.com/u/1570593?s=40&v=4", + "name": "jordanrussell", + "url": "https://github.com/jordanrussell" }, { - "avatar": "https://avatars.githubusercontent.com/u/5775429?s=40&v=4", - "name": "barbeque-squared", - "url": "https://github.com/barbeque-squared" + "avatar": "https://avatars.githubusercontent.com/u/4701169?s=40&v=4", + "name": "jogo-", + "url": "https://github.com/jogo-" }, { - "avatar": "https://avatars.githubusercontent.com/u/6833006?s=40&v=4", - "name": "RattleSN4K3", - "url": "https://github.com/RattleSN4K3" + "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", + "name": "dscho", + "url": "https://github.com/dscho" }, { - "avatar": "https://avatars.githubusercontent.com/u/1264014?s=40&v=4", - "name": "daniel-j", - "url": "https://github.com/daniel-j" + "avatar": "https://avatars.githubusercontent.com/u/1308555?s=40&v=4", + "name": "KngStr", + "url": "https://github.com/KngStr" } ] }, @@ -115,6 +115,43 @@ } ] }, + { + "title": "UltraStar-Deluxe/USDX", + "url": "https://github.com/UltraStar-Deluxe/USDX", + "description": "The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "873", + "forks": "162", + "addStars": "16", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10870269?s=40&v=4", + "name": "basisbit", + "url": "https://github.com/basisbit" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10702429?s=40&v=4", + "name": "s09bQ5", + "url": "https://github.com/s09bQ5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5775429?s=40&v=4", + "name": "barbeque-squared", + "url": "https://github.com/barbeque-squared" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6833006?s=40&v=4", + "name": "RattleSN4K3", + "url": "https://github.com/RattleSN4K3" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1264014?s=40&v=4", + "name": "daniel-j", + "url": "https://github.com/daniel-j" + } + ] + }, { "title": "nodemcu/nodemcu-flasher", "url": "https://github.com/nodemcu/nodemcu-flasher", @@ -133,51 +170,46 @@ ] }, { - "title": "jrsoftware/issrc", - "url": "https://github.com/jrsoftware/issrc", - "description": "Inno Setup is a free installer for Windows programs. First introduced in 1997, Inno Setup today rivals and even surpasses many commercial installers in feature set and stability.", + "title": "viniciussanchez/RESTRequest4Delphi", + "url": "https://github.com/viniciussanchez/RESTRequest4Delphi", + "description": "API to consume REST services written in any programming language with support to Lazarus and Delphi", "language": "Pascal", "languageColor": "#E3F171", - "stars": "4,329", - "forks": "931", - "addStars": "56", + "stars": "541", + "forks": "158", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1092369?s=40&v=4", - "name": "martijnlaan", - "url": "https://github.com/martijnlaan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1570593?s=40&v=4", - "name": "jordanrussell", - "url": "https://github.com/jordanrussell" + "avatar": "https://avatars.githubusercontent.com/u/16382981?s=40&v=4", + "name": "viniciussanchez", + "url": "https://github.com/viniciussanchez" }, { - "avatar": "https://avatars.githubusercontent.com/u/4701169?s=40&v=4", - "name": "jogo-", - "url": "https://github.com/jogo-" + "avatar": "https://avatars.githubusercontent.com/u/50463556?s=40&v=4", + "name": "mateusvicente100", + "url": "https://github.com/mateusvicente100" }, { - "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", - "name": "dscho", - "url": "https://github.com/dscho" + "avatar": "https://avatars.githubusercontent.com/u/1155199?s=40&v=4", + "name": "EdZava", + "url": "https://github.com/EdZava" }, { - "avatar": "https://avatars.githubusercontent.com/u/1308555?s=40&v=4", - "name": "KngStr", - "url": "https://github.com/KngStr" + "avatar": "https://avatars.githubusercontent.com/u/56116443?s=40&v=4", + "name": "tiagoistuque", + "url": "https://github.com/tiagoistuque" } ] }, { - "title": "viniciussanchez/RESTRequest4Delphi", - "url": "https://github.com/viniciussanchez/RESTRequest4Delphi", - "description": "API to consume REST services written in any programming language with support to Lazarus and Delphi", + "title": "HashLoad/horse", + "url": "https://github.com/HashLoad/horse", + "description": "Fast, opinionated, minimalist web framework for Delphi", "language": "Pascal", "languageColor": "#E3F171", - "stars": "540", - "forks": "157", - "addStars": "9", + "stars": "1,169", + "forks": "217", + "addStars": "11", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/16382981?s=40&v=4", @@ -185,19 +217,24 @@ "url": "https://github.com/viniciussanchez" }, { - "avatar": "https://avatars.githubusercontent.com/u/50463556?s=40&v=4", - "name": "mateusvicente100", - "url": "https://github.com/mateusvicente100" + "avatar": "https://avatars.githubusercontent.com/u/54585337?s=40&v=4", + "name": "dliocode", + "url": "https://github.com/dliocode" }, { - "avatar": "https://avatars.githubusercontent.com/u/1155199?s=40&v=4", - "name": "EdZava", - "url": "https://github.com/EdZava" + "avatar": "https://avatars.githubusercontent.com/u/9014016?s=40&v=4", + "name": "gabrielbaltazar", + "url": "https://github.com/gabrielbaltazar" }, { - "avatar": "https://avatars.githubusercontent.com/u/56116443?s=40&v=4", - "name": "tiagoistuque", - "url": "https://github.com/tiagoistuque" + "avatar": "https://avatars.githubusercontent.com/u/29682721?s=40&v=4", + "name": "hunsche", + "url": "https://github.com/hunsche" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7402056?s=40&v=4", + "name": "CarlosHe", + "url": "https://github.com/CarlosHe" } ] }, @@ -207,8 +244,8 @@ "description": "Cheat Engine. A development environment focused on modding", "language": "Pascal", "languageColor": "#E3F171", - "stars": "15,076", - "forks": "2,235", + "stars": "15,078", + "forks": "2,236", "addStars": "212", "contributors": [ { @@ -239,39 +276,39 @@ ] }, { - "title": "HashLoad/horse", - "url": "https://github.com/HashLoad/horse", - "description": "Fast, opinionated, minimalist web framework for Delphi", + "title": "HeidiSQL/HeidiSQL", + "url": "https://github.com/HeidiSQL/HeidiSQL", + "description": "A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi", "language": "Pascal", "languageColor": "#E3F171", - "stars": "1,169", - "forks": "217", - "addStars": "11", + "stars": "4,826", + "forks": "458", + "addStars": "55", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16382981?s=40&v=4", - "name": "viniciussanchez", - "url": "https://github.com/viniciussanchez" + "avatar": "https://avatars.githubusercontent.com/u/7986591?s=40&v=4", + "name": "ansgarbecker", + "url": "https://github.com/ansgarbecker" }, { - "avatar": "https://avatars.githubusercontent.com/u/54585337?s=40&v=4", - "name": "dliocode", - "url": "https://github.com/dliocode" + "avatar": "https://avatars.githubusercontent.com/u/1563399?s=40&v=4", + "name": "netinhoteixeira", + "url": "https://github.com/netinhoteixeira" }, { - "avatar": "https://avatars.githubusercontent.com/u/9014016?s=40&v=4", - "name": "gabrielbaltazar", - "url": "https://github.com/gabrielbaltazar" + "avatar": "https://avatars.githubusercontent.com/u/145854?s=40&v=4", + "name": "igitur", + "url": "https://github.com/igitur" }, { - "avatar": "https://avatars.githubusercontent.com/u/29682721?s=40&v=4", - "name": "hunsche", - "url": "https://github.com/hunsche" + "avatar": "https://avatars.githubusercontent.com/u/421851?s=40&v=4", + "name": "rentalhost", + "url": "https://github.com/rentalhost" }, { - "avatar": "https://avatars.githubusercontent.com/u/7402056?s=40&v=4", - "name": "CarlosHe", - "url": "https://github.com/CarlosHe" + "avatar": "https://avatars.githubusercontent.com/u/11897521?s=40&v=4", + "name": "tgoncuoglu", + "url": "https://github.com/tgoncuoglu" } ] }, @@ -297,43 +334,6 @@ } ] }, - { - "title": "stascorp/rdpwrap", - "url": "https://github.com/stascorp/rdpwrap", - "description": "RDP Wrapper Library", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "14,756", - "forks": "3,832", - "addStars": "151", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/578406?s=40&v=4", - "name": "binarymaster", - "url": "https://github.com/binarymaster" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5298618?s=40&v=4", - "name": "WisdomWolf", - "url": "https://github.com/WisdomWolf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3249612?s=40&v=4", - "name": "DerekL0576", - "url": "https://github.com/DerekL0576" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3586205?s=40&v=4", - "name": "galeksandrp", - "url": "https://github.com/galeksandrp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1047813?s=40&v=4", - "name": "ksmyth", - "url": "https://github.com/ksmyth" - } - ] - }, { "title": "nofeletru/UsbAsp-flash", "url": "https://github.com/nofeletru/UsbAsp-flash", @@ -372,39 +372,71 @@ ] }, { - "title": "HeidiSQL/HeidiSQL", - "url": "https://github.com/HeidiSQL/HeidiSQL", - "description": "A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi", + "title": "stascorp/rdpwrap", + "url": "https://github.com/stascorp/rdpwrap", + "description": "RDP Wrapper Library", "language": "Pascal", "languageColor": "#E3F171", - "stars": "4,825", - "forks": "458", - "addStars": "55", + "stars": "14,759", + "forks": "3,832", + "addStars": "151", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7986591?s=40&v=4", - "name": "ansgarbecker", - "url": "https://github.com/ansgarbecker" + "avatar": "https://avatars.githubusercontent.com/u/578406?s=40&v=4", + "name": "binarymaster", + "url": "https://github.com/binarymaster" }, { - "avatar": "https://avatars.githubusercontent.com/u/1563399?s=40&v=4", - "name": "netinhoteixeira", - "url": "https://github.com/netinhoteixeira" + "avatar": "https://avatars.githubusercontent.com/u/5298618?s=40&v=4", + "name": "WisdomWolf", + "url": "https://github.com/WisdomWolf" }, { - "avatar": "https://avatars.githubusercontent.com/u/145854?s=40&v=4", - "name": "igitur", - "url": "https://github.com/igitur" + "avatar": "https://avatars.githubusercontent.com/u/3249612?s=40&v=4", + "name": "DerekL0576", + "url": "https://github.com/DerekL0576" }, { - "avatar": "https://avatars.githubusercontent.com/u/421851?s=40&v=4", - "name": "rentalhost", - "url": "https://github.com/rentalhost" + "avatar": "https://avatars.githubusercontent.com/u/3586205?s=40&v=4", + "name": "galeksandrp", + "url": "https://github.com/galeksandrp" }, { - "avatar": "https://avatars.githubusercontent.com/u/11897521?s=40&v=4", - "name": "tgoncuoglu", - "url": "https://github.com/tgoncuoglu" + "avatar": "https://avatars.githubusercontent.com/u/1047813?s=40&v=4", + "name": "ksmyth", + "url": "https://github.com/ksmyth" + } + ] + }, + { + "title": "pyscripter/pyscripter", + "url": "https://github.com/pyscripter/pyscripter", + "description": "Pyscripter is a feature-rich but lightweight Python IDE", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "994", + "forks": "306", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1311616?s=40&v=4", + "name": "pyscripter", + "url": "https://github.com/pyscripter" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3872576?s=40&v=4", + "name": "luebbe", + "url": "https://github.com/luebbe" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1262554?s=40&v=4", + "name": "bovirus", + "url": "https://github.com/bovirus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26684644?s=40&v=4", + "name": "sk-Prime", + "url": "https://github.com/sk-Prime" } ] }, @@ -445,38 +477,6 @@ } ] }, - { - "title": "pyscripter/pyscripter", - "url": "https://github.com/pyscripter/pyscripter", - "description": "Pyscripter is a feature-rich but lightweight Python IDE", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "994", - "forks": "306", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1311616?s=40&v=4", - "name": "pyscripter", - "url": "https://github.com/pyscripter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3872576?s=40&v=4", - "name": "luebbe", - "url": "https://github.com/luebbe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1262554?s=40&v=4", - "name": "bovirus", - "url": "https://github.com/bovirus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26684644?s=40&v=4", - "name": "sk-Prime", - "url": "https://github.com/sk-Prime" - } - ] - }, { "title": "tarcode-apps/BatteryMode", "url": "https://github.com/tarcode-apps/BatteryMode", @@ -514,87 +514,13 @@ } ] }, - { - "title": "IndySockets/Indy", - "url": "https://github.com/IndySockets/Indy", - "description": "Indy - Internet Direct", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "459", - "forks": "155", - "addStars": "6", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/15006977?s=40&v=4", - "name": "rlebeau", - "url": "https://github.com/rlebeau" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4973808?s=40&v=4", - "name": "corneliusdavid", - "url": "https://github.com/corneliusdavid" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28802083?s=40&v=4", - "name": "Bi0T1N", - "url": "https://github.com/Bi0T1N" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/119573010?s=40&v=4", - "name": "shoraitek", - "url": "https://github.com/shoraitek" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/86416144?s=40&v=4", - "name": "coffeegreg", - "url": "https://github.com/coffeegreg" - } - ] - }, - { - "title": "paolo-rossi/delphi-jose-jwt", - "url": "https://github.com/paolo-rossi/delphi-jose-jwt", - "description": "Delphi implementation of JOSE (JSON Object Signing and Encryption) and JWT (JSON Web Token)", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "452", - "forks": "176", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4686497?s=40&v=4", - "name": "paolo-rossi", - "url": "https://github.com/paolo-rossi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10242580?s=40&v=4", - "name": "wlandgraf", - "url": "https://github.com/wlandgraf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/865670?s=40&v=4", - "name": "ccy", - "url": "https://github.com/ccy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1084729?s=40&v=4", - "name": "fabioxgn", - "url": "https://github.com/fabioxgn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7402056?s=40&v=4", - "name": "CarlosHe", - "url": "https://github.com/CarlosHe" - } - ] - }, { "title": "Embarcadero/Dev-Cpp", "url": "https://github.com/Embarcadero/Dev-Cpp", "description": "A fast, portable, simple, and free C/C++ IDE", "language": "Pascal", "languageColor": "#E3F171", - "stars": "2,604", + "stars": "2,607", "forks": "280", "addStars": "49", "contributors": [ diff --git a/data/monthly/pascal.xml b/data/monthly/pascal.xml index 4a660db72919..2facbeeb44e7 100644 --- a/data/monthly/pascal.xml +++ b/data/monthly/pascal.xml @@ -3,7 +3,7 @@ GitHub Pascal Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT peazip/PeaZip https://github.com/peazip/PeaZip @@ -11,7 +11,7 @@ https://github.com/peazip/PeaZip Pascal #E3F171 - 4,472 + 4,471 257 255 @@ -43,40 +43,40 @@ - UltraStar-Deluxe/USDX - https://github.com/UltraStar-Deluxe/USDX - The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™ - https://github.com/UltraStar-Deluxe/USDX + jrsoftware/issrc + https://github.com/jrsoftware/issrc + Inno Setup is a free installer for Windows programs. First introduced in 1997, Inno Setup today rivals and even surpasses many commercial installers in feature set and stability. + https://github.com/jrsoftware/issrc Pascal #E3F171 - 872 - 162 - 16 + 4,329 + 931 + 56 - https://avatars.githubusercontent.com/u/10870269?s=40&v=4 - basisbit - https://github.com/basisbit + https://avatars.githubusercontent.com/u/1092369?s=40&v=4 + martijnlaan + https://github.com/martijnlaan - https://avatars.githubusercontent.com/u/10702429?s=40&v=4 - s09bQ5 - https://github.com/s09bQ5 + https://avatars.githubusercontent.com/u/1570593?s=40&v=4 + jordanrussell + https://github.com/jordanrussell - https://avatars.githubusercontent.com/u/5775429?s=40&v=4 - barbeque-squared - https://github.com/barbeque-squared + https://avatars.githubusercontent.com/u/4701169?s=40&v=4 + jogo- + https://github.com/jogo- - https://avatars.githubusercontent.com/u/6833006?s=40&v=4 - RattleSN4K3 - https://github.com/RattleSN4K3 + https://avatars.githubusercontent.com/u/127790?s=40&v=4 + dscho + https://github.com/dscho - https://avatars.githubusercontent.com/u/1264014?s=40&v=4 - daniel-j - https://github.com/daniel-j + https://avatars.githubusercontent.com/u/1308555?s=40&v=4 + KngStr + https://github.com/KngStr @@ -119,58 +119,58 @@ - nodemcu/nodemcu-flasher - https://github.com/nodemcu/nodemcu-flasher - A firmware Flash tool for nodemcu - https://github.com/nodemcu/nodemcu-flasher + UltraStar-Deluxe/USDX + https://github.com/UltraStar-Deluxe/USDX + The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™ + https://github.com/UltraStar-Deluxe/USDX Pascal #E3F171 - 2,061 - 791 + 873 + 162 16 - https://avatars.githubusercontent.com/u/394260?s=40&v=4 - vowstar - https://github.com/vowstar + https://avatars.githubusercontent.com/u/10870269?s=40&v=4 + basisbit + https://github.com/basisbit - - - - jrsoftware/issrc - https://github.com/jrsoftware/issrc - Inno Setup is a free installer for Windows programs. First introduced in 1997, Inno Setup today rivals and even surpasses many commercial installers in feature set and stability. - https://github.com/jrsoftware/issrc - Pascal - #E3F171 - 4,329 - 931 - 56 - - https://avatars.githubusercontent.com/u/1092369?s=40&v=4 - martijnlaan - https://github.com/martijnlaan + https://avatars.githubusercontent.com/u/10702429?s=40&v=4 + s09bQ5 + https://github.com/s09bQ5 - https://avatars.githubusercontent.com/u/1570593?s=40&v=4 - jordanrussell - https://github.com/jordanrussell + https://avatars.githubusercontent.com/u/5775429?s=40&v=4 + barbeque-squared + https://github.com/barbeque-squared - https://avatars.githubusercontent.com/u/4701169?s=40&v=4 - jogo- - https://github.com/jogo- + https://avatars.githubusercontent.com/u/6833006?s=40&v=4 + RattleSN4K3 + https://github.com/RattleSN4K3 - https://avatars.githubusercontent.com/u/127790?s=40&v=4 - dscho - https://github.com/dscho + https://avatars.githubusercontent.com/u/1264014?s=40&v=4 + daniel-j + https://github.com/daniel-j + + + + nodemcu/nodemcu-flasher + https://github.com/nodemcu/nodemcu-flasher + A firmware Flash tool for nodemcu + https://github.com/nodemcu/nodemcu-flasher + Pascal + #E3F171 + 2,061 + 791 + 16 + - https://avatars.githubusercontent.com/u/1308555?s=40&v=4 - KngStr - https://github.com/KngStr + https://avatars.githubusercontent.com/u/394260?s=40&v=4 + vowstar + https://github.com/vowstar @@ -181,8 +181,8 @@ https://github.com/viniciussanchez/RESTRequest4Delphi Pascal #E3F171 - 540 - 157 + 541 + 158 9 @@ -207,6 +207,44 @@ + + HashLoad/horse + https://github.com/HashLoad/horse + Fast, opinionated, minimalist web framework for Delphi + https://github.com/HashLoad/horse + Pascal + #E3F171 + 1,169 + 217 + 11 + + + https://avatars.githubusercontent.com/u/16382981?s=40&v=4 + viniciussanchez + https://github.com/viniciussanchez + + + https://avatars.githubusercontent.com/u/54585337?s=40&v=4 + dliocode + https://github.com/dliocode + + + https://avatars.githubusercontent.com/u/9014016?s=40&v=4 + gabrielbaltazar + https://github.com/gabrielbaltazar + + + https://avatars.githubusercontent.com/u/29682721?s=40&v=4 + hunsche + https://github.com/hunsche + + + https://avatars.githubusercontent.com/u/7402056?s=40&v=4 + CarlosHe + https://github.com/CarlosHe + + + cheat-engine/cheat-engine https://github.com/cheat-engine/cheat-engine @@ -214,8 +252,8 @@ https://github.com/cheat-engine/cheat-engine Pascal #E3F171 - 15,076 - 2,235 + 15,078 + 2,236 212 @@ -246,40 +284,40 @@ - HashLoad/horse - https://github.com/HashLoad/horse - Fast, opinionated, minimalist web framework for Delphi - https://github.com/HashLoad/horse + HeidiSQL/HeidiSQL + https://github.com/HeidiSQL/HeidiSQL + A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi + https://github.com/HeidiSQL/HeidiSQL Pascal #E3F171 - 1,169 - 217 - 11 + 4,826 + 458 + 55 - https://avatars.githubusercontent.com/u/16382981?s=40&v=4 - viniciussanchez - https://github.com/viniciussanchez + https://avatars.githubusercontent.com/u/7986591?s=40&v=4 + ansgarbecker + https://github.com/ansgarbecker - https://avatars.githubusercontent.com/u/54585337?s=40&v=4 - dliocode - https://github.com/dliocode + https://avatars.githubusercontent.com/u/1563399?s=40&v=4 + netinhoteixeira + https://github.com/netinhoteixeira - https://avatars.githubusercontent.com/u/9014016?s=40&v=4 - gabrielbaltazar - https://github.com/gabrielbaltazar + https://avatars.githubusercontent.com/u/145854?s=40&v=4 + igitur + https://github.com/igitur - https://avatars.githubusercontent.com/u/29682721?s=40&v=4 - hunsche - https://github.com/hunsche + https://avatars.githubusercontent.com/u/421851?s=40&v=4 + rentalhost + https://github.com/rentalhost - https://avatars.githubusercontent.com/u/7402056?s=40&v=4 - CarlosHe - https://github.com/CarlosHe + https://avatars.githubusercontent.com/u/11897521?s=40&v=4 + tgoncuoglu + https://github.com/tgoncuoglu @@ -306,44 +344,6 @@ - - stascorp/rdpwrap - https://github.com/stascorp/rdpwrap - RDP Wrapper Library - https://github.com/stascorp/rdpwrap - Pascal - #E3F171 - 14,756 - 3,832 - 151 - - - https://avatars.githubusercontent.com/u/578406?s=40&v=4 - binarymaster - https://github.com/binarymaster - - - https://avatars.githubusercontent.com/u/5298618?s=40&v=4 - WisdomWolf - https://github.com/WisdomWolf - - - https://avatars.githubusercontent.com/u/3249612?s=40&v=4 - DerekL0576 - https://github.com/DerekL0576 - - - https://avatars.githubusercontent.com/u/3586205?s=40&v=4 - galeksandrp - https://github.com/galeksandrp - - - https://avatars.githubusercontent.com/u/1047813?s=40&v=4 - ksmyth - https://github.com/ksmyth - - - nofeletru/UsbAsp-flash https://github.com/nofeletru/UsbAsp-flash @@ -383,40 +383,73 @@ - HeidiSQL/HeidiSQL - https://github.com/HeidiSQL/HeidiSQL - A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi - https://github.com/HeidiSQL/HeidiSQL + stascorp/rdpwrap + https://github.com/stascorp/rdpwrap + RDP Wrapper Library + https://github.com/stascorp/rdpwrap Pascal #E3F171 - 4,825 - 458 - 55 + 14,759 + 3,832 + 151 - https://avatars.githubusercontent.com/u/7986591?s=40&v=4 - ansgarbecker - https://github.com/ansgarbecker + https://avatars.githubusercontent.com/u/578406?s=40&v=4 + binarymaster + https://github.com/binarymaster - https://avatars.githubusercontent.com/u/1563399?s=40&v=4 - netinhoteixeira - https://github.com/netinhoteixeira + https://avatars.githubusercontent.com/u/5298618?s=40&v=4 + WisdomWolf + https://github.com/WisdomWolf - https://avatars.githubusercontent.com/u/145854?s=40&v=4 - igitur - https://github.com/igitur + https://avatars.githubusercontent.com/u/3249612?s=40&v=4 + DerekL0576 + https://github.com/DerekL0576 - https://avatars.githubusercontent.com/u/421851?s=40&v=4 - rentalhost - https://github.com/rentalhost + https://avatars.githubusercontent.com/u/3586205?s=40&v=4 + galeksandrp + https://github.com/galeksandrp - https://avatars.githubusercontent.com/u/11897521?s=40&v=4 - tgoncuoglu - https://github.com/tgoncuoglu + https://avatars.githubusercontent.com/u/1047813?s=40&v=4 + ksmyth + https://github.com/ksmyth + + + + + pyscripter/pyscripter + https://github.com/pyscripter/pyscripter + Pyscripter is a feature-rich but lightweight Python IDE + https://github.com/pyscripter/pyscripter + Pascal + #E3F171 + 994 + 306 + 8 + + + https://avatars.githubusercontent.com/u/1311616?s=40&v=4 + pyscripter + https://github.com/pyscripter + + + https://avatars.githubusercontent.com/u/3872576?s=40&v=4 + luebbe + https://github.com/luebbe + + + https://avatars.githubusercontent.com/u/1262554?s=40&v=4 + bovirus + https://github.com/bovirus + + + https://avatars.githubusercontent.com/u/26684644?s=40&v=4 + sk-Prime + https://github.com/sk-Prime @@ -458,39 +491,6 @@ - - pyscripter/pyscripter - https://github.com/pyscripter/pyscripter - Pyscripter is a feature-rich but lightweight Python IDE - https://github.com/pyscripter/pyscripter - Pascal - #E3F171 - 994 - 306 - 8 - - - https://avatars.githubusercontent.com/u/1311616?s=40&v=4 - pyscripter - https://github.com/pyscripter - - - https://avatars.githubusercontent.com/u/3872576?s=40&v=4 - luebbe - https://github.com/luebbe - - - https://avatars.githubusercontent.com/u/1262554?s=40&v=4 - bovirus - https://github.com/bovirus - - - https://avatars.githubusercontent.com/u/26684644?s=40&v=4 - sk-Prime - https://github.com/sk-Prime - - - tarcode-apps/BatteryMode https://github.com/tarcode-apps/BatteryMode @@ -529,82 +529,6 @@ - - IndySockets/Indy - https://github.com/IndySockets/Indy - Indy - Internet Direct - https://github.com/IndySockets/Indy - Pascal - #E3F171 - 459 - 155 - 6 - - - https://avatars.githubusercontent.com/u/15006977?s=40&v=4 - rlebeau - https://github.com/rlebeau - - - https://avatars.githubusercontent.com/u/4973808?s=40&v=4 - corneliusdavid - https://github.com/corneliusdavid - - - https://avatars.githubusercontent.com/u/28802083?s=40&v=4 - Bi0T1N - https://github.com/Bi0T1N - - - https://avatars.githubusercontent.com/u/119573010?s=40&v=4 - shoraitek - https://github.com/shoraitek - - - https://avatars.githubusercontent.com/u/86416144?s=40&v=4 - coffeegreg - https://github.com/coffeegreg - - - - - paolo-rossi/delphi-jose-jwt - https://github.com/paolo-rossi/delphi-jose-jwt - Delphi implementation of JOSE (JSON Object Signing and Encryption) and JWT (JSON Web Token) - https://github.com/paolo-rossi/delphi-jose-jwt - Pascal - #E3F171 - 452 - 176 - 2 - - - https://avatars.githubusercontent.com/u/4686497?s=40&v=4 - paolo-rossi - https://github.com/paolo-rossi - - - https://avatars.githubusercontent.com/u/10242580?s=40&v=4 - wlandgraf - https://github.com/wlandgraf - - - https://avatars.githubusercontent.com/u/865670?s=40&v=4 - ccy - https://github.com/ccy - - - https://avatars.githubusercontent.com/u/1084729?s=40&v=4 - fabioxgn - https://github.com/fabioxgn - - - https://avatars.githubusercontent.com/u/7402056?s=40&v=4 - CarlosHe - https://github.com/CarlosHe - - - Embarcadero/Dev-Cpp https://github.com/Embarcadero/Dev-Cpp @@ -612,7 +536,7 @@ https://github.com/Embarcadero/Dev-Cpp Pascal #E3F171 - 2,604 + 2,607 280 49 diff --git a/data/monthly/pawn.json b/data/monthly/pawn.json index 04ee50cd6399..3f36d381cd08 100644 --- a/data/monthly/pawn.json +++ b/data/monthly/pawn.json @@ -2,6 +2,6 @@ "title": "GitHub Pawn Languages Monthly Trending", "description": "Monthly Trending of Pawn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pawn.xml b/data/monthly/pawn.xml index a51f0113f914..c99e975ae9b1 100644 --- a/data/monthly/pawn.xml +++ b/data/monthly/pawn.xml @@ -3,6 +3,6 @@ GitHub Pawn Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pawn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pddl.json b/data/monthly/pddl.json index a2a6919bde7a..1b6059828c49 100644 --- a/data/monthly/pddl.json +++ b/data/monthly/pddl.json @@ -2,6 +2,6 @@ "title": "GitHub Pddl Languages Monthly Trending", "description": "Monthly Trending of Pddl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pddl.xml b/data/monthly/pddl.xml index 332e8df402c8..73bbb96bea57 100644 --- a/data/monthly/pddl.xml +++ b/data/monthly/pddl.xml @@ -3,6 +3,6 @@ GitHub Pddl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pddl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/peg.js.json b/data/monthly/peg.js.json index 68aba1b5fdab..eea90771fe49 100644 --- a/data/monthly/peg.js.json +++ b/data/monthly/peg.js.json @@ -2,6 +2,6 @@ "title": "GitHub Peg.js Languages Monthly Trending", "description": "Monthly Trending of Peg.js Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/peg.js.xml b/data/monthly/peg.js.xml index d6dd851963cd..876e5fdd6065 100644 --- a/data/monthly/peg.js.xml +++ b/data/monthly/peg.js.xml @@ -3,6 +3,6 @@ GitHub Peg.js Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Peg.js Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pep8.json b/data/monthly/pep8.json index 55f9253d5c53..4cfa9efc6d43 100644 --- a/data/monthly/pep8.json +++ b/data/monthly/pep8.json @@ -2,6 +2,6 @@ "title": "GitHub Pep8 Languages Monthly Trending", "description": "Monthly Trending of Pep8 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pep8.xml b/data/monthly/pep8.xml index e363fec6128f..eb8f740973ae 100644 --- a/data/monthly/pep8.xml +++ b/data/monthly/pep8.xml @@ -3,6 +3,6 @@ GitHub Pep8 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pep8 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/perl.json b/data/monthly/perl.json index 8bc05075344f..8a573da37293 100644 --- a/data/monthly/perl.json +++ b/data/monthly/perl.json @@ -2,7 +2,7 @@ "title": "GitHub Perl Languages Monthly Trending", "description": "Monthly Trending of Perl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "brendangregg/FlameGraph", @@ -47,7 +47,7 @@ "description": "cloc counts blank lines, comment lines, and physical lines of source code in many programming languages.", "language": "Perl", "languageColor": "#0298c3", - "stars": "19,730", + "stars": "19,734", "forks": "1,022", "addStars": "237", "contributors": [ @@ -84,7 +84,7 @@ "description": "Nikto web server scanner", "language": "Perl", "languageColor": "#0298c3", - "stars": "8,646", + "stars": "8,647", "forks": "1,249", "addStars": "138", "contributors": [ @@ -248,50 +248,13 @@ } ] }, - { - "title": "imapsync/imapsync", - "url": "https://github.com/imapsync/imapsync", - "description": "Imapsync is an IMAP transfers tool. The purpose of imapsync is to migrate IMAP accounts or to backup IMAP accounts. IMAP is one of the three current standard protocols to access mailboxes, the two others are POP3 and HTTP with webmails, webmails are often tied to an IMAP server. Upstream website is", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "3,395", - "forks": "472", - "addStars": "38", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/111256?s=40&v=4", - "name": "nbebout", - "url": "https://github.com/nbebout" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3310469?s=40&v=4", - "name": "gilleslamiral", - "url": "https://github.com/gilleslamiral" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5670510?s=40&v=4", - "name": "danielwagn3r", - "url": "https://github.com/danielwagn3r" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89133?s=40&v=4", - "name": "rzr", - "url": "https://github.com/rzr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/290626?s=40&v=4", - "name": "jazzzz", - "url": "https://github.com/jazzzz" - } - ] - }, { "title": "ocpi/ocpi", "url": "https://github.com/ocpi/ocpi", "description": "The Open Charge Point Interface (OCPI) allows for a scalable, automated roaming setup between Charge Point Operators and e-Mobility Service Providers. It supports authorisation, charge point information exchange (incl transaction events), charge detail record exchange and finally, the exchange of smart-charging commands between parties.", "language": "Perl", "languageColor": "#0298c3", - "stars": "456", + "stars": "457", "forks": "205", "addStars": "5", "contributors": [ @@ -359,6 +322,43 @@ } ] }, + { + "title": "imapsync/imapsync", + "url": "https://github.com/imapsync/imapsync", + "description": "Imapsync is an IMAP transfers tool. The purpose of imapsync is to migrate IMAP accounts or to backup IMAP accounts. IMAP is one of the three current standard protocols to access mailboxes, the two others are POP3 and HTTP with webmails, webmails are often tied to an IMAP server. Upstream website is", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "3,395", + "forks": "472", + "addStars": "38", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/111256?s=40&v=4", + "name": "nbebout", + "url": "https://github.com/nbebout" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3310469?s=40&v=4", + "name": "gilleslamiral", + "url": "https://github.com/gilleslamiral" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5670510?s=40&v=4", + "name": "danielwagn3r", + "url": "https://github.com/danielwagn3r" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89133?s=40&v=4", + "name": "rzr", + "url": "https://github.com/rzr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/290626?s=40&v=4", + "name": "jazzzz", + "url": "https://github.com/jazzzz" + } + ] + }, { "title": "tseemann/prokka", "url": "https://github.com/tseemann/prokka", @@ -433,43 +433,6 @@ } ] }, - { - "title": "LMS-Community/slimserver", - "url": "https://github.com/LMS-Community/slimserver", - "description": "Server for Squeezebox and compatible players. This server is also called Lyrion Music Server.", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "1,202", - "forks": "299", - "addStars": "26", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1515327?s=40&v=4", - "name": "mherger", - "url": "https://github.com/mherger" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1750332?s=40&v=4", - "name": "triode", - "url": "https://github.com/triode" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1647178?s=40&v=4", - "name": "logitech-replication", - "url": "https://github.com/logitech-replication" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9712599?s=40&v=4", - "name": "philippe44", - "url": "https://github.com/philippe44" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2789989?s=40&v=4", - "name": "michaelherger", - "url": "https://github.com/michaelherger" - } - ] - }, { "title": "ThePrimeagen/.dotfiles", "url": "https://github.com/ThePrimeagen/.dotfiles", @@ -501,6 +464,80 @@ "url": "https://github.com/PongPong" } ] + }, + { + "title": "Difegue/LANraragi", + "url": "https://github.com/Difegue/LANraragi", + "description": "Web application for archival and reading of manga/doujinshi. Lightweight and Docker-ready for NAS/servers.", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "2,270", + "forks": "160", + "addStars": "57", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8237712?s=40&v=4", + "name": "Difegue", + "url": "https://github.com/Difegue" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/124937394?s=40&v=4", + "name": "siliconfeces", + "url": "https://github.com/siliconfeces" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10560038?s=40&v=4", + "name": "xiazeyu", + "url": "https://github.com/xiazeyu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6740726?s=40&v=4", + "name": "Bl4Cc4t", + "url": "https://github.com/Bl4Cc4t" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1447794?s=40&v=4", + "name": "CirnoT", + "url": "https://github.com/CirnoT" + } + ] + }, + { + "title": "get-iplayer/get_iplayer", + "url": "https://github.com/get-iplayer/get_iplayer", + "description": "A utility for downloading TV and radio programmes from BBC iPlayer and BBC Sounds", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "2,169", + "forks": "234", + "addStars": "29", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/243976?s=40&v=4", + "name": "dinkypumpkin", + "url": "https://github.com/dinkypumpkin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32044623?s=40&v=4", + "name": "notnac", + "url": "https://github.com/notnac" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/911239?s=40&v=4", + "name": "dwmw2", + "url": "https://github.com/dwmw2" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/765435?s=40&v=4", + "name": "jon-hedgerows", + "url": "https://github.com/jon-hedgerows" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/377024?s=40&v=4", + "name": "welwood08", + "url": "https://github.com/welwood08" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/perl.xml b/data/monthly/perl.xml index 37661597ca6f..30560023ddb4 100644 --- a/data/monthly/perl.xml +++ b/data/monthly/perl.xml @@ -3,7 +3,7 @@ GitHub Perl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Perl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT brendangregg/FlameGraph https://github.com/brendangregg/FlameGraph @@ -49,7 +49,7 @@ https://github.com/AlDanial/cloc Perl #0298c3 - 19,730 + 19,734 1,022 237 @@ -87,7 +87,7 @@ https://github.com/sullo/nikto Perl #0298c3 - 8,646 + 8,647 1,249 138 @@ -255,44 +255,6 @@ - - imapsync/imapsync - https://github.com/imapsync/imapsync - Imapsync is an IMAP transfers tool. The purpose of imapsync is to migrate IMAP accounts or to backup IMAP accounts. IMAP is one of the three current standard protocols to access mailboxes, the two others are POP3 and HTTP with webmails, webmails are often tied to an IMAP server. Upstream website is - https://github.com/imapsync/imapsync - Perl - #0298c3 - 3,395 - 472 - 38 - - - https://avatars.githubusercontent.com/u/111256?s=40&v=4 - nbebout - https://github.com/nbebout - - - https://avatars.githubusercontent.com/u/3310469?s=40&v=4 - gilleslamiral - https://github.com/gilleslamiral - - - https://avatars.githubusercontent.com/u/5670510?s=40&v=4 - danielwagn3r - https://github.com/danielwagn3r - - - https://avatars.githubusercontent.com/u/89133?s=40&v=4 - rzr - https://github.com/rzr - - - https://avatars.githubusercontent.com/u/290626?s=40&v=4 - jazzzz - https://github.com/jazzzz - - - ocpi/ocpi https://github.com/ocpi/ocpi @@ -300,7 +262,7 @@ https://github.com/ocpi/ocpi Perl #0298c3 - 456 + 457 205 5 @@ -369,6 +331,44 @@ + + imapsync/imapsync + https://github.com/imapsync/imapsync + Imapsync is an IMAP transfers tool. The purpose of imapsync is to migrate IMAP accounts or to backup IMAP accounts. IMAP is one of the three current standard protocols to access mailboxes, the two others are POP3 and HTTP with webmails, webmails are often tied to an IMAP server. Upstream website is + https://github.com/imapsync/imapsync + Perl + #0298c3 + 3,395 + 472 + 38 + + + https://avatars.githubusercontent.com/u/111256?s=40&v=4 + nbebout + https://github.com/nbebout + + + https://avatars.githubusercontent.com/u/3310469?s=40&v=4 + gilleslamiral + https://github.com/gilleslamiral + + + https://avatars.githubusercontent.com/u/5670510?s=40&v=4 + danielwagn3r + https://github.com/danielwagn3r + + + https://avatars.githubusercontent.com/u/89133?s=40&v=4 + rzr + https://github.com/rzr + + + https://avatars.githubusercontent.com/u/290626?s=40&v=4 + jazzzz + https://github.com/jazzzz + + + tseemann/prokka https://github.com/tseemann/prokka @@ -445,44 +445,6 @@ - - LMS-Community/slimserver - https://github.com/LMS-Community/slimserver - Server for Squeezebox and compatible players. This server is also called Lyrion Music Server. - https://github.com/LMS-Community/slimserver - Perl - #0298c3 - 1,202 - 299 - 26 - - - https://avatars.githubusercontent.com/u/1515327?s=40&v=4 - mherger - https://github.com/mherger - - - https://avatars.githubusercontent.com/u/1750332?s=40&v=4 - triode - https://github.com/triode - - - https://avatars.githubusercontent.com/u/1647178?s=40&v=4 - logitech-replication - https://github.com/logitech-replication - - - https://avatars.githubusercontent.com/u/9712599?s=40&v=4 - philippe44 - https://github.com/philippe44 - - - https://avatars.githubusercontent.com/u/2789989?s=40&v=4 - michaelherger - https://github.com/michaelherger - - - ThePrimeagen/.dotfiles https://github.com/ThePrimeagen/.dotfiles @@ -516,5 +478,81 @@ + + Difegue/LANraragi + https://github.com/Difegue/LANraragi + Web application for archival and reading of manga/doujinshi. Lightweight and Docker-ready for NAS/servers. + https://github.com/Difegue/LANraragi + Perl + #0298c3 + 2,270 + 160 + 57 + + + https://avatars.githubusercontent.com/u/8237712?s=40&v=4 + Difegue + https://github.com/Difegue + + + https://avatars.githubusercontent.com/u/124937394?s=40&v=4 + siliconfeces + https://github.com/siliconfeces + + + https://avatars.githubusercontent.com/u/10560038?s=40&v=4 + xiazeyu + https://github.com/xiazeyu + + + https://avatars.githubusercontent.com/u/6740726?s=40&v=4 + Bl4Cc4t + https://github.com/Bl4Cc4t + + + https://avatars.githubusercontent.com/u/1447794?s=40&v=4 + CirnoT + https://github.com/CirnoT + + + + + get-iplayer/get_iplayer + https://github.com/get-iplayer/get_iplayer + A utility for downloading TV and radio programmes from BBC iPlayer and BBC Sounds + https://github.com/get-iplayer/get_iplayer + Perl + #0298c3 + 2,169 + 234 + 29 + + + https://avatars.githubusercontent.com/u/243976?s=40&v=4 + dinkypumpkin + https://github.com/dinkypumpkin + + + https://avatars.githubusercontent.com/u/32044623?s=40&v=4 + notnac + https://github.com/notnac + + + https://avatars.githubusercontent.com/u/911239?s=40&v=4 + dwmw2 + https://github.com/dwmw2 + + + https://avatars.githubusercontent.com/u/765435?s=40&v=4 + jon-hedgerows + https://github.com/jon-hedgerows + + + https://avatars.githubusercontent.com/u/377024?s=40&v=4 + welwood08 + https://github.com/welwood08 + + + \ No newline at end of file diff --git a/data/monthly/php.json b/data/monthly/php.json index 4446fe9989b3..3707b5d1b56f 100644 --- a/data/monthly/php.json +++ b/data/monthly/php.json @@ -2,7 +2,7 @@ "title": "GitHub Php Languages Monthly Trending", "description": "Monthly Trending of Php Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "filamentphp/filament", @@ -10,8 +10,8 @@ "description": "A collection of beautiful full-stack components for Laravel. The perfect starting point for your next app. Using Livewire, Alpine.js and Tailwind CSS.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "19,456", - "forks": "2,972", + "stars": "19,460", + "forks": "2,970", "addStars": "886", "contributors": [ { @@ -42,34 +42,56 @@ ] }, { - "title": "assimon/dujiaoka", - "url": "https://github.com/assimon/dujiaoka", - "description": "🦄独角数卡(自动售货系统)-开源站长自动化售货解决方案、高效、稳定、快速!🚀🚀🎉🎉", + "title": "phpstan/phpstan", + "url": "https://github.com/phpstan/phpstan", + "description": "PHP Static Analysis Tool - discover bugs in your code without running it!", "language": "PHP", "languageColor": "#4F5D95", - "stars": "9,652", - "forks": "2,263", - "addStars": "346", + "stars": "13,018", + "forks": "888", + "addStars": "144", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/35057561?s=40&v=4", - "name": "assimon", - "url": "https://github.com/assimon" + "avatar": "https://avatars.githubusercontent.com/u/104888?s=40&v=4", + "name": "ondrejmirtes", + "url": "https://github.com/ondrejmirtes" }, { - "avatar": "https://avatars.githubusercontent.com/u/33471066?s=40&v=4", - "name": "bimoe", - "url": "https://github.com/bimoe" + "avatar": "https://avatars.githubusercontent.com/u/79867460?s=40&v=4", + "name": "phpstan-bot", + "url": "https://github.com/phpstan-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/46492039?s=40&v=4", - "name": "Julyssn", - "url": "https://github.com/Julyssn" + "avatar": "https://avatars.githubusercontent.com/u/175109?s=40&v=4", + "name": "JanTvrdik", + "url": "https://github.com/JanTvrdik" + } + ] + }, + { + "title": "DenverCoder1/github-readme-streak-stats", + "url": "https://github.com/DenverCoder1/github-readme-streak-stats", + "description": "🔥 Stay motivated and show off your contribution streak! 🌟 Display your total contributions, current streak, and longest streak on your GitHub profile README", + "language": "PHP", + "languageColor": "#4F5D95", + "stars": "4,889", + "forks": "766", + "addStars": "140", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/20955511?s=40&v=4", + "name": "DenverCoder1", + "url": "https://github.com/DenverCoder1" }, { - "avatar": "https://avatars.githubusercontent.com/u/22910338?s=40&v=4", - "name": "ZhangYiQiu", - "url": "https://github.com/ZhangYiQiu" + "avatar": "https://avatars.githubusercontent.com/u/30869493?s=40&v=4", + "name": "schmelto", + "url": "https://github.com/schmelto" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86386385?s=40&v=4", + "name": "FajarKim", + "url": "https://github.com/FajarKim" } ] }, @@ -79,8 +101,8 @@ "description": "The Symfony PHP framework", "language": "PHP", "languageColor": "#4F5D95", - "stars": "29,820", - "forks": "9,476", + "stars": "29,821", + "forks": "9,477", "addStars": "133", "contributors": [ { @@ -111,56 +133,34 @@ ] }, { - "title": "phpstan/phpstan", - "url": "https://github.com/phpstan/phpstan", - "description": "PHP Static Analysis Tool - discover bugs in your code without running it!", + "title": "assimon/dujiaoka", + "url": "https://github.com/assimon/dujiaoka", + "description": "🦄独角数卡(自动售货系统)-开源站长自动化售货解决方案、高效、稳定、快速!🚀🚀🎉🎉", "language": "PHP", "languageColor": "#4F5D95", - "stars": "13,017", - "forks": "888", - "addStars": "144", + "stars": "9,652", + "forks": "2,263", + "addStars": "346", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/104888?s=40&v=4", - "name": "ondrejmirtes", - "url": "https://github.com/ondrejmirtes" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79867460?s=40&v=4", - "name": "phpstan-bot", - "url": "https://github.com/phpstan-bot" + "avatar": "https://avatars.githubusercontent.com/u/35057561?s=40&v=4", + "name": "assimon", + "url": "https://github.com/assimon" }, { - "avatar": "https://avatars.githubusercontent.com/u/175109?s=40&v=4", - "name": "JanTvrdik", - "url": "https://github.com/JanTvrdik" - } - ] - }, - { - "title": "DenverCoder1/github-readme-streak-stats", - "url": "https://github.com/DenverCoder1/github-readme-streak-stats", - "description": "🔥 Stay motivated and show off your contribution streak! 🌟 Display your total contributions, current streak, and longest streak on your GitHub profile README", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "4,889", - "forks": "766", - "addStars": "140", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20955511?s=40&v=4", - "name": "DenverCoder1", - "url": "https://github.com/DenverCoder1" + "avatar": "https://avatars.githubusercontent.com/u/33471066?s=40&v=4", + "name": "bimoe", + "url": "https://github.com/bimoe" }, { - "avatar": "https://avatars.githubusercontent.com/u/30869493?s=40&v=4", - "name": "schmelto", - "url": "https://github.com/schmelto" + "avatar": "https://avatars.githubusercontent.com/u/46492039?s=40&v=4", + "name": "Julyssn", + "url": "https://github.com/Julyssn" }, { - "avatar": "https://avatars.githubusercontent.com/u/86386385?s=40&v=4", - "name": "FajarKim", - "url": "https://github.com/FajarKim" + "avatar": "https://avatars.githubusercontent.com/u/22910338?s=40&v=4", + "name": "ZhangYiQiu", + "url": "https://github.com/ZhangYiQiu" } ] }, @@ -170,7 +170,7 @@ "description": "Leantime is a goals focused project management system for non-project managers. Building with ADHD, Autism, and dyslexia in mind.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,784", + "stars": "4,787", "forks": "597", "addStars": "252", "contributors": [ @@ -201,35 +201,13 @@ } ] }, - { - "title": "firefly-iii/firefly-iii", - "url": "https://github.com/firefly-iii/firefly-iii", - "description": "Firefly III: a personal finances manager", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "16,357", - "forks": "1,483", - "addStars": "342", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5889984?s=40&v=4", - "name": "JC5", - "url": "https://github.com/JC5" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34862846?s=40&v=4", - "name": "sephrat", - "url": "https://github.com/sephrat" - } - ] - }, { "title": "nextcloud/all-in-one", "url": "https://github.com/nextcloud/all-in-one", "description": "📦 The official Nextcloud installation method. Provides easy deployment and maintenance with most features included in this one Nextcloud instance.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "5,653", + "stars": "5,658", "forks": "656", "addStars": "238", "contributors": [ @@ -255,45 +233,13 @@ } ] }, - { - "title": "danielmiessler/SecLists", - "url": "https://github.com/danielmiessler/SecLists", - "description": "SecLists is the security tester's companion. It's a collection of multiple types of lists used during security assessments, collected in one place. List types include usernames, passwords, URLs, sensitive data patterns, fuzzing payloads, web shells, and many more.", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "58,819", - "forks": "23,945", - "addStars": "850", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/535942?s=40&v=4", - "name": "g0tmi1k", - "url": "https://github.com/g0tmi1k" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12743076?s=40&v=4", - "name": "throwaway-people", - "url": "https://github.com/throwaway-people" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50654?s=40&v=4", - "name": "danielmiessler", - "url": "https://github.com/danielmiessler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/133737702?s=40&v=4", - "name": "molangning", - "url": "https://github.com/molangning" - } - ] - }, { "title": "PHPOffice/PHPWord", "url": "https://github.com/PHPOffice/PHPWord", "description": "A pure PHP library for reading and writing word processing documents", "language": "PHP", "languageColor": "#4F5D95", - "stars": "7,286", + "stars": "7,287", "forks": "2,697", "addStars": "45", "contributors": [ @@ -325,39 +271,56 @@ ] }, { - "title": "BookStackApp/BookStack", - "url": "https://github.com/BookStackApp/BookStack", - "description": "A platform to create documentation/wiki content built with PHP & Laravel", + "title": "firefly-iii/firefly-iii", + "url": "https://github.com/firefly-iii/firefly-iii", + "description": "Firefly III: a personal finances manager", "language": "PHP", "languageColor": "#4F5D95", - "stars": "15,451", - "forks": "1,939", - "addStars": "285", + "stars": "16,360", + "forks": "1,483", + "addStars": "342", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8343178?s=40&v=4", - "name": "ssddanbrown", - "url": "https://github.com/ssddanbrown" + "avatar": "https://avatars.githubusercontent.com/u/5889984?s=40&v=4", + "name": "JC5", + "url": "https://github.com/JC5" }, { - "avatar": "https://avatars.githubusercontent.com/u/1685517?s=40&v=4", - "name": "Abijeet", - "url": "https://github.com/Abijeet" + "avatar": "https://avatars.githubusercontent.com/u/34862846?s=40&v=4", + "name": "sephrat", + "url": "https://github.com/sephrat" + } + ] + }, + { + "title": "danielmiessler/SecLists", + "url": "https://github.com/danielmiessler/SecLists", + "description": "SecLists is the security tester's companion. It's a collection of multiple types of lists used during security assessments, collected in one place. List types include usernames, passwords, URLs, sensitive data patterns, fuzzing payloads, web shells, and many more.", + "language": "PHP", + "languageColor": "#4F5D95", + "stars": "58,822", + "forks": "23,945", + "addStars": "850", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/535942?s=40&v=4", + "name": "g0tmi1k", + "url": "https://github.com/g0tmi1k" }, { - "avatar": "https://avatars.githubusercontent.com/u/29220709?s=40&v=4", - "name": "nileshdeepak", - "url": "https://github.com/nileshdeepak" + "avatar": "https://avatars.githubusercontent.com/u/12743076?s=40&v=4", + "name": "throwaway-people", + "url": "https://github.com/throwaway-people" }, { - "avatar": "https://avatars.githubusercontent.com/u/1358184?s=40&v=4", - "name": "jasperweyne", - "url": "https://github.com/jasperweyne" + "avatar": "https://avatars.githubusercontent.com/u/50654?s=40&v=4", + "name": "danielmiessler", + "url": "https://github.com/danielmiessler" }, { - "avatar": "https://avatars.githubusercontent.com/u/114491?s=40&v=4", - "name": "johnroyer", - "url": "https://github.com/johnroyer" + "avatar": "https://avatars.githubusercontent.com/u/133737702?s=40&v=4", + "name": "molangning", + "url": "https://github.com/molangning" } ] }, @@ -398,13 +361,40 @@ } ] }, + { + "title": "wallabag/wallabag", + "url": "https://github.com/wallabag/wallabag", + "description": "wallabag is a self hostable application for saving web pages: Save and classify articles. Read them later. Freely.", + "language": "PHP", + "languageColor": "#4F5D95", + "stars": "10,576", + "forks": "774", + "addStars": "349", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/62333?s=40&v=4", + "name": "j0k3r", + "url": "https://github.com/j0k3r" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/121870?s=40&v=4", + "name": "nicosomb", + "url": "https://github.com/nicosomb" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2197836?s=40&v=4", + "name": "tcitworld", + "url": "https://github.com/tcitworld" + } + ] + }, { "title": "laravel/framework", "url": "https://github.com/laravel/framework", "description": "The Laravel Framework.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "32,659", + "stars": "32,662", "forks": "11,040", "addStars": "312", "contributors": [ @@ -436,135 +426,145 @@ ] }, { - "title": "wallabag/wallabag", - "url": "https://github.com/wallabag/wallabag", - "description": "wallabag is a self hostable application for saving web pages: Save and classify articles. Read them later. Freely.", + "title": "espocrm/espocrm", + "url": "https://github.com/espocrm/espocrm", + "description": "EspoCRM – Open Source CRM Application", "language": "PHP", "languageColor": "#4F5D95", - "stars": "10,573", - "forks": "774", - "addStars": "349", + "stars": "1,815", + "forks": "582", + "addStars": "60", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/62333?s=40&v=4", - "name": "j0k3r", - "url": "https://github.com/j0k3r" + "avatar": "https://avatars.githubusercontent.com/u/1006792?s=40&v=4", + "name": "yurikuzn", + "url": "https://github.com/yurikuzn" }, { - "avatar": "https://avatars.githubusercontent.com/u/121870?s=40&v=4", - "name": "nicosomb", - "url": "https://github.com/nicosomb" + "avatar": "https://avatars.githubusercontent.com/u/8969132?s=40&v=4", + "name": "tmachyshyn", + "url": "https://github.com/tmachyshyn" }, { - "avatar": "https://avatars.githubusercontent.com/u/2197836?s=40&v=4", - "name": "tcitworld", - "url": "https://github.com/tcitworld" + "avatar": "https://avatars.githubusercontent.com/u/2862528?s=40&v=4", + "name": "eymen-elkum", + "url": "https://github.com/eymen-elkum" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17282224?s=40&v=4", + "name": "arkadiyasuratov", + "url": "https://github.com/arkadiyasuratov" } ] }, { - "title": "librenms/librenms", - "url": "https://github.com/librenms/librenms", - "description": "Community-based GPL-licensed network monitoring system", + "title": "BookStackApp/BookStack", + "url": "https://github.com/BookStackApp/BookStack", + "description": "A platform to create documentation/wiki content built with PHP & Laravel", "language": "PHP", "languageColor": "#4F5D95", - "stars": "3,947", - "forks": "2,310", - "addStars": "100", + "stars": "15,455", + "forks": "1,940", + "addStars": "285", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3941142?s=40&v=4", - "name": "laf", - "url": "https://github.com/laf" + "avatar": "https://avatars.githubusercontent.com/u/8343178?s=40&v=4", + "name": "ssddanbrown", + "url": "https://github.com/ssddanbrown" }, { - "avatar": "https://avatars.githubusercontent.com/u/39462?s=40&v=4", - "name": "murrant", - "url": "https://github.com/murrant" + "avatar": "https://avatars.githubusercontent.com/u/1685517?s=40&v=4", + "name": "Abijeet", + "url": "https://github.com/Abijeet" }, { - "avatar": "https://avatars.githubusercontent.com/u/1656377?s=40&v=4", - "name": "f0o", - "url": "https://github.com/f0o" + "avatar": "https://avatars.githubusercontent.com/u/29220709?s=40&v=4", + "name": "nileshdeepak", + "url": "https://github.com/nileshdeepak" }, { - "avatar": "https://avatars.githubusercontent.com/u/107222?s=40&v=4", - "name": "paulgear", - "url": "https://github.com/paulgear" + "avatar": "https://avatars.githubusercontent.com/u/1358184?s=40&v=4", + "name": "jasperweyne", + "url": "https://github.com/jasperweyne" }, { - "avatar": "https://avatars.githubusercontent.com/u/393086?s=40&v=4", - "name": "danzel", - "url": "https://github.com/danzel" + "avatar": "https://avatars.githubusercontent.com/u/114491?s=40&v=4", + "name": "johnroyer", + "url": "https://github.com/johnroyer" } ] }, { - "title": "zabbix/zabbix", - "url": "https://github.com/zabbix/zabbix", - "description": "Real-time monitoring of IT components and services, such as networks, servers, VMs, applications and the cloud.", + "title": "tecnickcom/TCPDF", + "url": "https://github.com/tecnickcom/TCPDF", + "description": "Official clone of PHP library to generate PDF documents and barcodes", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,430", - "forks": "991", - "addStars": "115", + "stars": "4,230", + "forks": "1,520", + "addStars": "45", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8385032?s=40&v=4", - "name": "alexv123456", - "url": "https://github.com/alexv123456" + "avatar": "https://avatars.githubusercontent.com/u/1186996?s=40&v=4", + "name": "nicolaasuni", + "url": "https://github.com/nicolaasuni" }, { - "avatar": "https://avatars.githubusercontent.com/u/106249745?s=40&v=4", - "name": "arimdjonokszabbix", - "url": "https://github.com/arimdjonokszabbix" + "avatar": "https://avatars.githubusercontent.com/u/7784660?s=40&v=4", + "name": "williamdes", + "url": "https://github.com/williamdes" }, { - "avatar": "https://avatars.githubusercontent.com/u/50054933?s=40&v=4", - "name": "andrismednis", - "url": "https://github.com/andrismednis" + "avatar": "https://avatars.githubusercontent.com/u/2228672?s=40&v=4", + "name": "mvorisek", + "url": "https://github.com/mvorisek" }, { - "avatar": "https://avatars.githubusercontent.com/u/5276331?s=40&v=4", - "name": "catsAND", - "url": "https://github.com/catsAND" + "avatar": "https://avatars.githubusercontent.com/u/270445?s=40&v=4", + "name": "remicollet", + "url": "https://github.com/remicollet" }, { - "avatar": "https://avatars.githubusercontent.com/u/206980?s=40&v=4", - "name": "dimir", - "url": "https://github.com/dimir" + "avatar": "https://avatars.githubusercontent.com/u/2691312?s=40&v=4", + "name": "rvanginneken", + "url": "https://github.com/rvanginneken" } ] }, { - "title": "espocrm/espocrm", - "url": "https://github.com/espocrm/espocrm", - "description": "EspoCRM – Open Source CRM Application", + "title": "librenms/librenms", + "url": "https://github.com/librenms/librenms", + "description": "Community-based GPL-licensed network monitoring system", "language": "PHP", "languageColor": "#4F5D95", - "stars": "1,815", - "forks": "582", - "addStars": "60", + "stars": "3,947", + "forks": "2,311", + "addStars": "100", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1006792?s=40&v=4", - "name": "yurikuzn", - "url": "https://github.com/yurikuzn" + "avatar": "https://avatars.githubusercontent.com/u/3941142?s=40&v=4", + "name": "laf", + "url": "https://github.com/laf" }, { - "avatar": "https://avatars.githubusercontent.com/u/8969132?s=40&v=4", - "name": "tmachyshyn", - "url": "https://github.com/tmachyshyn" + "avatar": "https://avatars.githubusercontent.com/u/39462?s=40&v=4", + "name": "murrant", + "url": "https://github.com/murrant" }, { - "avatar": "https://avatars.githubusercontent.com/u/2862528?s=40&v=4", - "name": "eymen-elkum", - "url": "https://github.com/eymen-elkum" + "avatar": "https://avatars.githubusercontent.com/u/1656377?s=40&v=4", + "name": "f0o", + "url": "https://github.com/f0o" }, { - "avatar": "https://avatars.githubusercontent.com/u/17282224?s=40&v=4", - "name": "arkadiyasuratov", - "url": "https://github.com/arkadiyasuratov" + "avatar": "https://avatars.githubusercontent.com/u/107222?s=40&v=4", + "name": "paulgear", + "url": "https://github.com/paulgear" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/393086?s=40&v=4", + "name": "danzel", + "url": "https://github.com/danzel" } ] }, @@ -575,7 +575,7 @@ "language": "PHP", "languageColor": "#4F5D95", "stars": "6,828", - "forks": "1,741", + "forks": "1,743", "addStars": "133", "contributors": [ { @@ -606,150 +606,108 @@ ] }, { - "title": "tecnickcom/TCPDF", - "url": "https://github.com/tecnickcom/TCPDF", - "description": "Official clone of PHP library to generate PDF documents and barcodes", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "4,230", - "forks": "1,520", - "addStars": "45", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1186996?s=40&v=4", - "name": "nicolaasuni", - "url": "https://github.com/nicolaasuni" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7784660?s=40&v=4", - "name": "williamdes", - "url": "https://github.com/williamdes" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2228672?s=40&v=4", - "name": "mvorisek", - "url": "https://github.com/mvorisek" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/270445?s=40&v=4", - "name": "remicollet", - "url": "https://github.com/remicollet" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2691312?s=40&v=4", - "name": "rvanginneken", - "url": "https://github.com/rvanginneken" - } - ] - }, - { - "title": "MISP/MISP", - "url": "https://github.com/MISP/MISP", - "description": "MISP (core software) - Open Source Threat Intelligence and Sharing Platform", + "title": "mitchmac/ServerlessWP", + "url": "https://github.com/mitchmac/ServerlessWP", + "description": "Host WordPress sites on Vercel, Netlify, or AWS Lambda", "language": "PHP", "languageColor": "#4F5D95", - "stars": "5,401", - "forks": "1,404", - "addStars": "76", + "stars": "383", + "forks": "132", + "addStars": "116", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3668672?s=40&v=4", - "name": "iglocska", - "url": "https://github.com/iglocska" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/163343?s=40&v=4", - "name": "JakubOnderka", - "url": "https://github.com/JakubOnderka" + "avatar": "https://avatars.githubusercontent.com/u/618212?s=40&v=4", + "name": "mitchmac", + "url": "https://github.com/mitchmac" }, { - "avatar": "https://avatars.githubusercontent.com/u/6977223?s=40&v=4", - "name": "mokaddem", - "url": "https://github.com/mokaddem" + "avatar": "https://avatars.githubusercontent.com/u/5773006?s=40&v=4", + "name": "aldosch", + "url": "https://github.com/aldosch" }, { - "avatar": "https://avatars.githubusercontent.com/u/16307976?s=40&v=4", - "name": "chrisr3d", - "url": "https://github.com/chrisr3d" + "avatar": "https://avatars.githubusercontent.com/u/25358656?s=40&v=4", + "name": "oversuman", + "url": "https://github.com/oversuman" }, { - "avatar": "https://avatars.githubusercontent.com/u/3309?s=40&v=4", - "name": "adulau", - "url": "https://github.com/adulau" + "avatar": "https://avatars.githubusercontent.com/u/64385706?s=40&v=4", + "name": "notio-ca", + "url": "https://github.com/notio-ca" } ] }, { - "title": "PrestaShop/PrestaShop", - "url": "https://github.com/PrestaShop/PrestaShop", - "description": "PrestaShop is the universal open-source software platform to build your e-commerce solution.", + "title": "zabbix/zabbix", + "url": "https://github.com/zabbix/zabbix", + "description": "Real-time monitoring of IT components and services, such as networks, servers, VMs, applications and the cloud.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "8,238", - "forks": "4,812", - "addStars": "92", + "stars": "4,430", + "forks": "991", + "addStars": "115", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13801017?s=40&v=4", - "name": "jolelievre", - "url": "https://github.com/jolelievre" + "avatar": "https://avatars.githubusercontent.com/u/8385032?s=40&v=4", + "name": "alexv123456", + "url": "https://github.com/alexv123456" }, { - "avatar": "https://avatars.githubusercontent.com/u/3099551?s=40&v=4", - "name": "gRoussac", - "url": "https://github.com/gRoussac" + "avatar": "https://avatars.githubusercontent.com/u/106249745?s=40&v=4", + "name": "arimdjonokszabbix", + "url": "https://github.com/arimdjonokszabbix" }, { - "avatar": "https://avatars.githubusercontent.com/u/31609858?s=40&v=4", - "name": "zuk3975", - "url": "https://github.com/zuk3975" + "avatar": "https://avatars.githubusercontent.com/u/50054933?s=40&v=4", + "name": "andrismednis", + "url": "https://github.com/andrismednis" }, { - "avatar": "https://avatars.githubusercontent.com/u/1533248?s=40&v=4", - "name": "Progi1984", - "url": "https://github.com/Progi1984" + "avatar": "https://avatars.githubusercontent.com/u/5276331?s=40&v=4", + "name": "catsAND", + "url": "https://github.com/catsAND" }, { - "avatar": "https://avatars.githubusercontent.com/u/2787216?s=40&v=4", - "name": "rGaillard", - "url": "https://github.com/rGaillard" + "avatar": "https://avatars.githubusercontent.com/u/206980?s=40&v=4", + "name": "dimir", + "url": "https://github.com/dimir" } ] }, { - "title": "roundcube/roundcubemail", - "url": "https://github.com/roundcube/roundcubemail", - "description": "The Roundcube Webmail suite", + "title": "glpi-project/glpi", + "url": "https://github.com/glpi-project/glpi", + "description": "GLPI is a Free Asset and IT Management Software package, Data center management, ITIL Service Desk, licenses tracking and software auditing.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "5,923", - "forks": "1,644", - "addStars": "78", + "stars": "4,339", + "forks": "1,297", + "addStars": "106", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/546788?s=40&v=4", - "name": "alecpl", - "url": "https://github.com/alecpl" + "avatar": "https://avatars.githubusercontent.com/u/4816762?s=40&v=4", + "name": "moyooo", + "url": "https://github.com/moyooo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1577511?s=40&v=4", - "name": "thomascube", - "url": "https://github.com/thomascube" + "avatar": "https://avatars.githubusercontent.com/u/33253653?s=40&v=4", + "name": "cedric-anne", + "url": "https://github.com/cedric-anne" }, { - "avatar": "https://avatars.githubusercontent.com/u/88682?s=40&v=4", - "name": "johndoh", - "url": "https://github.com/johndoh" + "avatar": "https://avatars.githubusercontent.com/u/224733?s=40&v=4", + "name": "trasher", + "url": "https://github.com/trasher" }, { - "avatar": "https://avatars.githubusercontent.com/u/1578973?s=40&v=4", - "name": "yllar", - "url": "https://github.com/yllar" + "avatar": "https://avatars.githubusercontent.com/u/418844?s=40&v=4", + "name": "orthagh", + "url": "https://github.com/orthagh" }, { - "avatar": "https://avatars.githubusercontent.com/u/27003?s=40&v=4", - "name": "till", - "url": "https://github.com/till" + "avatar": "https://avatars.githubusercontent.com/u/17678637?s=40&v=4", + "name": "cconard96", + "url": "https://github.com/cconard96" } ] } diff --git a/data/monthly/php.xml b/data/monthly/php.xml index 6e045f570fe2..242f08300425 100644 --- a/data/monthly/php.xml +++ b/data/monthly/php.xml @@ -3,7 +3,7 @@ GitHub Php Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Php Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT filamentphp/filament https://github.com/filamentphp/filament @@ -11,8 +11,8 @@ https://github.com/filamentphp/filament PHP #4F5D95 - 19,456 - 2,972 + 19,460 + 2,970 886 @@ -43,35 +43,58 @@ - assimon/dujiaoka - https://github.com/assimon/dujiaoka - 🦄独角数卡(自动售货系统)-开源站长自动化售货解决方案、高效、稳定、快速!🚀🚀🎉🎉 - https://github.com/assimon/dujiaoka + phpstan/phpstan + https://github.com/phpstan/phpstan + PHP Static Analysis Tool - discover bugs in your code without running it! + https://github.com/phpstan/phpstan PHP #4F5D95 - 9,652 - 2,263 - 346 + 13,018 + 888 + 144 - https://avatars.githubusercontent.com/u/35057561?s=40&v=4 - assimon - https://github.com/assimon + https://avatars.githubusercontent.com/u/104888?s=40&v=4 + ondrejmirtes + https://github.com/ondrejmirtes - https://avatars.githubusercontent.com/u/33471066?s=40&v=4 - bimoe - https://github.com/bimoe + https://avatars.githubusercontent.com/u/79867460?s=40&v=4 + phpstan-bot + https://github.com/phpstan-bot - https://avatars.githubusercontent.com/u/46492039?s=40&v=4 - Julyssn - https://github.com/Julyssn + https://avatars.githubusercontent.com/u/175109?s=40&v=4 + JanTvrdik + https://github.com/JanTvrdik + + + + DenverCoder1/github-readme-streak-stats + https://github.com/DenverCoder1/github-readme-streak-stats + 🔥 Stay motivated and show off your contribution streak! 🌟 Display your total contributions, current streak, and longest streak on your GitHub profile README + https://github.com/DenverCoder1/github-readme-streak-stats + PHP + #4F5D95 + 4,889 + 766 + 140 + - https://avatars.githubusercontent.com/u/22910338?s=40&v=4 - ZhangYiQiu - https://github.com/ZhangYiQiu + https://avatars.githubusercontent.com/u/20955511?s=40&v=4 + DenverCoder1 + https://github.com/DenverCoder1 + + + https://avatars.githubusercontent.com/u/30869493?s=40&v=4 + schmelto + https://github.com/schmelto + + + https://avatars.githubusercontent.com/u/86386385?s=40&v=4 + FajarKim + https://github.com/FajarKim @@ -82,8 +105,8 @@ https://github.com/symfony/symfony PHP #4F5D95 - 29,820 - 9,476 + 29,821 + 9,477 133 @@ -114,58 +137,35 @@ - phpstan/phpstan - https://github.com/phpstan/phpstan - PHP Static Analysis Tool - discover bugs in your code without running it! - https://github.com/phpstan/phpstan + assimon/dujiaoka + https://github.com/assimon/dujiaoka + 🦄独角数卡(自动售货系统)-开源站长自动化售货解决方案、高效、稳定、快速!🚀🚀🎉🎉 + https://github.com/assimon/dujiaoka PHP #4F5D95 - 13,017 - 888 - 144 + 9,652 + 2,263 + 346 - https://avatars.githubusercontent.com/u/104888?s=40&v=4 - ondrejmirtes - https://github.com/ondrejmirtes - - - https://avatars.githubusercontent.com/u/79867460?s=40&v=4 - phpstan-bot - https://github.com/phpstan-bot + https://avatars.githubusercontent.com/u/35057561?s=40&v=4 + assimon + https://github.com/assimon - https://avatars.githubusercontent.com/u/175109?s=40&v=4 - JanTvrdik - https://github.com/JanTvrdik - - - - - DenverCoder1/github-readme-streak-stats - https://github.com/DenverCoder1/github-readme-streak-stats - 🔥 Stay motivated and show off your contribution streak! 🌟 Display your total contributions, current streak, and longest streak on your GitHub profile README - https://github.com/DenverCoder1/github-readme-streak-stats - PHP - #4F5D95 - 4,889 - 766 - 140 - - - https://avatars.githubusercontent.com/u/20955511?s=40&v=4 - DenverCoder1 - https://github.com/DenverCoder1 + https://avatars.githubusercontent.com/u/33471066?s=40&v=4 + bimoe + https://github.com/bimoe - https://avatars.githubusercontent.com/u/30869493?s=40&v=4 - schmelto - https://github.com/schmelto + https://avatars.githubusercontent.com/u/46492039?s=40&v=4 + Julyssn + https://github.com/Julyssn - https://avatars.githubusercontent.com/u/86386385?s=40&v=4 - FajarKim - https://github.com/FajarKim + https://avatars.githubusercontent.com/u/22910338?s=40&v=4 + ZhangYiQiu + https://github.com/ZhangYiQiu @@ -176,7 +176,7 @@ https://github.com/Leantime/leantime PHP #4F5D95 - 4,784 + 4,787 597 252 @@ -207,29 +207,6 @@ - - firefly-iii/firefly-iii - https://github.com/firefly-iii/firefly-iii - Firefly III: a personal finances manager - https://github.com/firefly-iii/firefly-iii - PHP - #4F5D95 - 16,357 - 1,483 - 342 - - - https://avatars.githubusercontent.com/u/5889984?s=40&v=4 - JC5 - https://github.com/JC5 - - - https://avatars.githubusercontent.com/u/34862846?s=40&v=4 - sephrat - https://github.com/sephrat - - - nextcloud/all-in-one https://github.com/nextcloud/all-in-one @@ -237,7 +214,7 @@ https://github.com/nextcloud/all-in-one PHP #4F5D95 - 5,653 + 5,658 656 238 @@ -263,39 +240,6 @@ - - danielmiessler/SecLists - https://github.com/danielmiessler/SecLists - SecLists is the security tester's companion. It's a collection of multiple types of lists used during security assessments, collected in one place. List types include usernames, passwords, URLs, sensitive data patterns, fuzzing payloads, web shells, and many more. - https://github.com/danielmiessler/SecLists - PHP - #4F5D95 - 58,819 - 23,945 - 850 - - - https://avatars.githubusercontent.com/u/535942?s=40&v=4 - g0tmi1k - https://github.com/g0tmi1k - - - https://avatars.githubusercontent.com/u/12743076?s=40&v=4 - throwaway-people - https://github.com/throwaway-people - - - https://avatars.githubusercontent.com/u/50654?s=40&v=4 - danielmiessler - https://github.com/danielmiessler - - - https://avatars.githubusercontent.com/u/133737702?s=40&v=4 - molangning - https://github.com/molangning - - - PHPOffice/PHPWord https://github.com/PHPOffice/PHPWord @@ -303,7 +247,7 @@ https://github.com/PHPOffice/PHPWord PHP #4F5D95 - 7,286 + 7,287 2,697 45 @@ -335,40 +279,58 @@ - BookStackApp/BookStack - https://github.com/BookStackApp/BookStack - A platform to create documentation/wiki content built with PHP & Laravel - https://github.com/BookStackApp/BookStack + firefly-iii/firefly-iii + https://github.com/firefly-iii/firefly-iii + Firefly III: a personal finances manager + https://github.com/firefly-iii/firefly-iii PHP #4F5D95 - 15,451 - 1,939 - 285 + 16,360 + 1,483 + 342 - https://avatars.githubusercontent.com/u/8343178?s=40&v=4 - ssddanbrown - https://github.com/ssddanbrown + https://avatars.githubusercontent.com/u/5889984?s=40&v=4 + JC5 + https://github.com/JC5 - https://avatars.githubusercontent.com/u/1685517?s=40&v=4 - Abijeet - https://github.com/Abijeet + https://avatars.githubusercontent.com/u/34862846?s=40&v=4 + sephrat + https://github.com/sephrat + + + + danielmiessler/SecLists + https://github.com/danielmiessler/SecLists + SecLists is the security tester's companion. It's a collection of multiple types of lists used during security assessments, collected in one place. List types include usernames, passwords, URLs, sensitive data patterns, fuzzing payloads, web shells, and many more. + https://github.com/danielmiessler/SecLists + PHP + #4F5D95 + 58,822 + 23,945 + 850 + - https://avatars.githubusercontent.com/u/29220709?s=40&v=4 - nileshdeepak - https://github.com/nileshdeepak + https://avatars.githubusercontent.com/u/535942?s=40&v=4 + g0tmi1k + https://github.com/g0tmi1k - https://avatars.githubusercontent.com/u/1358184?s=40&v=4 - jasperweyne - https://github.com/jasperweyne + https://avatars.githubusercontent.com/u/12743076?s=40&v=4 + throwaway-people + https://github.com/throwaway-people - https://avatars.githubusercontent.com/u/114491?s=40&v=4 - johnroyer - https://github.com/johnroyer + https://avatars.githubusercontent.com/u/50654?s=40&v=4 + danielmiessler + https://github.com/danielmiessler + + + https://avatars.githubusercontent.com/u/133737702?s=40&v=4 + molangning + https://github.com/molangning @@ -410,44 +372,6 @@ - - laravel/framework - https://github.com/laravel/framework - The Laravel Framework. - https://github.com/laravel/framework - PHP - #4F5D95 - 32,659 - 11,040 - 312 - - - https://avatars.githubusercontent.com/u/463230?s=40&v=4 - taylorotwell - https://github.com/taylorotwell - - - https://avatars.githubusercontent.com/u/2829600?s=40&v=4 - GrahamCampbell - https://github.com/GrahamCampbell - - - https://avatars.githubusercontent.com/u/594614?s=40&v=4 - driesvints - https://github.com/driesvints - - - https://avatars.githubusercontent.com/u/12684601?s=40&v=4 - TBlindaruk - https://github.com/TBlindaruk - - - https://avatars.githubusercontent.com/u/4332182?s=40&v=4 - themsaid - https://github.com/themsaid - - - wallabag/wallabag https://github.com/wallabag/wallabag @@ -455,7 +379,7 @@ https://github.com/wallabag/wallabag PHP #4F5D95 - 10,573 + 10,576 774 349 @@ -477,78 +401,40 @@ - librenms/librenms - https://github.com/librenms/librenms - Community-based GPL-licensed network monitoring system - https://github.com/librenms/librenms - PHP - #4F5D95 - 3,947 - 2,310 - 100 - - - https://avatars.githubusercontent.com/u/3941142?s=40&v=4 - laf - https://github.com/laf - - - https://avatars.githubusercontent.com/u/39462?s=40&v=4 - murrant - https://github.com/murrant - - - https://avatars.githubusercontent.com/u/1656377?s=40&v=4 - f0o - https://github.com/f0o - - - https://avatars.githubusercontent.com/u/107222?s=40&v=4 - paulgear - https://github.com/paulgear - - - https://avatars.githubusercontent.com/u/393086?s=40&v=4 - danzel - https://github.com/danzel - - - - - zabbix/zabbix - https://github.com/zabbix/zabbix - Real-time monitoring of IT components and services, such as networks, servers, VMs, applications and the cloud. - https://github.com/zabbix/zabbix + laravel/framework + https://github.com/laravel/framework + The Laravel Framework. + https://github.com/laravel/framework PHP #4F5D95 - 4,430 - 991 - 115 + 32,662 + 11,040 + 312 - https://avatars.githubusercontent.com/u/8385032?s=40&v=4 - alexv123456 - https://github.com/alexv123456 + https://avatars.githubusercontent.com/u/463230?s=40&v=4 + taylorotwell + https://github.com/taylorotwell - https://avatars.githubusercontent.com/u/106249745?s=40&v=4 - arimdjonokszabbix - https://github.com/arimdjonokszabbix + https://avatars.githubusercontent.com/u/2829600?s=40&v=4 + GrahamCampbell + https://github.com/GrahamCampbell - https://avatars.githubusercontent.com/u/50054933?s=40&v=4 - andrismednis - https://github.com/andrismednis + https://avatars.githubusercontent.com/u/594614?s=40&v=4 + driesvints + https://github.com/driesvints - https://avatars.githubusercontent.com/u/5276331?s=40&v=4 - catsAND - https://github.com/catsAND + https://avatars.githubusercontent.com/u/12684601?s=40&v=4 + TBlindaruk + https://github.com/TBlindaruk - https://avatars.githubusercontent.com/u/206980?s=40&v=4 - dimir - https://github.com/dimir + https://avatars.githubusercontent.com/u/4332182?s=40&v=4 + themsaid + https://github.com/themsaid @@ -586,40 +472,40 @@ - pterodactyl/panel - https://github.com/pterodactyl/panel - Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users. - https://github.com/pterodactyl/panel + BookStackApp/BookStack + https://github.com/BookStackApp/BookStack + A platform to create documentation/wiki content built with PHP & Laravel + https://github.com/BookStackApp/BookStack PHP #4F5D95 - 6,828 - 1,741 - 133 + 15,455 + 1,940 + 285 - https://avatars.githubusercontent.com/u/418376?s=40&v=4 - DaneEveritt - https://github.com/DaneEveritt + https://avatars.githubusercontent.com/u/8343178?s=40&v=4 + ssddanbrown + https://github.com/ssddanbrown - https://avatars.githubusercontent.com/u/26559841?s=40&v=4 - matthewpi - https://github.com/matthewpi + https://avatars.githubusercontent.com/u/1685517?s=40&v=4 + Abijeet + https://github.com/Abijeet - https://avatars.githubusercontent.com/u/1710904?s=40&v=4 - schrej - https://github.com/schrej + https://avatars.githubusercontent.com/u/29220709?s=40&v=4 + nileshdeepak + https://github.com/nileshdeepak - https://avatars.githubusercontent.com/u/1757840?s=40&v=4 - notAreYouScared - https://github.com/notAreYouScared + https://avatars.githubusercontent.com/u/1358184?s=40&v=4 + jasperweyne + https://github.com/jasperweyne - https://avatars.githubusercontent.com/u/1296882?s=40&v=4 - lancepioch - https://github.com/lancepioch + https://avatars.githubusercontent.com/u/114491?s=40&v=4 + johnroyer + https://github.com/johnroyer @@ -662,116 +548,187 @@ - MISP/MISP - https://github.com/MISP/MISP - MISP (core software) - Open Source Threat Intelligence and Sharing Platform - https://github.com/MISP/MISP + librenms/librenms + https://github.com/librenms/librenms + Community-based GPL-licensed network monitoring system + https://github.com/librenms/librenms PHP #4F5D95 - 5,401 - 1,404 - 76 + 3,947 + 2,311 + 100 - https://avatars.githubusercontent.com/u/3668672?s=40&v=4 - iglocska - https://github.com/iglocska + https://avatars.githubusercontent.com/u/3941142?s=40&v=4 + laf + https://github.com/laf - https://avatars.githubusercontent.com/u/163343?s=40&v=4 - JakubOnderka - https://github.com/JakubOnderka + https://avatars.githubusercontent.com/u/39462?s=40&v=4 + murrant + https://github.com/murrant - https://avatars.githubusercontent.com/u/6977223?s=40&v=4 - mokaddem - https://github.com/mokaddem + https://avatars.githubusercontent.com/u/1656377?s=40&v=4 + f0o + https://github.com/f0o - https://avatars.githubusercontent.com/u/16307976?s=40&v=4 - chrisr3d - https://github.com/chrisr3d + https://avatars.githubusercontent.com/u/107222?s=40&v=4 + paulgear + https://github.com/paulgear - https://avatars.githubusercontent.com/u/3309?s=40&v=4 - adulau - https://github.com/adulau + https://avatars.githubusercontent.com/u/393086?s=40&v=4 + danzel + https://github.com/danzel - PrestaShop/PrestaShop - https://github.com/PrestaShop/PrestaShop - PrestaShop is the universal open-source software platform to build your e-commerce solution. - https://github.com/PrestaShop/PrestaShop + pterodactyl/panel + https://github.com/pterodactyl/panel + Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users. + https://github.com/pterodactyl/panel PHP #4F5D95 - 8,238 - 4,812 - 92 + 6,828 + 1,743 + 133 - https://avatars.githubusercontent.com/u/13801017?s=40&v=4 - jolelievre - https://github.com/jolelievre + https://avatars.githubusercontent.com/u/418376?s=40&v=4 + DaneEveritt + https://github.com/DaneEveritt - https://avatars.githubusercontent.com/u/3099551?s=40&v=4 - gRoussac - https://github.com/gRoussac + https://avatars.githubusercontent.com/u/26559841?s=40&v=4 + matthewpi + https://github.com/matthewpi - https://avatars.githubusercontent.com/u/31609858?s=40&v=4 - zuk3975 - https://github.com/zuk3975 + https://avatars.githubusercontent.com/u/1710904?s=40&v=4 + schrej + https://github.com/schrej - https://avatars.githubusercontent.com/u/1533248?s=40&v=4 - Progi1984 - https://github.com/Progi1984 + https://avatars.githubusercontent.com/u/1757840?s=40&v=4 + notAreYouScared + https://github.com/notAreYouScared + + + https://avatars.githubusercontent.com/u/1296882?s=40&v=4 + lancepioch + https://github.com/lancepioch + + + + + mitchmac/ServerlessWP + https://github.com/mitchmac/ServerlessWP + Host WordPress sites on Vercel, Netlify, or AWS Lambda + https://github.com/mitchmac/ServerlessWP + PHP + #4F5D95 + 383 + 132 + 116 + + + https://avatars.githubusercontent.com/u/618212?s=40&v=4 + mitchmac + https://github.com/mitchmac + + + https://avatars.githubusercontent.com/u/5773006?s=40&v=4 + aldosch + https://github.com/aldosch + + + https://avatars.githubusercontent.com/u/25358656?s=40&v=4 + oversuman + https://github.com/oversuman + + + https://avatars.githubusercontent.com/u/64385706?s=40&v=4 + notio-ca + https://github.com/notio-ca + + + + + zabbix/zabbix + https://github.com/zabbix/zabbix + Real-time monitoring of IT components and services, such as networks, servers, VMs, applications and the cloud. + https://github.com/zabbix/zabbix + PHP + #4F5D95 + 4,430 + 991 + 115 + + + https://avatars.githubusercontent.com/u/8385032?s=40&v=4 + alexv123456 + https://github.com/alexv123456 + + + https://avatars.githubusercontent.com/u/106249745?s=40&v=4 + arimdjonokszabbix + https://github.com/arimdjonokszabbix + + + https://avatars.githubusercontent.com/u/50054933?s=40&v=4 + andrismednis + https://github.com/andrismednis - https://avatars.githubusercontent.com/u/2787216?s=40&v=4 - rGaillard - https://github.com/rGaillard + https://avatars.githubusercontent.com/u/5276331?s=40&v=4 + catsAND + https://github.com/catsAND + + + https://avatars.githubusercontent.com/u/206980?s=40&v=4 + dimir + https://github.com/dimir - roundcube/roundcubemail - https://github.com/roundcube/roundcubemail - The Roundcube Webmail suite - https://github.com/roundcube/roundcubemail + glpi-project/glpi + https://github.com/glpi-project/glpi + GLPI is a Free Asset and IT Management Software package, Data center management, ITIL Service Desk, licenses tracking and software auditing. + https://github.com/glpi-project/glpi PHP #4F5D95 - 5,923 - 1,644 - 78 + 4,339 + 1,297 + 106 - https://avatars.githubusercontent.com/u/546788?s=40&v=4 - alecpl - https://github.com/alecpl + https://avatars.githubusercontent.com/u/4816762?s=40&v=4 + moyooo + https://github.com/moyooo - https://avatars.githubusercontent.com/u/1577511?s=40&v=4 - thomascube - https://github.com/thomascube + https://avatars.githubusercontent.com/u/33253653?s=40&v=4 + cedric-anne + https://github.com/cedric-anne - https://avatars.githubusercontent.com/u/88682?s=40&v=4 - johndoh - https://github.com/johndoh + https://avatars.githubusercontent.com/u/224733?s=40&v=4 + trasher + https://github.com/trasher - https://avatars.githubusercontent.com/u/1578973?s=40&v=4 - yllar - https://github.com/yllar + https://avatars.githubusercontent.com/u/418844?s=40&v=4 + orthagh + https://github.com/orthagh - https://avatars.githubusercontent.com/u/27003?s=40&v=4 - till - https://github.com/till + https://avatars.githubusercontent.com/u/17678637?s=40&v=4 + cconard96 + https://github.com/cconard96 diff --git a/data/monthly/pic.json b/data/monthly/pic.json index e23eacdcae31..5d2ba3e786c5 100644 --- a/data/monthly/pic.json +++ b/data/monthly/pic.json @@ -2,6 +2,6 @@ "title": "GitHub Pic Languages Monthly Trending", "description": "Monthly Trending of Pic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pic.xml b/data/monthly/pic.xml index 8698743382dc..7565b78f838c 100644 --- a/data/monthly/pic.xml +++ b/data/monthly/pic.xml @@ -3,6 +3,6 @@ GitHub Pic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pickle.json b/data/monthly/pickle.json index ddd6483d149a..babfbccc548a 100644 --- a/data/monthly/pickle.json +++ b/data/monthly/pickle.json @@ -2,6 +2,6 @@ "title": "GitHub Pickle Languages Monthly Trending", "description": "Monthly Trending of Pickle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pickle.xml b/data/monthly/pickle.xml index ede27af79903..40459c3b1744 100644 --- a/data/monthly/pickle.xml +++ b/data/monthly/pickle.xml @@ -3,6 +3,6 @@ GitHub Pickle Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pickle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/picolisp.json b/data/monthly/picolisp.json index 6509475c3ee1..2133d6188edb 100644 --- a/data/monthly/picolisp.json +++ b/data/monthly/picolisp.json @@ -2,6 +2,6 @@ "title": "GitHub Picolisp Languages Monthly Trending", "description": "Monthly Trending of Picolisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/picolisp.xml b/data/monthly/picolisp.xml index f700d2c6efaa..854178e8f590 100644 --- a/data/monthly/picolisp.xml +++ b/data/monthly/picolisp.xml @@ -3,6 +3,6 @@ GitHub Picolisp Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Picolisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/piglatin.json b/data/monthly/piglatin.json index 8c7551de02bc..95092253ebe5 100644 --- a/data/monthly/piglatin.json +++ b/data/monthly/piglatin.json @@ -2,6 +2,6 @@ "title": "GitHub Piglatin Languages Monthly Trending", "description": "Monthly Trending of Piglatin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/piglatin.xml b/data/monthly/piglatin.xml index 65f9bb4d1ab7..6c64c2b432b9 100644 --- a/data/monthly/piglatin.xml +++ b/data/monthly/piglatin.xml @@ -3,6 +3,6 @@ GitHub Piglatin Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Piglatin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pike.json b/data/monthly/pike.json index e82427585aaf..4368ba3469f3 100644 --- a/data/monthly/pike.json +++ b/data/monthly/pike.json @@ -2,6 +2,6 @@ "title": "GitHub Pike Languages Monthly Trending", "description": "Monthly Trending of Pike Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pike.xml b/data/monthly/pike.xml index b82b2c144475..30a6820038a7 100644 --- a/data/monthly/pike.xml +++ b/data/monthly/pike.xml @@ -3,6 +3,6 @@ GitHub Pike Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pike Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pip-requirements.json b/data/monthly/pip-requirements.json index a1de61938be7..ba3762abef88 100644 --- a/data/monthly/pip-requirements.json +++ b/data/monthly/pip-requirements.json @@ -2,6 +2,6 @@ "title": "GitHub Pip-requirements Languages Monthly Trending", "description": "Monthly Trending of Pip-requirements Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pip-requirements.xml b/data/monthly/pip-requirements.xml index 687c30d8c25a..f775b2de5116 100644 --- a/data/monthly/pip-requirements.xml +++ b/data/monthly/pip-requirements.xml @@ -3,6 +3,6 @@ GitHub Pip-requirements Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pip-requirements Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pkl.json b/data/monthly/pkl.json index 1112c4f26b07..804a31e94492 100644 --- a/data/monthly/pkl.json +++ b/data/monthly/pkl.json @@ -2,6 +2,6 @@ "title": "GitHub Pkl Languages Monthly Trending", "description": "Monthly Trending of Pkl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pkl.xml b/data/monthly/pkl.xml index 019869e9f85d..4e1ac0745bd9 100644 --- a/data/monthly/pkl.xml +++ b/data/monthly/pkl.xml @@ -3,6 +3,6 @@ GitHub Pkl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pkl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/plantuml.json b/data/monthly/plantuml.json index a6ef2bbee585..c7a334e106bb 100644 --- a/data/monthly/plantuml.json +++ b/data/monthly/plantuml.json @@ -2,6 +2,6 @@ "title": "GitHub Plantuml Languages Monthly Trending", "description": "Monthly Trending of Plantuml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/plantuml.xml b/data/monthly/plantuml.xml index 59e6b3ab2574..5a5a7050646a 100644 --- a/data/monthly/plantuml.xml +++ b/data/monthly/plantuml.xml @@ -3,6 +3,6 @@ GitHub Plantuml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Plantuml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/plpgsql.json b/data/monthly/plpgsql.json index c52027f878d7..394605063480 100644 --- a/data/monthly/plpgsql.json +++ b/data/monthly/plpgsql.json @@ -2,7 +2,7 @@ "title": "GitHub Plpgsql Languages Monthly Trending", "description": "Monthly Trending of Plpgsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "timescale/pgai", @@ -10,7 +10,7 @@ "description": "A suite of tools to develop RAG, semantic search, and other AI applications more easily with PostgreSQL", "language": "PLpgSQL", "languageColor": "#336790", - "stars": "2,083", + "stars": "2,085", "forks": "97", "addStars": "1,380", "contributors": [ @@ -158,7 +158,7 @@ "description": "Opensource,Database,AI,Business,Minds. git clone --depth 1 https://github.com/digoal/blog", "language": "PLpgSQL", "languageColor": "#336790", - "stars": "8,104", + "stars": "8,106", "forks": "1,895", "addStars": "36", "contributors": [ @@ -188,6 +188,38 @@ "url": "https://github.com/DarkForcesX" } ] + }, + { + "title": "lorint/AdventureWorks-for-Postgres", + "url": "https://github.com/lorint/AdventureWorks-for-Postgres", + "description": "Set up the AdventureWorks sample database for use with Postgres", + "language": "PLpgSQL", + "languageColor": "#336790", + "stars": "373", + "forks": "186", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5301131?s=40&v=4", + "name": "lorint", + "url": "https://github.com/lorint" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4828246?s=40&v=4", + "name": "sjwaight", + "url": "https://github.com/sjwaight" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7317419?s=40&v=4", + "name": "heimir-sverrisson", + "url": "https://github.com/heimir-sverrisson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11597542?s=40&v=4", + "name": "mohsen158", + "url": "https://github.com/mohsen158" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/plpgsql.xml b/data/monthly/plpgsql.xml index 140134615e86..782ce1dac346 100644 --- a/data/monthly/plpgsql.xml +++ b/data/monthly/plpgsql.xml @@ -3,7 +3,7 @@ GitHub Plpgsql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Plpgsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT timescale/pgai https://github.com/timescale/pgai @@ -11,7 +11,7 @@ https://github.com/timescale/pgai PLpgSQL #336790 - 2,083 + 2,085 97 1,380 @@ -163,7 +163,7 @@ https://github.com/digoal/blog PLpgSQL #336790 - 8,104 + 8,106 1,895 36 @@ -194,5 +194,38 @@ + + lorint/AdventureWorks-for-Postgres + https://github.com/lorint/AdventureWorks-for-Postgres + Set up the AdventureWorks sample database for use with Postgres + https://github.com/lorint/AdventureWorks-for-Postgres + PLpgSQL + #336790 + 373 + 186 + 4 + + + https://avatars.githubusercontent.com/u/5301131?s=40&v=4 + lorint + https://github.com/lorint + + + https://avatars.githubusercontent.com/u/4828246?s=40&v=4 + sjwaight + https://github.com/sjwaight + + + https://avatars.githubusercontent.com/u/7317419?s=40&v=4 + heimir-sverrisson + https://github.com/heimir-sverrisson + + + https://avatars.githubusercontent.com/u/11597542?s=40&v=4 + mohsen158 + https://github.com/mohsen158 + + + \ No newline at end of file diff --git a/data/monthly/plsql.json b/data/monthly/plsql.json index b64a4ff10fc9..c82d3584f344 100644 --- a/data/monthly/plsql.json +++ b/data/monthly/plsql.json @@ -2,6 +2,6 @@ "title": "GitHub Plsql Languages Monthly Trending", "description": "Monthly Trending of Plsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/plsql.xml b/data/monthly/plsql.xml index ecf6b487ae7b..2d5ee2a718ab 100644 --- a/data/monthly/plsql.xml +++ b/data/monthly/plsql.xml @@ -3,6 +3,6 @@ GitHub Plsql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Plsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pod-6.json b/data/monthly/pod-6.json index f5f7fcc9a95e..81e0eb21ea84 100644 --- a/data/monthly/pod-6.json +++ b/data/monthly/pod-6.json @@ -2,6 +2,6 @@ "title": "GitHub Pod-6 Languages Monthly Trending", "description": "Monthly Trending of Pod-6 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pod-6.xml b/data/monthly/pod-6.xml index 1ddc27fa6e3a..75dc63d91ede 100644 --- a/data/monthly/pod-6.xml +++ b/data/monthly/pod-6.xml @@ -3,6 +3,6 @@ GitHub Pod-6 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pod-6 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pod.json b/data/monthly/pod.json index 124031ad8c01..573f1385d6b8 100644 --- a/data/monthly/pod.json +++ b/data/monthly/pod.json @@ -2,6 +2,6 @@ "title": "GitHub Pod Languages Monthly Trending", "description": "Monthly Trending of Pod Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pod.xml b/data/monthly/pod.xml index f4a3a18e970e..7c955ef3be0d 100644 --- a/data/monthly/pod.xml +++ b/data/monthly/pod.xml @@ -3,6 +3,6 @@ GitHub Pod Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pod Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pogoscript.json b/data/monthly/pogoscript.json index da0e8bb41fe9..57aecf2bec6b 100644 --- a/data/monthly/pogoscript.json +++ b/data/monthly/pogoscript.json @@ -2,6 +2,6 @@ "title": "GitHub Pogoscript Languages Monthly Trending", "description": "Monthly Trending of Pogoscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pogoscript.xml b/data/monthly/pogoscript.xml index e2a5c42995d7..4e1200eba4a0 100644 --- a/data/monthly/pogoscript.xml +++ b/data/monthly/pogoscript.xml @@ -3,6 +3,6 @@ GitHub Pogoscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pogoscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/polar.json b/data/monthly/polar.json index cd1e90e6ccf8..06caaad3085f 100644 --- a/data/monthly/polar.json +++ b/data/monthly/polar.json @@ -2,6 +2,6 @@ "title": "GitHub Polar Languages Monthly Trending", "description": "Monthly Trending of Polar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/polar.xml b/data/monthly/polar.xml index 93c851e28809..8fc399fa1aa9 100644 --- a/data/monthly/polar.xml +++ b/data/monthly/polar.xml @@ -3,6 +3,6 @@ GitHub Polar Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Polar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pony.json b/data/monthly/pony.json index b56f3d323dac..726f412ecc53 100644 --- a/data/monthly/pony.json +++ b/data/monthly/pony.json @@ -2,6 +2,6 @@ "title": "GitHub Pony Languages Monthly Trending", "description": "Monthly Trending of Pony Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pony.xml b/data/monthly/pony.xml index cbba05dd953e..5defe0000cd1 100644 --- a/data/monthly/pony.xml +++ b/data/monthly/pony.xml @@ -3,6 +3,6 @@ GitHub Pony Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pony Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/portugol.json b/data/monthly/portugol.json index ca84015ad9c4..20c237a1a28b 100644 --- a/data/monthly/portugol.json +++ b/data/monthly/portugol.json @@ -2,6 +2,6 @@ "title": "GitHub Portugol Languages Monthly Trending", "description": "Monthly Trending of Portugol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/portugol.xml b/data/monthly/portugol.xml index d3b2b4273a1a..b413c61eed33 100644 --- a/data/monthly/portugol.xml +++ b/data/monthly/portugol.xml @@ -3,6 +3,6 @@ GitHub Portugol Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Portugol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/postcss.json b/data/monthly/postcss.json index 89593282e115..98f31c8bac98 100644 --- a/data/monthly/postcss.json +++ b/data/monthly/postcss.json @@ -2,6 +2,6 @@ "title": "GitHub Postcss Languages Monthly Trending", "description": "Monthly Trending of Postcss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/postcss.xml b/data/monthly/postcss.xml index 78047a753659..fef7639dac44 100644 --- a/data/monthly/postcss.xml +++ b/data/monthly/postcss.xml @@ -3,6 +3,6 @@ GitHub Postcss Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Postcss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/postscript.json b/data/monthly/postscript.json index c9069d52068e..03143eba5d3f 100644 --- a/data/monthly/postscript.json +++ b/data/monthly/postscript.json @@ -2,6 +2,6 @@ "title": "GitHub Postscript Languages Monthly Trending", "description": "Monthly Trending of Postscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/postscript.xml b/data/monthly/postscript.xml index a864f41b4570..6f70b4aacf59 100644 --- a/data/monthly/postscript.xml +++ b/data/monthly/postscript.xml @@ -3,6 +3,6 @@ GitHub Postscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Postscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pov-ray-sdl.json b/data/monthly/pov-ray-sdl.json index 9e173ed3fd68..1e8d150ab723 100644 --- a/data/monthly/pov-ray-sdl.json +++ b/data/monthly/pov-ray-sdl.json @@ -2,6 +2,6 @@ "title": "GitHub Pov-ray-sdl Languages Monthly Trending", "description": "Monthly Trending of Pov-ray-sdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pov-ray-sdl.xml b/data/monthly/pov-ray-sdl.xml index b7ac105b0965..c9a6951cf46b 100644 --- a/data/monthly/pov-ray-sdl.xml +++ b/data/monthly/pov-ray-sdl.xml @@ -3,6 +3,6 @@ GitHub Pov-ray-sdl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pov-ray-sdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/powerbuilder.json b/data/monthly/powerbuilder.json index b0871c50d5f3..026181f7bcfa 100644 --- a/data/monthly/powerbuilder.json +++ b/data/monthly/powerbuilder.json @@ -2,6 +2,6 @@ "title": "GitHub Powerbuilder Languages Monthly Trending", "description": "Monthly Trending of Powerbuilder Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/powerbuilder.xml b/data/monthly/powerbuilder.xml index ad2bd000a97b..21304ba81ea6 100644 --- a/data/monthly/powerbuilder.xml +++ b/data/monthly/powerbuilder.xml @@ -3,6 +3,6 @@ GitHub Powerbuilder Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Powerbuilder Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/powershell.json b/data/monthly/powershell.json index 486b238f5117..15961dae53ff 100644 --- a/data/monthly/powershell.json +++ b/data/monthly/powershell.json @@ -2,7 +2,7 @@ "title": "GitHub Powershell Languages Monthly Trending", "description": "Monthly Trending of Powershell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ntdevlabs/tiny11builder", @@ -10,8 +10,8 @@ "description": "Scripts to build a trimmed-down Windows 11 image.", "language": "PowerShell", "languageColor": "#012456", - "stars": "10,306", - "forks": "877", + "stars": "10,310", + "forks": "876", "addStars": "785", "contributors": [ { @@ -42,66 +42,39 @@ ] }, { - "title": "microsoft/WSL", - "url": "https://github.com/microsoft/WSL", - "description": "Issues found on WSL", + "title": "Raphire/Win11Debloat", + "url": "https://github.com/Raphire/Win11Debloat", + "description": "A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11.", "language": "PowerShell", "languageColor": "#012456", - "stars": "17,512", - "forks": "822", - "addStars": "162", + "stars": "14,252", + "forks": "618", + "addStars": "874", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42221804?s=40&v=4", - "name": "craigloewen-msft", - "url": "https://github.com/craigloewen-msft" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16477878?s=40&v=4", - "name": "OneBlue", - "url": "https://github.com/OneBlue" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2711825?s=40&v=4", - "name": "jackchammons", - "url": "https://github.com/jackchammons" + "avatar": "https://avatars.githubusercontent.com/u/9938813?s=40&v=4", + "name": "Raphire", + "url": "https://github.com/Raphire" }, { - "avatar": "https://avatars.githubusercontent.com/u/25061493?s=40&v=4", - "name": "CatalinFetoiu", - "url": "https://github.com/CatalinFetoiu" + "avatar": "https://avatars.githubusercontent.com/u/8408603?s=40&v=4", + "name": "jasonpatrickellykrause", + "url": "https://github.com/jasonpatrickellykrause" }, { - "avatar": "https://avatars.githubusercontent.com/u/63634471?s=40&v=4", - "name": "keith-horton", - "url": "https://github.com/keith-horton" - } - ] - }, - { - "title": "actions/runner-images", - "url": "https://github.com/actions/runner-images", - "description": "GitHub Actions runner images", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "10,231", - "forks": "3,075", - "addStars": "154", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/72681142?s=40&v=4", - "name": "459680", - "url": "https://github.com/459680" + "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", + "name": "eltociear", + "url": "https://github.com/eltociear" }, { - "avatar": "https://avatars.githubusercontent.com/u/47745270?s=40&v=4", - "name": "al-cheb", - "url": "https://github.com/al-cheb" + "avatar": "https://avatars.githubusercontent.com/u/43364021?s=40&v=4", + "name": "JMacIV", + "url": "https://github.com/JMacIV" }, { - "avatar": "https://avatars.githubusercontent.com/u/48208649?s=40&v=4", - "name": "miketimofeev", - "url": "https://github.com/miketimofeev" + "avatar": "https://avatars.githubusercontent.com/u/151682543?s=40&v=4", + "name": "DrbitsOFFICIAL", + "url": "https://github.com/DrbitsOFFICIAL" } ] }, @@ -111,8 +84,8 @@ "description": "The Microsoft community Windows Package Manager manifest repository", "language": "PowerShell", "languageColor": "#012456", - "stars": "8,751", - "forks": "4,565", + "stars": "8,752", + "forks": "4,566", "addStars": "146", "contributors": [ { @@ -143,39 +116,66 @@ ] }, { - "title": "Raphire/Win11Debloat", - "url": "https://github.com/Raphire/Win11Debloat", - "description": "A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11.", + "title": "actions/runner-images", + "url": "https://github.com/actions/runner-images", + "description": "GitHub Actions runner images", "language": "PowerShell", "languageColor": "#012456", - "stars": "14,247", - "forks": "618", - "addStars": "874", + "stars": "10,235", + "forks": "3,075", + "addStars": "154", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9938813?s=40&v=4", - "name": "Raphire", - "url": "https://github.com/Raphire" + "avatar": "https://avatars.githubusercontent.com/u/72681142?s=40&v=4", + "name": "459680", + "url": "https://github.com/459680" }, { - "avatar": "https://avatars.githubusercontent.com/u/8408603?s=40&v=4", - "name": "jasonpatrickellykrause", - "url": "https://github.com/jasonpatrickellykrause" + "avatar": "https://avatars.githubusercontent.com/u/47745270?s=40&v=4", + "name": "al-cheb", + "url": "https://github.com/al-cheb" }, { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" + "avatar": "https://avatars.githubusercontent.com/u/48208649?s=40&v=4", + "name": "miketimofeev", + "url": "https://github.com/miketimofeev" + } + ] + }, + { + "title": "microsoft/WSL", + "url": "https://github.com/microsoft/WSL", + "description": "Issues found on WSL", + "language": "PowerShell", + "languageColor": "#012456", + "stars": "17,514", + "forks": "822", + "addStars": "162", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/42221804?s=40&v=4", + "name": "craigloewen-msft", + "url": "https://github.com/craigloewen-msft" }, { - "avatar": "https://avatars.githubusercontent.com/u/43364021?s=40&v=4", - "name": "JMacIV", - "url": "https://github.com/JMacIV" + "avatar": "https://avatars.githubusercontent.com/u/16477878?s=40&v=4", + "name": "OneBlue", + "url": "https://github.com/OneBlue" }, { - "avatar": "https://avatars.githubusercontent.com/u/151682543?s=40&v=4", - "name": "DrbitsOFFICIAL", - "url": "https://github.com/DrbitsOFFICIAL" + "avatar": "https://avatars.githubusercontent.com/u/2711825?s=40&v=4", + "name": "jackchammons", + "url": "https://github.com/jackchammons" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25061493?s=40&v=4", + "name": "CatalinFetoiu", + "url": "https://github.com/CatalinFetoiu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63634471?s=40&v=4", + "name": "keith-horton", + "url": "https://github.com/keith-horton" } ] }, @@ -185,7 +185,7 @@ "description": "Automation to assess the state of your M365 tenant against CISA's baselines", "language": "PowerShell", "languageColor": "#012456", - "stars": "1,762", + "stars": "1,764", "forks": "228", "addStars": "143", "contributors": [ @@ -216,43 +216,6 @@ } ] }, - { - "title": "ChrisTitusTech/winutil", - "url": "https://github.com/ChrisTitusTech/winutil", - "description": "Chris Titus Tech's Windows Utility - Install Programs, Tweaks, Fixes, and Updates", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "24,673", - "forks": "1,499", - "addStars": "1,440", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7896101?s=40&v=4", - "name": "ChrisTitusTech", - "url": "https://github.com/ChrisTitusTech" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/121827219?s=40&v=4", - "name": "MyDrift-user", - "url": "https://github.com/MyDrift-user" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/70659536?s=40&v=4", - "name": "og-mrk", - "url": "https://github.com/og-mrk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47688561?s=40&v=4", - "name": "Marterich", - "url": "https://github.com/Marterich" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/101426328?s=40&v=4", - "name": "CodingWonders", - "url": "https://github.com/CodingWonders" - } - ] - }, { "title": "PowerShellMafia/PowerSploit", "url": "https://github.com/PowerShellMafia/PowerSploit", @@ -291,39 +254,39 @@ ] }, { - "title": "mantvydasb/RedTeaming-Tactics-and-Techniques", - "url": "https://github.com/mantvydasb/RedTeaming-Tactics-and-Techniques", - "description": "Red Teaming Tactics and Techniques", + "title": "dotnet/core", + "url": "https://github.com/dotnet/core", + "description": ".NET news, announcements, release notes, and more!", "language": "PowerShell", "languageColor": "#012456", - "stars": "4,069", - "forks": "1,063", - "addStars": "51", + "stars": "21,024", + "forks": "4,907", + "addStars": "106", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5759727?s=40&v=4", - "name": "mantvydasb", - "url": "https://github.com/mantvydasb" + "avatar": "https://avatars.githubusercontent.com/u/2212879?s=40&v=4", + "name": "leecow", + "url": "https://github.com/leecow" }, { - "avatar": "https://avatars.githubusercontent.com/u/3768165?s=40&v=4", - "name": "dedmen", - "url": "https://github.com/dedmen" + "avatar": "https://avatars.githubusercontent.com/u/30737530?s=40&v=4", + "name": "rbhanda", + "url": "https://github.com/rbhanda" }, { - "avatar": "https://avatars.githubusercontent.com/u/12843299?s=40&v=4", - "name": "JonasBK", - "url": "https://github.com/JonasBK" + "avatar": "https://avatars.githubusercontent.com/u/2608468?s=40&v=4", + "name": "richlander", + "url": "https://github.com/richlander" }, { - "avatar": "https://avatars.githubusercontent.com/u/28799711?s=40&v=4", - "name": "P7c0d32", - "url": "https://github.com/P7c0d32" + "avatar": "https://avatars.githubusercontent.com/u/11581923?s=40&v=4", + "name": "vivmishra", + "url": "https://github.com/vivmishra" }, { - "avatar": "https://avatars.githubusercontent.com/u/34392986?s=40&v=4", - "name": "TrueBad0ur", - "url": "https://github.com/TrueBad0ur" + "avatar": "https://avatars.githubusercontent.com/u/12971179?s=40&v=4", + "name": "mairaw", + "url": "https://github.com/mairaw" } ] }, @@ -333,7 +296,7 @@ "description": "Six Degrees of Domain Admin", "language": "PowerShell", "languageColor": "#012456", - "stars": "9,917", + "stars": "9,920", "forks": "1,734", "addStars": "92", "contributors": [ @@ -365,76 +328,145 @@ ] }, { - "title": "dotnet/core", - "url": "https://github.com/dotnet/core", - "description": ".NET news, announcements, release notes, and more!", + "title": "PSAppDeployToolkit/PSAppDeployToolkit", + "url": "https://github.com/PSAppDeployToolkit/PSAppDeployToolkit", + "description": "Project Homepage & Forums", "language": "PowerShell", "languageColor": "#012456", - "stars": "21,022", - "forks": "4,907", - "addStars": "106", + "stars": "1,841", + "forks": "491", + "addStars": "37", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2212879?s=40&v=4", - "name": "leecow", - "url": "https://github.com/leecow" + "avatar": "https://avatars.githubusercontent.com/u/5581235?s=40&v=4", + "name": "mmashwani", + "url": "https://github.com/mmashwani" }, { - "avatar": "https://avatars.githubusercontent.com/u/30737530?s=40&v=4", - "name": "rbhanda", - "url": "https://github.com/rbhanda" + "avatar": "https://avatars.githubusercontent.com/u/11931441?s=40&v=4", + "name": "seanlillis", + "url": "https://github.com/seanlillis" }, { - "avatar": "https://avatars.githubusercontent.com/u/2608468?s=40&v=4", - "name": "richlander", - "url": "https://github.com/richlander" + "avatar": "https://avatars.githubusercontent.com/u/20016096?s=40&v=4", + "name": "luki1412", + "url": "https://github.com/luki1412" }, { - "avatar": "https://avatars.githubusercontent.com/u/11581923?s=40&v=4", - "name": "vivmishra", - "url": "https://github.com/vivmishra" + "avatar": "https://avatars.githubusercontent.com/u/132217?s=40&v=4", + "name": "sintaxasn", + "url": "https://github.com/sintaxasn" }, { - "avatar": "https://avatars.githubusercontent.com/u/12971179?s=40&v=4", - "name": "mairaw", - "url": "https://github.com/mairaw" + "avatar": "https://avatars.githubusercontent.com/u/13512483?s=40&v=4", + "name": "amotaz", + "url": "https://github.com/amotaz" } ] }, { - "title": "hak5/usbrubberducky-payloads", - "url": "https://github.com/hak5/usbrubberducky-payloads", - "description": "The Official USB Rubber Ducky Payload Repository", + "title": "mantvydasb/RedTeaming-Tactics-and-Techniques", + "url": "https://github.com/mantvydasb/RedTeaming-Tactics-and-Techniques", + "description": "Red Teaming Tactics and Techniques", "language": "PowerShell", "languageColor": "#012456", - "stars": "4,173", - "forks": "1,348", - "addStars": "104", + "stars": "4,070", + "forks": "1,063", + "addStars": "51", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/58374531?s=40&v=4", - "name": "aleff-github", - "url": "https://github.com/aleff-github" + "avatar": "https://avatars.githubusercontent.com/u/5759727?s=40&v=4", + "name": "mantvydasb", + "url": "https://github.com/mantvydasb" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3768165?s=40&v=4", + "name": "dedmen", + "url": "https://github.com/dedmen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12843299?s=40&v=4", + "name": "JonasBK", + "url": "https://github.com/JonasBK" }, { - "avatar": "https://avatars.githubusercontent.com/u/9642419?s=40&v=4", - "name": "dallaswinger", - "url": "https://github.com/dallaswinger" + "avatar": "https://avatars.githubusercontent.com/u/28799711?s=40&v=4", + "name": "P7c0d32", + "url": "https://github.com/P7c0d32" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34392986?s=40&v=4", + "name": "TrueBad0ur", + "url": "https://github.com/TrueBad0ur" + } + ] + }, + { + "title": "jenkinsci/docker", + "url": "https://github.com/jenkinsci/docker", + "description": "Docker official jenkins repo", + "language": "PowerShell", + "languageColor": "#012456", + "stars": "6,728", + "forks": "4,554", + "addStars": "59", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/23651?s=40&v=4", + "name": "carlossg", + "url": "https://github.com/carlossg" }, { - "avatar": "https://avatars.githubusercontent.com/u/1307248?s=40&v=4", - "name": "hak5darren", - "url": "https://github.com/hak5darren" + "avatar": "https://avatars.githubusercontent.com/u/156685?s=40&v=4", + "name": "MarkEWaite", + "url": "https://github.com/MarkEWaite" }, { - "avatar": "https://avatars.githubusercontent.com/u/79219148?s=40&v=4", - "name": "0i41E", - "url": "https://github.com/0i41E" + "avatar": "https://avatars.githubusercontent.com/u/1522731?s=40&v=4", + "name": "dduportal", + "url": "https://github.com/dduportal" }, { - "avatar": "https://avatars.githubusercontent.com/u/115900893?s=40&v=4", - "name": "hak5peaks", - "url": "https://github.com/hak5peaks" + "avatar": "https://avatars.githubusercontent.com/u/55871?s=40&v=4", + "name": "slide", + "url": "https://github.com/slide" + } + ] + }, + { + "title": "ChrisTitusTech/winutil", + "url": "https://github.com/ChrisTitusTech/winutil", + "description": "Chris Titus Tech's Windows Utility - Install Programs, Tweaks, Fixes, and Updates", + "language": "PowerShell", + "languageColor": "#012456", + "stars": "24,687", + "forks": "1,500", + "addStars": "1,440", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7896101?s=40&v=4", + "name": "ChrisTitusTech", + "url": "https://github.com/ChrisTitusTech" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/121827219?s=40&v=4", + "name": "MyDrift-user", + "url": "https://github.com/MyDrift-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70659536?s=40&v=4", + "name": "og-mrk", + "url": "https://github.com/og-mrk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47688561?s=40&v=4", + "name": "Marterich", + "url": "https://github.com/Marterich" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101426328?s=40&v=4", + "name": "CodingWonders", + "url": "https://github.com/CodingWonders" } ] }, @@ -444,7 +476,7 @@ "description": "The source for REST API specifications for Microsoft Azure.", "language": "PowerShell", "languageColor": "#012456", - "stars": "2,692", + "stars": "2,693", "forks": "5,115", "addStars": "62", "contributors": [ @@ -476,39 +508,39 @@ ] }, { - "title": "samratashok/nishang", - "url": "https://github.com/samratashok/nishang", - "description": "Nishang - Offensive PowerShell for red team, penetration testing and offensive security.", + "title": "I-Am-Jakoby/Flipper-Zero-BadUSB", + "url": "https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB", + "description": "Repository for my flipper zero badUSB payloads. Now almost entirely plug and play.", "language": "PowerShell", "languageColor": "#012456", - "stars": "8,808", - "forks": "2,450", - "addStars": "79", + "stars": "5,327", + "forks": "674", + "addStars": "144", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1753291?s=40&v=4", - "name": "samratashok", - "url": "https://github.com/samratashok" + "avatar": "https://avatars.githubusercontent.com/u/20657601?s=40&v=4", + "name": "I-Am-Jakoby", + "url": "https://github.com/I-Am-Jakoby" }, { - "avatar": "https://avatars.githubusercontent.com/u/5989847?s=40&v=4", - "name": "sammbertram", - "url": "https://github.com/sammbertram" + "avatar": "https://avatars.githubusercontent.com/u/79380289?s=40&v=4", + "name": "Kavitate", + "url": "https://github.com/Kavitate" }, { - "avatar": "https://avatars.githubusercontent.com/u/4646697?s=40&v=4", - "name": "DrDinosaur", - "url": "https://github.com/DrDinosaur" + "avatar": "https://avatars.githubusercontent.com/u/57457139?s=40&v=4", + "name": "UberGuidoZ", + "url": "https://github.com/UberGuidoZ" }, { - "avatar": "https://avatars.githubusercontent.com/u/13077860?s=40&v=4", - "name": "Dliv3", - "url": "https://github.com/Dliv3" + "avatar": "https://avatars.githubusercontent.com/u/1720644?s=40&v=4", + "name": "nocomp", + "url": "https://github.com/nocomp" }, { - "avatar": "https://avatars.githubusercontent.com/u/17518559?s=40&v=4", - "name": "zer1t0", - "url": "https://github.com/zer1t0" + "avatar": "https://avatars.githubusercontent.com/u/108245223?s=40&v=4", + "name": "FalsePhilosopher", + "url": "https://github.com/FalsePhilosopher" } ] }, @@ -549,38 +581,6 @@ } ] }, - { - "title": "jenkinsci/docker", - "url": "https://github.com/jenkinsci/docker", - "description": "Docker official jenkins repo", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "6,728", - "forks": "4,553", - "addStars": "59", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/23651?s=40&v=4", - "name": "carlossg", - "url": "https://github.com/carlossg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/156685?s=40&v=4", - "name": "MarkEWaite", - "url": "https://github.com/MarkEWaite" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1522731?s=40&v=4", - "name": "dduportal", - "url": "https://github.com/dduportal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55871?s=40&v=4", - "name": "slide", - "url": "https://github.com/slide" - } - ] - }, { "title": "dotnet/machinelearning-samples", "url": "https://github.com/dotnet/machinelearning-samples", @@ -617,43 +617,6 @@ "url": "https://github.com/mariuszwojcik" } ] - }, - { - "title": "PSAppDeployToolkit/PSAppDeployToolkit", - "url": "https://github.com/PSAppDeployToolkit/PSAppDeployToolkit", - "description": "Project Homepage & Forums", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "1,841", - "forks": "491", - "addStars": "37", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5581235?s=40&v=4", - "name": "mmashwani", - "url": "https://github.com/mmashwani" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11931441?s=40&v=4", - "name": "seanlillis", - "url": "https://github.com/seanlillis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20016096?s=40&v=4", - "name": "luki1412", - "url": "https://github.com/luki1412" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/132217?s=40&v=4", - "name": "sintaxasn", - "url": "https://github.com/sintaxasn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13512483?s=40&v=4", - "name": "amotaz", - "url": "https://github.com/amotaz" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/powershell.xml b/data/monthly/powershell.xml index db5bc27d6a58..78feaf040bd6 100644 --- a/data/monthly/powershell.xml +++ b/data/monthly/powershell.xml @@ -3,7 +3,7 @@ GitHub Powershell Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Powershell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ntdevlabs/tiny11builder https://github.com/ntdevlabs/tiny11builder @@ -11,8 +11,8 @@ https://github.com/ntdevlabs/tiny11builder PowerShell #012456 - 10,306 - 877 + 10,310 + 876 785 @@ -43,68 +43,40 @@ - microsoft/WSL - https://github.com/microsoft/WSL - Issues found on WSL - https://github.com/microsoft/WSL + Raphire/Win11Debloat + https://github.com/Raphire/Win11Debloat + A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11. + https://github.com/Raphire/Win11Debloat PowerShell #012456 - 17,512 - 822 - 162 + 14,252 + 618 + 874 - https://avatars.githubusercontent.com/u/42221804?s=40&v=4 - craigloewen-msft - https://github.com/craigloewen-msft - - - https://avatars.githubusercontent.com/u/16477878?s=40&v=4 - OneBlue - https://github.com/OneBlue - - - https://avatars.githubusercontent.com/u/2711825?s=40&v=4 - jackchammons - https://github.com/jackchammons - - - https://avatars.githubusercontent.com/u/25061493?s=40&v=4 - CatalinFetoiu - https://github.com/CatalinFetoiu + https://avatars.githubusercontent.com/u/9938813?s=40&v=4 + Raphire + https://github.com/Raphire - https://avatars.githubusercontent.com/u/63634471?s=40&v=4 - keith-horton - https://github.com/keith-horton + https://avatars.githubusercontent.com/u/8408603?s=40&v=4 + jasonpatrickellykrause + https://github.com/jasonpatrickellykrause - - - - actions/runner-images - https://github.com/actions/runner-images - GitHub Actions runner images - https://github.com/actions/runner-images - PowerShell - #012456 - 10,231 - 3,075 - 154 - - https://avatars.githubusercontent.com/u/72681142?s=40&v=4 - 459680 - https://github.com/459680 + https://avatars.githubusercontent.com/u/22633385?s=40&v=4 + eltociear + https://github.com/eltociear - https://avatars.githubusercontent.com/u/47745270?s=40&v=4 - al-cheb - https://github.com/al-cheb + https://avatars.githubusercontent.com/u/43364021?s=40&v=4 + JMacIV + https://github.com/JMacIV - https://avatars.githubusercontent.com/u/48208649?s=40&v=4 - miketimofeev - https://github.com/miketimofeev + https://avatars.githubusercontent.com/u/151682543?s=40&v=4 + DrbitsOFFICIAL + https://github.com/DrbitsOFFICIAL @@ -115,8 +87,8 @@ https://github.com/microsoft/winget-pkgs PowerShell #012456 - 8,751 - 4,565 + 8,752 + 4,566 146 @@ -147,40 +119,68 @@ - Raphire/Win11Debloat - https://github.com/Raphire/Win11Debloat - A simple, easy to use PowerShell script to remove pre-installed apps from Windows, disable telemetry, remove Bing from Windows search as well as perform various other changes to declutter and improve your Windows experience. This script works for both Windows 10 and Windows 11. - https://github.com/Raphire/Win11Debloat + actions/runner-images + https://github.com/actions/runner-images + GitHub Actions runner images + https://github.com/actions/runner-images PowerShell #012456 - 14,247 - 618 - 874 + 10,235 + 3,075 + 154 - https://avatars.githubusercontent.com/u/9938813?s=40&v=4 - Raphire - https://github.com/Raphire + https://avatars.githubusercontent.com/u/72681142?s=40&v=4 + 459680 + https://github.com/459680 - https://avatars.githubusercontent.com/u/8408603?s=40&v=4 - jasonpatrickellykrause - https://github.com/jasonpatrickellykrause + https://avatars.githubusercontent.com/u/47745270?s=40&v=4 + al-cheb + https://github.com/al-cheb - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear + https://avatars.githubusercontent.com/u/48208649?s=40&v=4 + miketimofeev + https://github.com/miketimofeev + + + + + microsoft/WSL + https://github.com/microsoft/WSL + Issues found on WSL + https://github.com/microsoft/WSL + PowerShell + #012456 + 17,514 + 822 + 162 + + + https://avatars.githubusercontent.com/u/42221804?s=40&v=4 + craigloewen-msft + https://github.com/craigloewen-msft - https://avatars.githubusercontent.com/u/43364021?s=40&v=4 - JMacIV - https://github.com/JMacIV + https://avatars.githubusercontent.com/u/16477878?s=40&v=4 + OneBlue + https://github.com/OneBlue - https://avatars.githubusercontent.com/u/151682543?s=40&v=4 - DrbitsOFFICIAL - https://github.com/DrbitsOFFICIAL + https://avatars.githubusercontent.com/u/2711825?s=40&v=4 + jackchammons + https://github.com/jackchammons + + + https://avatars.githubusercontent.com/u/25061493?s=40&v=4 + CatalinFetoiu + https://github.com/CatalinFetoiu + + + https://avatars.githubusercontent.com/u/63634471?s=40&v=4 + keith-horton + https://github.com/keith-horton @@ -191,7 +191,7 @@ https://github.com/cisagov/ScubaGear PowerShell #012456 - 1,762 + 1,764 228 143 @@ -222,44 +222,6 @@ - - ChrisTitusTech/winutil - https://github.com/ChrisTitusTech/winutil - Chris Titus Tech's Windows Utility - Install Programs, Tweaks, Fixes, and Updates - https://github.com/ChrisTitusTech/winutil - PowerShell - #012456 - 24,673 - 1,499 - 1,440 - - - https://avatars.githubusercontent.com/u/7896101?s=40&v=4 - ChrisTitusTech - https://github.com/ChrisTitusTech - - - https://avatars.githubusercontent.com/u/121827219?s=40&v=4 - MyDrift-user - https://github.com/MyDrift-user - - - https://avatars.githubusercontent.com/u/70659536?s=40&v=4 - og-mrk - https://github.com/og-mrk - - - https://avatars.githubusercontent.com/u/47688561?s=40&v=4 - Marterich - https://github.com/Marterich - - - https://avatars.githubusercontent.com/u/101426328?s=40&v=4 - CodingWonders - https://github.com/CodingWonders - - - PowerShellMafia/PowerSploit https://github.com/PowerShellMafia/PowerSploit @@ -299,40 +261,40 @@ - mantvydasb/RedTeaming-Tactics-and-Techniques - https://github.com/mantvydasb/RedTeaming-Tactics-and-Techniques - Red Teaming Tactics and Techniques - https://github.com/mantvydasb/RedTeaming-Tactics-and-Techniques + dotnet/core + https://github.com/dotnet/core + .NET news, announcements, release notes, and more! + https://github.com/dotnet/core PowerShell #012456 - 4,069 - 1,063 - 51 + 21,024 + 4,907 + 106 - https://avatars.githubusercontent.com/u/5759727?s=40&v=4 - mantvydasb - https://github.com/mantvydasb + https://avatars.githubusercontent.com/u/2212879?s=40&v=4 + leecow + https://github.com/leecow - https://avatars.githubusercontent.com/u/3768165?s=40&v=4 - dedmen - https://github.com/dedmen + https://avatars.githubusercontent.com/u/30737530?s=40&v=4 + rbhanda + https://github.com/rbhanda - https://avatars.githubusercontent.com/u/12843299?s=40&v=4 - JonasBK - https://github.com/JonasBK + https://avatars.githubusercontent.com/u/2608468?s=40&v=4 + richlander + https://github.com/richlander - https://avatars.githubusercontent.com/u/28799711?s=40&v=4 - P7c0d32 - https://github.com/P7c0d32 + https://avatars.githubusercontent.com/u/11581923?s=40&v=4 + vivmishra + https://github.com/vivmishra - https://avatars.githubusercontent.com/u/34392986?s=40&v=4 - TrueBad0ur - https://github.com/TrueBad0ur + https://avatars.githubusercontent.com/u/12971179?s=40&v=4 + mairaw + https://github.com/mairaw @@ -343,7 +305,7 @@ https://github.com/BloodHoundAD/BloodHound PowerShell #012456 - 9,917 + 9,920 1,734 92 @@ -375,78 +337,149 @@ - dotnet/core - https://github.com/dotnet/core - .NET news, announcements, release notes, and more! - https://github.com/dotnet/core + PSAppDeployToolkit/PSAppDeployToolkit + https://github.com/PSAppDeployToolkit/PSAppDeployToolkit + Project Homepage & Forums + https://github.com/PSAppDeployToolkit/PSAppDeployToolkit PowerShell #012456 - 21,022 - 4,907 - 106 + 1,841 + 491 + 37 - https://avatars.githubusercontent.com/u/2212879?s=40&v=4 - leecow - https://github.com/leecow + https://avatars.githubusercontent.com/u/5581235?s=40&v=4 + mmashwani + https://github.com/mmashwani - https://avatars.githubusercontent.com/u/30737530?s=40&v=4 - rbhanda - https://github.com/rbhanda + https://avatars.githubusercontent.com/u/11931441?s=40&v=4 + seanlillis + https://github.com/seanlillis - https://avatars.githubusercontent.com/u/2608468?s=40&v=4 - richlander - https://github.com/richlander + https://avatars.githubusercontent.com/u/20016096?s=40&v=4 + luki1412 + https://github.com/luki1412 - https://avatars.githubusercontent.com/u/11581923?s=40&v=4 - vivmishra - https://github.com/vivmishra + https://avatars.githubusercontent.com/u/132217?s=40&v=4 + sintaxasn + https://github.com/sintaxasn - https://avatars.githubusercontent.com/u/12971179?s=40&v=4 - mairaw - https://github.com/mairaw + https://avatars.githubusercontent.com/u/13512483?s=40&v=4 + amotaz + https://github.com/amotaz - hak5/usbrubberducky-payloads - https://github.com/hak5/usbrubberducky-payloads - The Official USB Rubber Ducky Payload Repository - https://github.com/hak5/usbrubberducky-payloads + mantvydasb/RedTeaming-Tactics-and-Techniques + https://github.com/mantvydasb/RedTeaming-Tactics-and-Techniques + Red Teaming Tactics and Techniques + https://github.com/mantvydasb/RedTeaming-Tactics-and-Techniques PowerShell #012456 - 4,173 - 1,348 - 104 + 4,070 + 1,063 + 51 - https://avatars.githubusercontent.com/u/58374531?s=40&v=4 - aleff-github - https://github.com/aleff-github + https://avatars.githubusercontent.com/u/5759727?s=40&v=4 + mantvydasb + https://github.com/mantvydasb + + + https://avatars.githubusercontent.com/u/3768165?s=40&v=4 + dedmen + https://github.com/dedmen + + + https://avatars.githubusercontent.com/u/12843299?s=40&v=4 + JonasBK + https://github.com/JonasBK + + + https://avatars.githubusercontent.com/u/28799711?s=40&v=4 + P7c0d32 + https://github.com/P7c0d32 - https://avatars.githubusercontent.com/u/9642419?s=40&v=4 - dallaswinger - https://github.com/dallaswinger + https://avatars.githubusercontent.com/u/34392986?s=40&v=4 + TrueBad0ur + https://github.com/TrueBad0ur + + + + + jenkinsci/docker + https://github.com/jenkinsci/docker + Docker official jenkins repo + https://github.com/jenkinsci/docker + PowerShell + #012456 + 6,728 + 4,554 + 59 + + + https://avatars.githubusercontent.com/u/23651?s=40&v=4 + carlossg + https://github.com/carlossg - https://avatars.githubusercontent.com/u/1307248?s=40&v=4 - hak5darren - https://github.com/hak5darren + https://avatars.githubusercontent.com/u/156685?s=40&v=4 + MarkEWaite + https://github.com/MarkEWaite - https://avatars.githubusercontent.com/u/79219148?s=40&v=4 - 0i41E - https://github.com/0i41E + https://avatars.githubusercontent.com/u/1522731?s=40&v=4 + dduportal + https://github.com/dduportal - https://avatars.githubusercontent.com/u/115900893?s=40&v=4 - hak5peaks - https://github.com/hak5peaks + https://avatars.githubusercontent.com/u/55871?s=40&v=4 + slide + https://github.com/slide + + + + + ChrisTitusTech/winutil + https://github.com/ChrisTitusTech/winutil + Chris Titus Tech's Windows Utility - Install Programs, Tweaks, Fixes, and Updates + https://github.com/ChrisTitusTech/winutil + PowerShell + #012456 + 24,687 + 1,500 + 1,440 + + + https://avatars.githubusercontent.com/u/7896101?s=40&v=4 + ChrisTitusTech + https://github.com/ChrisTitusTech + + + https://avatars.githubusercontent.com/u/121827219?s=40&v=4 + MyDrift-user + https://github.com/MyDrift-user + + + https://avatars.githubusercontent.com/u/70659536?s=40&v=4 + og-mrk + https://github.com/og-mrk + + + https://avatars.githubusercontent.com/u/47688561?s=40&v=4 + Marterich + https://github.com/Marterich + + + https://avatars.githubusercontent.com/u/101426328?s=40&v=4 + CodingWonders + https://github.com/CodingWonders @@ -457,7 +490,7 @@ https://github.com/Azure/azure-rest-api-specs PowerShell #012456 - 2,692 + 2,693 5,115 62 @@ -489,40 +522,40 @@ - samratashok/nishang - https://github.com/samratashok/nishang - Nishang - Offensive PowerShell for red team, penetration testing and offensive security. - https://github.com/samratashok/nishang + I-Am-Jakoby/Flipper-Zero-BadUSB + https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB + Repository for my flipper zero badUSB payloads. Now almost entirely plug and play. + https://github.com/I-Am-Jakoby/Flipper-Zero-BadUSB PowerShell #012456 - 8,808 - 2,450 - 79 + 5,327 + 674 + 144 - https://avatars.githubusercontent.com/u/1753291?s=40&v=4 - samratashok - https://github.com/samratashok + https://avatars.githubusercontent.com/u/20657601?s=40&v=4 + I-Am-Jakoby + https://github.com/I-Am-Jakoby - https://avatars.githubusercontent.com/u/5989847?s=40&v=4 - sammbertram - https://github.com/sammbertram + https://avatars.githubusercontent.com/u/79380289?s=40&v=4 + Kavitate + https://github.com/Kavitate - https://avatars.githubusercontent.com/u/4646697?s=40&v=4 - DrDinosaur - https://github.com/DrDinosaur + https://avatars.githubusercontent.com/u/57457139?s=40&v=4 + UberGuidoZ + https://github.com/UberGuidoZ - https://avatars.githubusercontent.com/u/13077860?s=40&v=4 - Dliv3 - https://github.com/Dliv3 + https://avatars.githubusercontent.com/u/1720644?s=40&v=4 + nocomp + https://github.com/nocomp - https://avatars.githubusercontent.com/u/17518559?s=40&v=4 - zer1t0 - https://github.com/zer1t0 + https://avatars.githubusercontent.com/u/108245223?s=40&v=4 + FalsePhilosopher + https://github.com/FalsePhilosopher @@ -564,39 +597,6 @@ - - jenkinsci/docker - https://github.com/jenkinsci/docker - Docker official jenkins repo - https://github.com/jenkinsci/docker - PowerShell - #012456 - 6,728 - 4,553 - 59 - - - https://avatars.githubusercontent.com/u/23651?s=40&v=4 - carlossg - https://github.com/carlossg - - - https://avatars.githubusercontent.com/u/156685?s=40&v=4 - MarkEWaite - https://github.com/MarkEWaite - - - https://avatars.githubusercontent.com/u/1522731?s=40&v=4 - dduportal - https://github.com/dduportal - - - https://avatars.githubusercontent.com/u/55871?s=40&v=4 - slide - https://github.com/slide - - - dotnet/machinelearning-samples https://github.com/dotnet/machinelearning-samples @@ -635,43 +635,5 @@ - - PSAppDeployToolkit/PSAppDeployToolkit - https://github.com/PSAppDeployToolkit/PSAppDeployToolkit - Project Homepage & Forums - https://github.com/PSAppDeployToolkit/PSAppDeployToolkit - PowerShell - #012456 - 1,841 - 491 - 37 - - - https://avatars.githubusercontent.com/u/5581235?s=40&v=4 - mmashwani - https://github.com/mmashwani - - - https://avatars.githubusercontent.com/u/11931441?s=40&v=4 - seanlillis - https://github.com/seanlillis - - - https://avatars.githubusercontent.com/u/20016096?s=40&v=4 - luki1412 - https://github.com/luki1412 - - - https://avatars.githubusercontent.com/u/132217?s=40&v=4 - sintaxasn - https://github.com/sintaxasn - - - https://avatars.githubusercontent.com/u/13512483?s=40&v=4 - amotaz - https://github.com/amotaz - - - \ No newline at end of file diff --git a/data/monthly/praat.json b/data/monthly/praat.json index f78fb87731a4..9c6a3a06a9ad 100644 --- a/data/monthly/praat.json +++ b/data/monthly/praat.json @@ -2,6 +2,6 @@ "title": "GitHub Praat Languages Monthly Trending", "description": "Monthly Trending of Praat Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/praat.xml b/data/monthly/praat.xml index d0269033e034..631bcd859a22 100644 --- a/data/monthly/praat.xml +++ b/data/monthly/praat.xml @@ -3,6 +3,6 @@ GitHub Praat Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Praat Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/prisma.json b/data/monthly/prisma.json index 5550b1ab2d5e..70fb29d0e614 100644 --- a/data/monthly/prisma.json +++ b/data/monthly/prisma.json @@ -2,6 +2,6 @@ "title": "GitHub Prisma Languages Monthly Trending", "description": "Monthly Trending of Prisma Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/prisma.xml b/data/monthly/prisma.xml index 58a4f1883f7a..00a454af3953 100644 --- a/data/monthly/prisma.xml +++ b/data/monthly/prisma.xml @@ -3,6 +3,6 @@ GitHub Prisma Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Prisma Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/processing.json b/data/monthly/processing.json index 2eac14979093..37d87a77d6fc 100644 --- a/data/monthly/processing.json +++ b/data/monthly/processing.json @@ -2,6 +2,6 @@ "title": "GitHub Processing Languages Monthly Trending", "description": "Monthly Trending of Processing Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/processing.xml b/data/monthly/processing.xml index 254edac0dbb0..5840df9a4159 100644 --- a/data/monthly/processing.xml +++ b/data/monthly/processing.xml @@ -3,6 +3,6 @@ GitHub Processing Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Processing Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/procfile.json b/data/monthly/procfile.json index 95aaab987f3b..2e42ce4446bf 100644 --- a/data/monthly/procfile.json +++ b/data/monthly/procfile.json @@ -2,6 +2,6 @@ "title": "GitHub Procfile Languages Monthly Trending", "description": "Monthly Trending of Procfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/procfile.xml b/data/monthly/procfile.xml index ed5075845de6..1bc8fdebda72 100644 --- a/data/monthly/procfile.xml +++ b/data/monthly/procfile.xml @@ -3,6 +3,6 @@ GitHub Procfile Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Procfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/proguard.json b/data/monthly/proguard.json index 4cfe22e0c275..6372edbeac44 100644 --- a/data/monthly/proguard.json +++ b/data/monthly/proguard.json @@ -2,6 +2,6 @@ "title": "GitHub Proguard Languages Monthly Trending", "description": "Monthly Trending of Proguard Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/proguard.xml b/data/monthly/proguard.xml index c32293088742..a88723932743 100644 --- a/data/monthly/proguard.xml +++ b/data/monthly/proguard.xml @@ -3,6 +3,6 @@ GitHub Proguard Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Proguard Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/prolog.json b/data/monthly/prolog.json index f42cd8fcf5e4..5d1368b111fd 100644 --- a/data/monthly/prolog.json +++ b/data/monthly/prolog.json @@ -2,7 +2,7 @@ "title": "GitHub Prolog Languages Monthly Trending", "description": "Monthly Trending of Prolog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nasa-jpl/open-source-rover", diff --git a/data/monthly/prolog.xml b/data/monthly/prolog.xml index 0be656dc0095..0525a1f2c156 100644 --- a/data/monthly/prolog.xml +++ b/data/monthly/prolog.xml @@ -3,7 +3,7 @@ GitHub Prolog Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Prolog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nasa-jpl/open-source-rover https://github.com/nasa-jpl/open-source-rover diff --git a/data/monthly/promela.json b/data/monthly/promela.json index a2196eee0e02..4858618aaa39 100644 --- a/data/monthly/promela.json +++ b/data/monthly/promela.json @@ -2,6 +2,6 @@ "title": "GitHub Promela Languages Monthly Trending", "description": "Monthly Trending of Promela Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/promela.xml b/data/monthly/promela.xml index 9c3f3635bf35..4d11f4903e31 100644 --- a/data/monthly/promela.xml +++ b/data/monthly/promela.xml @@ -3,6 +3,6 @@ GitHub Promela Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Promela Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/propeller-spin.json b/data/monthly/propeller-spin.json index deb2c82a7446..269b7d44bfa2 100644 --- a/data/monthly/propeller-spin.json +++ b/data/monthly/propeller-spin.json @@ -2,6 +2,6 @@ "title": "GitHub Propeller-spin Languages Monthly Trending", "description": "Monthly Trending of Propeller-spin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/propeller-spin.xml b/data/monthly/propeller-spin.xml index fa6f60664d40..d1b781c008ef 100644 --- a/data/monthly/propeller-spin.xml +++ b/data/monthly/propeller-spin.xml @@ -3,6 +3,6 @@ GitHub Propeller-spin Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Propeller-spin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/protocol-buffer-text-format.json b/data/monthly/protocol-buffer-text-format.json index 931eebbb4ca9..0c83c0ee1e27 100644 --- a/data/monthly/protocol-buffer-text-format.json +++ b/data/monthly/protocol-buffer-text-format.json @@ -2,6 +2,6 @@ "title": "GitHub Protocol-buffer-text-format Languages Monthly Trending", "description": "Monthly Trending of Protocol-buffer-text-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/protocol-buffer-text-format.xml b/data/monthly/protocol-buffer-text-format.xml index 0230400dec75..4059c13d8a82 100644 --- a/data/monthly/protocol-buffer-text-format.xml +++ b/data/monthly/protocol-buffer-text-format.xml @@ -3,6 +3,6 @@ GitHub Protocol-buffer-text-format Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Protocol-buffer-text-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/protocol-buffer.json b/data/monthly/protocol-buffer.json index 5821234bbe8a..3ced495ee614 100644 --- a/data/monthly/protocol-buffer.json +++ b/data/monthly/protocol-buffer.json @@ -2,6 +2,6 @@ "title": "GitHub Protocol-buffer Languages Monthly Trending", "description": "Monthly Trending of Protocol-buffer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/protocol-buffer.xml b/data/monthly/protocol-buffer.xml index 8e1b4d27b097..97f7edb948ca 100644 --- a/data/monthly/protocol-buffer.xml +++ b/data/monthly/protocol-buffer.xml @@ -3,6 +3,6 @@ GitHub Protocol-buffer Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Protocol-buffer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/public-key.json b/data/monthly/public-key.json index 46309229141d..f56f0dbd6c7f 100644 --- a/data/monthly/public-key.json +++ b/data/monthly/public-key.json @@ -2,6 +2,6 @@ "title": "GitHub Public-key Languages Monthly Trending", "description": "Monthly Trending of Public-key Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/public-key.xml b/data/monthly/public-key.xml index a37c301abd1a..0eee2e7f4216 100644 --- a/data/monthly/public-key.xml +++ b/data/monthly/public-key.xml @@ -3,6 +3,6 @@ GitHub Public-key Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Public-key Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/pug.json b/data/monthly/pug.json index 26f6be0243d4..2e76c0fc9256 100644 --- a/data/monthly/pug.json +++ b/data/monthly/pug.json @@ -2,7 +2,7 @@ "title": "GitHub Pug Languages Monthly Trending", "description": "Monthly Trending of Pug Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "StartBootstrap/startbootstrap-sb-admin", @@ -36,43 +36,6 @@ } ] }, - { - "title": "nigelpoulton/TheK8sBook", - "url": "https://github.com/nigelpoulton/TheK8sBook", - "description": "YAML files for use with The Kubernetes Book", - "language": "Pug", - "languageColor": "#a86454", - "stars": "818", - "forks": "663", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/10812787?s=40&v=4", - "name": "nigelpoulton", - "url": "https://github.com/nigelpoulton" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11719845?s=40&v=4", - "name": "KadoBOT", - "url": "https://github.com/KadoBOT" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5034?s=40&v=4", - "name": "lukaso", - "url": "https://github.com/lukaso" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/924610?s=40&v=4", - "name": "Muswell", - "url": "https://github.com/Muswell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1126591?s=40&v=4", - "name": "ekozlowski", - "url": "https://github.com/ekozlowski" - } - ] - }, { "title": "StartBootstrap/startbootstrap-resume", "url": "https://github.com/StartBootstrap/startbootstrap-resume", diff --git a/data/monthly/pug.xml b/data/monthly/pug.xml index d53a39786c43..93b946c256a8 100644 --- a/data/monthly/pug.xml +++ b/data/monthly/pug.xml @@ -3,7 +3,7 @@ GitHub Pug Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pug Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT StartBootstrap/startbootstrap-sb-admin https://github.com/StartBootstrap/startbootstrap-sb-admin @@ -37,44 +37,6 @@ - - nigelpoulton/TheK8sBook - https://github.com/nigelpoulton/TheK8sBook - YAML files for use with The Kubernetes Book - https://github.com/nigelpoulton/TheK8sBook - Pug - #a86454 - 818 - 663 - 14 - - - https://avatars.githubusercontent.com/u/10812787?s=40&v=4 - nigelpoulton - https://github.com/nigelpoulton - - - https://avatars.githubusercontent.com/u/11719845?s=40&v=4 - KadoBOT - https://github.com/KadoBOT - - - https://avatars.githubusercontent.com/u/5034?s=40&v=4 - lukaso - https://github.com/lukaso - - - https://avatars.githubusercontent.com/u/924610?s=40&v=4 - Muswell - https://github.com/Muswell - - - https://avatars.githubusercontent.com/u/1126591?s=40&v=4 - ekozlowski - https://github.com/ekozlowski - - - StartBootstrap/startbootstrap-resume https://github.com/StartBootstrap/startbootstrap-resume diff --git a/data/monthly/puppet.json b/data/monthly/puppet.json index e95f4062540a..4fff8660dc1a 100644 --- a/data/monthly/puppet.json +++ b/data/monthly/puppet.json @@ -2,7 +2,7 @@ "title": "GitHub Puppet Languages Monthly Trending", "description": "Monthly Trending of Puppet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "puppetlabs/puppetlabs-apache", @@ -40,43 +40,6 @@ "url": "https://github.com/ekohl" } ] - }, - { - "title": "elastic/puppet-logstashforwarder", - "url": "https://github.com/elastic/puppet-logstashforwarder", - "description": "Puppet module for Logstash Forwarder", - "language": "Puppet", - "languageColor": "#302B6D", - "stars": "31", - "forks": "81", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/51061?s=40&v=4", - "name": "ycombinator", - "url": "https://github.com/ycombinator" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/121029?s=40&v=4", - "name": "jlintz", - "url": "https://github.com/jlintz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/399431?s=40&v=4", - "name": "c10l", - "url": "https://github.com/c10l" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1322650?s=40&v=4", - "name": "jskarpe", - "url": "https://github.com/jskarpe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2184891?s=40&v=4", - "name": "camelloj", - "url": "https://github.com/camelloj" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/puppet.xml b/data/monthly/puppet.xml index 98bfc6de8454..bad75b94dce6 100644 --- a/data/monthly/puppet.xml +++ b/data/monthly/puppet.xml @@ -3,7 +3,7 @@ GitHub Puppet Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Puppet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT puppetlabs/puppetlabs-apache https://github.com/puppetlabs/puppetlabs-apache @@ -42,43 +42,5 @@ - - elastic/puppet-logstashforwarder - https://github.com/elastic/puppet-logstashforwarder - Puppet module for Logstash Forwarder - https://github.com/elastic/puppet-logstashforwarder - Puppet - #302B6D - 31 - 81 - 0 - - - https://avatars.githubusercontent.com/u/51061?s=40&v=4 - ycombinator - https://github.com/ycombinator - - - https://avatars.githubusercontent.com/u/121029?s=40&v=4 - jlintz - https://github.com/jlintz - - - https://avatars.githubusercontent.com/u/399431?s=40&v=4 - c10l - https://github.com/c10l - - - https://avatars.githubusercontent.com/u/1322650?s=40&v=4 - jskarpe - https://github.com/jskarpe - - - https://avatars.githubusercontent.com/u/2184891?s=40&v=4 - camelloj - https://github.com/camelloj - - - \ No newline at end of file diff --git a/data/monthly/pure-data.json b/data/monthly/pure-data.json index 10b600b01fea..42e8cd661716 100644 --- a/data/monthly/pure-data.json +++ b/data/monthly/pure-data.json @@ -2,6 +2,6 @@ "title": "GitHub Pure-data Languages Monthly Trending", "description": "Monthly Trending of Pure-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pure-data.xml b/data/monthly/pure-data.xml index 01be0d15f9a3..b7fc65f52df8 100644 --- a/data/monthly/pure-data.xml +++ b/data/monthly/pure-data.xml @@ -3,6 +3,6 @@ GitHub Pure-data Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pure-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/purebasic.json b/data/monthly/purebasic.json index 545913cd3e32..0c2225197db5 100644 --- a/data/monthly/purebasic.json +++ b/data/monthly/purebasic.json @@ -2,6 +2,6 @@ "title": "GitHub Purebasic Languages Monthly Trending", "description": "Monthly Trending of Purebasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/purebasic.xml b/data/monthly/purebasic.xml index ab06c9717c8f..44ae80c7ef79 100644 --- a/data/monthly/purebasic.xml +++ b/data/monthly/purebasic.xml @@ -3,6 +3,6 @@ GitHub Purebasic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Purebasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/purescript.json b/data/monthly/purescript.json index 5c158e3b4c62..fdfc2b16f6db 100644 --- a/data/monthly/purescript.json +++ b/data/monthly/purescript.json @@ -2,6 +2,44 @@ "title": "GitHub Purescript Languages Monthly Trending", "description": "Monthly Trending of Purescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "nammayatri/nammayatri", + "url": "https://github.com/nammayatri/nammayatri", + "description": "A Direct-to-Driver open mobility platform powering the next-generation of mobility applications in India.", + "language": "PureScript", + "languageColor": "#1D222D", + "stars": "1,622", + "forks": "181", + "addStars": "23", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/62455315?s=40&v=4", + "name": "witcher-shailesh", + "url": "https://github.com/witcher-shailesh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15854798?s=40&v=4", + "name": "hkmangla", + "url": "https://github.com/hkmangla" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38260510?s=40&v=4", + "name": "khuzema786", + "url": "https://github.com/khuzema786" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66685378?s=40&v=4", + "name": "vijaygupta18", + "url": "https://github.com/vijaygupta18" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33424495?s=40&v=4", + "name": "0utkarsh", + "url": "https://github.com/0utkarsh" + } + ] + } + ] } \ No newline at end of file diff --git a/data/monthly/purescript.xml b/data/monthly/purescript.xml index c4ea31f9e699..83ce9e89ed34 100644 --- a/data/monthly/purescript.xml +++ b/data/monthly/purescript.xml @@ -3,6 +3,44 @@ GitHub Purescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Purescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + nammayatri/nammayatri + https://github.com/nammayatri/nammayatri + A Direct-to-Driver open mobility platform powering the next-generation of mobility applications in India. + https://github.com/nammayatri/nammayatri + PureScript + #1D222D + 1,622 + 181 + 23 + + + https://avatars.githubusercontent.com/u/62455315?s=40&v=4 + witcher-shailesh + https://github.com/witcher-shailesh + + + https://avatars.githubusercontent.com/u/15854798?s=40&v=4 + hkmangla + https://github.com/hkmangla + + + https://avatars.githubusercontent.com/u/38260510?s=40&v=4 + khuzema786 + https://github.com/khuzema786 + + + https://avatars.githubusercontent.com/u/66685378?s=40&v=4 + vijaygupta18 + https://github.com/vijaygupta18 + + + https://avatars.githubusercontent.com/u/33424495?s=40&v=4 + 0utkarsh + https://github.com/0utkarsh + + + \ No newline at end of file diff --git a/data/monthly/pyret.json b/data/monthly/pyret.json index 6c385656a80f..632bf16aa46f 100644 --- a/data/monthly/pyret.json +++ b/data/monthly/pyret.json @@ -2,6 +2,6 @@ "title": "GitHub Pyret Languages Monthly Trending", "description": "Monthly Trending of Pyret Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/pyret.xml b/data/monthly/pyret.xml index e1b785c1356e..a0d77a375dc2 100644 --- a/data/monthly/pyret.xml +++ b/data/monthly/pyret.xml @@ -3,6 +3,6 @@ GitHub Pyret Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Pyret Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/python-console.json b/data/monthly/python-console.json index 60115d4cd4d5..79445f3e462d 100644 --- a/data/monthly/python-console.json +++ b/data/monthly/python-console.json @@ -2,6 +2,6 @@ "title": "GitHub Python-console Languages Monthly Trending", "description": "Monthly Trending of Python-console Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/python-console.xml b/data/monthly/python-console.xml index 514d22156e27..776caf2ffd1a 100644 --- a/data/monthly/python-console.xml +++ b/data/monthly/python-console.xml @@ -3,6 +3,6 @@ GitHub Python-console Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Python-console Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/python-traceback.json b/data/monthly/python-traceback.json index 69d54e927224..a29be724d0af 100644 --- a/data/monthly/python-traceback.json +++ b/data/monthly/python-traceback.json @@ -2,6 +2,6 @@ "title": "GitHub Python-traceback Languages Monthly Trending", "description": "Monthly Trending of Python-traceback Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/python-traceback.xml b/data/monthly/python-traceback.xml index f2851e29ad06..6efb55fd1d22 100644 --- a/data/monthly/python-traceback.xml +++ b/data/monthly/python-traceback.xml @@ -3,6 +3,6 @@ GitHub Python-traceback Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Python-traceback Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/python.json b/data/monthly/python.json index 07e0f7165f61..18162faaf779 100644 --- a/data/monthly/python.json +++ b/data/monthly/python.json @@ -2,16 +2,48 @@ "title": "GitHub Python Languages Monthly Trending", "description": "Monthly Trending of Python Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "DS4SD/docling", + "url": "https://github.com/DS4SD/docling", + "description": "Get your documents ready for gen AI", + "language": "Python", + "languageColor": "#3572A5", + "stars": "10,890", + "forks": "533", + "addStars": "10,102", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/97102151?s=40&v=4", + "name": "dolfim-ibm", + "url": "https://github.com/dolfim-ibm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35837085?s=40&v=4", + "name": "vagenas", + "url": "https://github.com/vagenas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60343111?s=40&v=4", + "name": "cau-git", + "url": "https://github.com/cau-git" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101627549?s=40&v=4", + "name": "maxmnemonic", + "url": "https://github.com/maxmnemonic" + } + ] + }, { "title": "abi/screenshot-to-code", "url": "https://github.com/abi/screenshot-to-code", "description": "Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue)", "language": "Python", "languageColor": "#3572A5", - "stars": "61,241", - "forks": "7,497", + "stars": "61,360", + "forks": "7,505", "addStars": "4,289", "contributors": [ { @@ -42,7 +74,7 @@ "description": "A high-quality tool for convert PDF to Markdown and JSON.一站式开源高质量数据提取工具,将PDF转换成Markdown和JSON格式。", "language": "Python", "languageColor": "#3572A5", - "stars": "18,371", + "stars": "18,409", "forks": "1,313", "addStars": "5,159", "contributors": [ @@ -79,8 +111,8 @@ "description": "Free, open source crypto trading bot", "language": "Python", "languageColor": "#3572A5", - "stars": "31,211", - "forks": "6,362", + "stars": "31,237", + "forks": "6,367", "addStars": "2,687", "contributors": [ { @@ -111,8 +143,8 @@ "description": "🙌 OpenHands: Code Less, Make More", "language": "Python", "languageColor": "#3572A5", - "stars": "37,338", - "forks": "4,224", + "stars": "37,350", + "forks": "4,228", "addStars": "4,323", "contributors": [ { @@ -143,7 +175,7 @@ "description": "Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral).", "language": "Python", "languageColor": "#3572A5", - "stars": "16,099", + "stars": "16,123", "forks": "794", "addStars": "3,268", "contributors": [ @@ -174,51 +206,14 @@ } ] }, - { - "title": "Skyvern-AI/skyvern", - "url": "https://github.com/Skyvern-AI/skyvern", - "description": "Automate browser-based workflows with LLMs and Computer Vision", - "language": "Python", - "languageColor": "#3572A5", - "stars": "10,667", - "forks": "734", - "addStars": "4,645", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/26116031?s=40&v=4", - "name": "ykeremy", - "url": "https://github.com/ykeremy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5225702?s=40&v=4", - "name": "wintonzheng", - "url": "https://github.com/wintonzheng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26927551?s=40&v=4", - "name": "LawyZheng", - "url": "https://github.com/LawyZheng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26147738?s=40&v=4", - "name": "msalihaltun", - "url": "https://github.com/msalihaltun" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3853670?s=40&v=4", - "name": "suchintan", - "url": "https://github.com/suchintan" - } - ] - }, { "title": "microsoft/autogen", "url": "https://github.com/microsoft/autogen", "description": "A programming framework for agentic AI 🤖", "language": "Python", "languageColor": "#3572A5", - "stars": "34,774", - "forks": "5,033", + "stars": "34,795", + "forks": "5,036", "addStars": "2,585", "contributors": [ { @@ -254,8 +249,8 @@ "description": "The best and simplest free open source web page change detection, website watcher, restock monitor and notification service. Restock Monitor, change detection. Designed for simplicity - Simply monitor which websites had a text change for free. Free Open source web page change detection, Website defacement monitoring, Price change notification", "language": "Python", "languageColor": "#3572A5", - "stars": "19,631", - "forks": "1,063", + "stars": "19,653", + "forks": "1,066", "addStars": "2,222", "contributors": [ { @@ -286,8 +281,8 @@ "description": "🎨 Diagram as Code for prototyping cloud system architectures", "language": "Python", "languageColor": "#3572A5", - "stars": "39,653", - "forks": "2,543", + "stars": "39,659", + "forks": "2,544", "addStars": "2,191", "contributors": [ { @@ -318,8 +313,8 @@ "description": "📺IPTV电视直播源更新工具🚀:包含💰央视、📡卫视、☘️广东及各省份地方台、🌊港·澳·台、🎬电影、🎥咪咕、🏀体育、🪁动画、🎮游戏、🎵音乐、🏛经典剧场;支持自定义增加频道;支持组播源、酒店源、订阅源、关键字搜索;每天自动更新两次,结果可用于TVBox等播放软件;支持工作流、Docker(amd64/arm64)、命令行、GUI运行方式 | IPTV live TV source update tool", "language": "Python", "languageColor": "#3572A5", - "stars": "6,081", - "forks": "1,389", + "stars": "6,111", + "forks": "1,391", "addStars": "3,246", "contributors": [ { @@ -334,13 +329,50 @@ } ] }, + { + "title": "Skyvern-AI/skyvern", + "url": "https://github.com/Skyvern-AI/skyvern", + "description": "Automate browser-based workflows with LLMs and Computer Vision", + "language": "Python", + "languageColor": "#3572A5", + "stars": "10,678", + "forks": "735", + "addStars": "4,645", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26116031?s=40&v=4", + "name": "ykeremy", + "url": "https://github.com/ykeremy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5225702?s=40&v=4", + "name": "wintonzheng", + "url": "https://github.com/wintonzheng" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26927551?s=40&v=4", + "name": "LawyZheng", + "url": "https://github.com/LawyZheng" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26147738?s=40&v=4", + "name": "msalihaltun", + "url": "https://github.com/msalihaltun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3853670?s=40&v=4", + "name": "suchintan", + "url": "https://github.com/suchintan" + } + ] + }, { "title": "exo-explore/exo", "url": "https://github.com/exo-explore/exo", "description": "Run your own AI cluster at home with everyday devices 📱💻 🖥️⌚", "language": "Python", "languageColor": "#3572A5", - "stars": "15,865", + "stars": "15,913", "forks": "844", "addStars": "5,085", "contributors": [ @@ -377,8 +409,8 @@ "description": "The official gpt4free repository | various collection of powerful language models", "language": "Python", "languageColor": "#3572A5", - "stars": "62,196", - "forks": "13,382", + "stars": "62,206", + "forks": "13,384", "addStars": "1,925", "contributors": [ { @@ -414,7 +446,7 @@ "description": "The LLM's practical guide: From the fundamentals to deploying advanced LLM and RAG apps to AWS using LLMOps best practices", "language": "Python", "languageColor": "#3572A5", - "stars": "1,748", + "stars": "1,753", "forks": "277", "addStars": "901", "contributors": [ @@ -446,7 +478,7 @@ "description": "A community-supported supercharged version of paperless: scan, index and archive all your physical documents", "language": "Python", "languageColor": "#3572A5", - "stars": "22,220", + "stars": "22,234", "forks": "1,223", "addStars": "2,250", "contributors": [ @@ -477,51 +509,14 @@ } ] }, - { - "title": "jackfrued/Python-100-Days", - "url": "https://github.com/jackfrued/Python-100-Days", - "description": "Python - 100天从新手到大师", - "language": "Python", - "languageColor": "#3572A5", - "stars": "158,014", - "forks": "52,499", - "addStars": "2,396", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7474657?s=40&v=4", - "name": "jackfrued", - "url": "https://github.com/jackfrued" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13017944?s=40&v=4", - "name": "softpo", - "url": "https://github.com/softpo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10865729?s=40&v=4", - "name": "geekya215", - "url": "https://github.com/geekya215" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/599268?s=40&v=4", - "name": "jankeromnes", - "url": "https://github.com/jankeromnes" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2331406?s=40&v=4", - "name": "royaso", - "url": "https://github.com/royaso" - } - ] - }, { "title": "geekan/MetaGPT", "url": "https://github.com/geekan/MetaGPT", "description": "🌟 The Multi-Agent Framework: First AI Software Company, Towards Natural Language Programming", "language": "Python", "languageColor": "#3572A5", - "stars": "45,442", - "forks": "5,408", + "stars": "45,457", + "forks": "5,411", "addStars": "965", "contributors": [ { @@ -552,113 +547,39 @@ ] }, { - "title": "Huanshere/VideoLingo", - "url": "https://github.com/Huanshere/VideoLingo", - "description": "Netflix-level subtitle cutting, translation, alignment, and even dubbing - one-click fully automated AI video subtitle team | Netflix级字幕切割、翻译、对齐、甚至加上配音,一键全自动视频搬运AI字幕组", - "language": "Python", - "languageColor": "#3572A5", - "stars": "7,127", - "forks": "687", - "addStars": "2,531", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/147359634?s=40&v=4", - "name": "Huanshere", - "url": "https://github.com/Huanshere" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62410385?s=40&v=4", - "name": "yxc0915", - "url": "https://github.com/yxc0915" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16796652?s=40&v=4", - "name": "nexmoe", - "url": "https://github.com/nexmoe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23065064?s=40&v=4", - "name": "hikariming", - "url": "https://github.com/hikariming" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29997045?s=40&v=4", - "name": "qianniucity", - "url": "https://github.com/qianniucity" - } - ] - }, - { - "title": "getomni-ai/zerox", - "url": "https://github.com/getomni-ai/zerox", - "description": "PDF to Markdown with vision models", - "language": "Python", - "languageColor": "#3572A5", - "stars": "6,667", - "forks": "362", - "addStars": "2,437", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/30934424?s=40&v=4", - "name": "tylermaran", - "url": "https://github.com/tylermaran" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44502862?s=40&v=4", - "name": "annapo23", - "url": "https://github.com/annapo23" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51786539?s=40&v=4", - "name": "xdotli", - "url": "https://github.com/xdotli" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/49173589?s=40&v=4", - "name": "kailingding", - "url": "https://github.com/kailingding" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32417658?s=40&v=4", - "name": "ZeeshanZulfiqarAli", - "url": "https://github.com/ZeeshanZulfiqarAli" - } - ] - }, - { - "title": "phidatahq/phidata", - "url": "https://github.com/phidatahq/phidata", - "description": "Build AI Agents with memory, knowledge, tools and reasoning. Chat with them using a beautiful Agent UI.", + "title": "jackfrued/Python-100-Days", + "url": "https://github.com/jackfrued/Python-100-Days", + "description": "Python - 100天从新手到大师", "language": "Python", "languageColor": "#3572A5", - "stars": "15,577", - "forks": "2,137", - "addStars": "2,112", + "stars": "158,037", + "forks": "52,502", + "addStars": "2,396", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/22579644?s=40&v=4", - "name": "ashpreetbedi", - "url": "https://github.com/ashpreetbedi" + "avatar": "https://avatars.githubusercontent.com/u/7474657?s=40&v=4", + "name": "jackfrued", + "url": "https://github.com/jackfrued" }, { - "avatar": "https://avatars.githubusercontent.com/u/101447028?s=40&v=4", - "name": "ysolanky", - "url": "https://github.com/ysolanky" + "avatar": "https://avatars.githubusercontent.com/u/13017944?s=40&v=4", + "name": "softpo", + "url": "https://github.com/softpo" }, { - "avatar": "https://avatars.githubusercontent.com/u/26749795?s=40&v=4", - "name": "jacobweiss2305", - "url": "https://github.com/jacobweiss2305" + "avatar": "https://avatars.githubusercontent.com/u/10865729?s=40&v=4", + "name": "geekya215", + "url": "https://github.com/geekya215" }, { - "avatar": "https://avatars.githubusercontent.com/u/42516515?s=40&v=4", - "name": "manthanguptaa", - "url": "https://github.com/manthanguptaa" + "avatar": "https://avatars.githubusercontent.com/u/599268?s=40&v=4", + "name": "jankeromnes", + "url": "https://github.com/jankeromnes" }, { - "avatar": "https://avatars.githubusercontent.com/u/97244608?s=40&v=4", - "name": "Ayush0054", - "url": "https://github.com/Ayush0054" + "avatar": "https://avatars.githubusercontent.com/u/2331406?s=40&v=4", + "name": "royaso", + "url": "https://github.com/royaso" } ] }, @@ -668,8 +589,8 @@ "description": "Letta (formerly MemGPT) is a framework for creating LLM services with memory.", "language": "Python", "languageColor": "#3572A5", - "stars": "12,951", - "forks": "1,417", + "stars": "12,958", + "forks": "1,418", "addStars": "951", "contributors": [ { @@ -700,108 +621,113 @@ ] }, { - "title": "3b1b/videos", - "url": "https://github.com/3b1b/videos", - "description": "Code for the manim-generated scenes used in 3blue1brown videos", + "title": "getomni-ai/zerox", + "url": "https://github.com/getomni-ai/zerox", + "description": "PDF to Markdown with vision models", "language": "Python", "languageColor": "#3572A5", - "stars": "8,334", - "forks": "1,743", - "addStars": "1,310", + "stars": "6,676", + "forks": "363", + "addStars": "2,437", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11601040?s=40&v=4", - "name": "3b1b", - "url": "https://github.com/3b1b" + "avatar": "https://avatars.githubusercontent.com/u/30934424?s=40&v=4", + "name": "tylermaran", + "url": "https://github.com/tylermaran" }, { - "avatar": "https://avatars.githubusercontent.com/u/7314136?s=40&v=4", - "name": "mstechly", - "url": "https://github.com/mstechly" + "avatar": "https://avatars.githubusercontent.com/u/44502862?s=40&v=4", + "name": "annapo23", + "url": "https://github.com/annapo23" }, { - "avatar": "https://avatars.githubusercontent.com/u/1764012?s=40&v=4", - "name": "MarkusTiede", - "url": "https://github.com/MarkusTiede" + "avatar": "https://avatars.githubusercontent.com/u/51786539?s=40&v=4", + "name": "xdotli", + "url": "https://github.com/xdotli" }, { - "avatar": "https://avatars.githubusercontent.com/u/46543473?s=40&v=4", - "name": "akdukaan", - "url": "https://github.com/akdukaan" + "avatar": "https://avatars.githubusercontent.com/u/49173589?s=40&v=4", + "name": "kailingding", + "url": "https://github.com/kailingding" }, { - "avatar": "https://avatars.githubusercontent.com/u/48802534?s=40&v=4", - "name": "schuelermine", - "url": "https://github.com/schuelermine" + "avatar": "https://avatars.githubusercontent.com/u/32417658?s=40&v=4", + "name": "ZeeshanZulfiqarAli", + "url": "https://github.com/ZeeshanZulfiqarAli" } ] }, { - "title": "infiniflow/ragflow", - "url": "https://github.com/infiniflow/ragflow", - "description": "RAGFlow is an open-source RAG (Retrieval-Augmented Generation) engine based on deep document understanding.", + "title": "Huanshere/VideoLingo", + "url": "https://github.com/Huanshere/VideoLingo", + "description": "Netflix-level subtitle cutting, translation, alignment, and even dubbing - one-click fully automated AI video subtitle team | Netflix级字幕切割、翻译、对齐、甚至加上配音,一键全自动视频搬运AI字幕组", "language": "Python", "languageColor": "#3572A5", - "stars": "23,678", - "forks": "2,317", - "addStars": "3,234", + "stars": "7,148", + "forks": "688", + "addStars": "2,531", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12318111?s=40&v=4", - "name": "KevinHuSh", - "url": "https://github.com/KevinHuSh" + "avatar": "https://avatars.githubusercontent.com/u/147359634?s=40&v=4", + "name": "Huanshere", + "url": "https://github.com/Huanshere" }, { - "avatar": "https://avatars.githubusercontent.com/u/8089971?s=40&v=4", - "name": "cike8899", - "url": "https://github.com/cike8899" + "avatar": "https://avatars.githubusercontent.com/u/62410385?s=40&v=4", + "name": "yxc0915", + "url": "https://github.com/yxc0915" }, { - "avatar": "https://avatars.githubusercontent.com/u/93570324?s=40&v=4", - "name": "writinwaters", - "url": "https://github.com/writinwaters" + "avatar": "https://avatars.githubusercontent.com/u/16796652?s=40&v=4", + "name": "nexmoe", + "url": "https://github.com/nexmoe" }, { - "avatar": "https://avatars.githubusercontent.com/u/43509927?s=40&v=4", - "name": "guoyuhao2330", - "url": "https://github.com/guoyuhao2330" + "avatar": "https://avatars.githubusercontent.com/u/23065064?s=40&v=4", + "name": "hikariming", + "url": "https://github.com/hikariming" }, { - "avatar": "https://avatars.githubusercontent.com/u/32569353?s=40&v=4", - "name": "aopstudio", - "url": "https://github.com/aopstudio" + "avatar": "https://avatars.githubusercontent.com/u/29997045?s=40&v=4", + "name": "qianniucity", + "url": "https://github.com/qianniucity" } ] }, { - "title": "DS4SD/docling", - "url": "https://github.com/DS4SD/docling", - "description": "Get your documents ready for gen AI", + "title": "crewAIInc/crewAI", + "url": "https://github.com/crewAIInc/crewAI", + "description": "Framework for orchestrating role-playing, autonomous AI agents. By fostering collaborative intelligence, CrewAI empowers agents to work together seamlessly, tackling complex tasks.", "language": "Python", "languageColor": "#3572A5", - "stars": "10,827", - "forks": "532", - "addStars": "10,102", + "stars": "21,582", + "forks": "2,995", + "addStars": "1,195", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/97102151?s=40&v=4", - "name": "dolfim-ibm", - "url": "https://github.com/dolfim-ibm" + "avatar": "https://avatars.githubusercontent.com/u/667063?s=40&v=4", + "name": "joaomdmoura", + "url": "https://github.com/joaomdmoura" }, { - "avatar": "https://avatars.githubusercontent.com/u/35837085?s=40&v=4", - "name": "vagenas", - "url": "https://github.com/vagenas" + "avatar": "https://avatars.githubusercontent.com/u/109994880?s=40&v=4", + "name": "bhancockio", + "url": "https://github.com/bhancockio" }, { - "avatar": "https://avatars.githubusercontent.com/u/60343111?s=40&v=4", - "name": "cau-git", - "url": "https://github.com/cau-git" + "avatar": "https://avatars.githubusercontent.com/u/25188076?s=40&v=4", + "name": "pythonbyte", + "url": "https://github.com/pythonbyte" }, { - "avatar": "https://avatars.githubusercontent.com/u/101627549?s=40&v=4", - "name": "maxmnemonic", - "url": "https://github.com/maxmnemonic" + "avatar": "https://avatars.githubusercontent.com/u/63378463?s=40&v=4", + "name": "lorenzejay", + "url": "https://github.com/lorenzejay" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/84775494?s=40&v=4", + "name": "theCyberTech", + "url": "https://github.com/theCyberTech" } ] } diff --git a/data/monthly/python.xml b/data/monthly/python.xml index e99606733cf1..cb7819c067ab 100644 --- a/data/monthly/python.xml +++ b/data/monthly/python.xml @@ -3,7 +3,40 @@ GitHub Python Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Python Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + DS4SD/docling + https://github.com/DS4SD/docling + Get your documents ready for gen AI + https://github.com/DS4SD/docling + Python + #3572A5 + 10,890 + 533 + 10,102 + + + https://avatars.githubusercontent.com/u/97102151?s=40&v=4 + dolfim-ibm + https://github.com/dolfim-ibm + + + https://avatars.githubusercontent.com/u/35837085?s=40&v=4 + vagenas + https://github.com/vagenas + + + https://avatars.githubusercontent.com/u/60343111?s=40&v=4 + cau-git + https://github.com/cau-git + + + https://avatars.githubusercontent.com/u/101627549?s=40&v=4 + maxmnemonic + https://github.com/maxmnemonic + + + abi/screenshot-to-code https://github.com/abi/screenshot-to-code @@ -11,8 +44,8 @@ https://github.com/abi/screenshot-to-code Python #3572A5 - 61,241 - 7,497 + 61,360 + 7,505 4,289 @@ -44,7 +77,7 @@ https://github.com/opendatalab/MinerU Python #3572A5 - 18,371 + 18,409 1,313 5,159 @@ -82,8 +115,8 @@ https://github.com/freqtrade/freqtrade Python #3572A5 - 31,211 - 6,362 + 31,237 + 6,367 2,687 @@ -115,8 +148,8 @@ https://github.com/All-Hands-AI/OpenHands Python #3572A5 - 37,338 - 4,224 + 37,350 + 4,228 4,323 @@ -148,7 +181,7 @@ https://github.com/khoj-ai/khoj Python #3572A5 - 16,099 + 16,123 794 3,268 @@ -179,44 +212,6 @@ - - Skyvern-AI/skyvern - https://github.com/Skyvern-AI/skyvern - Automate browser-based workflows with LLMs and Computer Vision - https://github.com/Skyvern-AI/skyvern - Python - #3572A5 - 10,667 - 734 - 4,645 - - - https://avatars.githubusercontent.com/u/26116031?s=40&v=4 - ykeremy - https://github.com/ykeremy - - - https://avatars.githubusercontent.com/u/5225702?s=40&v=4 - wintonzheng - https://github.com/wintonzheng - - - https://avatars.githubusercontent.com/u/26927551?s=40&v=4 - LawyZheng - https://github.com/LawyZheng - - - https://avatars.githubusercontent.com/u/26147738?s=40&v=4 - msalihaltun - https://github.com/msalihaltun - - - https://avatars.githubusercontent.com/u/3853670?s=40&v=4 - suchintan - https://github.com/suchintan - - - microsoft/autogen https://github.com/microsoft/autogen @@ -224,8 +219,8 @@ https://github.com/microsoft/autogen Python #3572A5 - 34,774 - 5,033 + 34,795 + 5,036 2,585 @@ -262,8 +257,8 @@ https://github.com/dgtlmoon/changedetection.io Python #3572A5 - 19,631 - 1,063 + 19,653 + 1,066 2,222 @@ -295,8 +290,8 @@ https://github.com/mingrammer/diagrams Python #3572A5 - 39,653 - 2,543 + 39,659 + 2,544 2,191 @@ -328,8 +323,8 @@ https://github.com/Guovin/IPTV Python #3572A5 - 6,081 - 1,389 + 6,111 + 1,391 3,246 @@ -344,6 +339,44 @@ + + Skyvern-AI/skyvern + https://github.com/Skyvern-AI/skyvern + Automate browser-based workflows with LLMs and Computer Vision + https://github.com/Skyvern-AI/skyvern + Python + #3572A5 + 10,678 + 735 + 4,645 + + + https://avatars.githubusercontent.com/u/26116031?s=40&v=4 + ykeremy + https://github.com/ykeremy + + + https://avatars.githubusercontent.com/u/5225702?s=40&v=4 + wintonzheng + https://github.com/wintonzheng + + + https://avatars.githubusercontent.com/u/26927551?s=40&v=4 + LawyZheng + https://github.com/LawyZheng + + + https://avatars.githubusercontent.com/u/26147738?s=40&v=4 + msalihaltun + https://github.com/msalihaltun + + + https://avatars.githubusercontent.com/u/3853670?s=40&v=4 + suchintan + https://github.com/suchintan + + + exo-explore/exo https://github.com/exo-explore/exo @@ -351,7 +384,7 @@ https://github.com/exo-explore/exo Python #3572A5 - 15,865 + 15,913 844 5,085 @@ -389,8 +422,8 @@ https://github.com/xtekky/gpt4free Python #3572A5 - 62,196 - 13,382 + 62,206 + 13,384 1,925 @@ -427,7 +460,7 @@ https://github.com/PacktPublishing/LLM-Engineers-Handbook Python #3572A5 - 1,748 + 1,753 277 901 @@ -460,7 +493,7 @@ https://github.com/paperless-ngx/paperless-ngx Python #3572A5 - 22,220 + 22,234 1,223 2,250 @@ -491,44 +524,6 @@ - - jackfrued/Python-100-Days - https://github.com/jackfrued/Python-100-Days - Python - 100天从新手到大师 - https://github.com/jackfrued/Python-100-Days - Python - #3572A5 - 158,014 - 52,499 - 2,396 - - - https://avatars.githubusercontent.com/u/7474657?s=40&v=4 - jackfrued - https://github.com/jackfrued - - - https://avatars.githubusercontent.com/u/13017944?s=40&v=4 - softpo - https://github.com/softpo - - - https://avatars.githubusercontent.com/u/10865729?s=40&v=4 - geekya215 - https://github.com/geekya215 - - - https://avatars.githubusercontent.com/u/599268?s=40&v=4 - jankeromnes - https://github.com/jankeromnes - - - https://avatars.githubusercontent.com/u/2331406?s=40&v=4 - royaso - https://github.com/royaso - - - geekan/MetaGPT https://github.com/geekan/MetaGPT @@ -536,8 +531,8 @@ https://github.com/geekan/MetaGPT Python #3572A5 - 45,442 - 5,408 + 45,457 + 5,411 965 @@ -568,116 +563,40 @@ - Huanshere/VideoLingo - https://github.com/Huanshere/VideoLingo - Netflix-level subtitle cutting, translation, alignment, and even dubbing - one-click fully automated AI video subtitle team | Netflix级字幕切割、翻译、对齐、甚至加上配音,一键全自动视频搬运AI字幕组 - https://github.com/Huanshere/VideoLingo - Python - #3572A5 - 7,127 - 687 - 2,531 - - - https://avatars.githubusercontent.com/u/147359634?s=40&v=4 - Huanshere - https://github.com/Huanshere - - - https://avatars.githubusercontent.com/u/62410385?s=40&v=4 - yxc0915 - https://github.com/yxc0915 - - - https://avatars.githubusercontent.com/u/16796652?s=40&v=4 - nexmoe - https://github.com/nexmoe - - - https://avatars.githubusercontent.com/u/23065064?s=40&v=4 - hikariming - https://github.com/hikariming - - - https://avatars.githubusercontent.com/u/29997045?s=40&v=4 - qianniucity - https://github.com/qianniucity - - - - - getomni-ai/zerox - https://github.com/getomni-ai/zerox - PDF to Markdown with vision models - https://github.com/getomni-ai/zerox - Python - #3572A5 - 6,667 - 362 - 2,437 - - - https://avatars.githubusercontent.com/u/30934424?s=40&v=4 - tylermaran - https://github.com/tylermaran - - - https://avatars.githubusercontent.com/u/44502862?s=40&v=4 - annapo23 - https://github.com/annapo23 - - - https://avatars.githubusercontent.com/u/51786539?s=40&v=4 - xdotli - https://github.com/xdotli - - - https://avatars.githubusercontent.com/u/49173589?s=40&v=4 - kailingding - https://github.com/kailingding - - - https://avatars.githubusercontent.com/u/32417658?s=40&v=4 - ZeeshanZulfiqarAli - https://github.com/ZeeshanZulfiqarAli - - - - - phidatahq/phidata - https://github.com/phidatahq/phidata - Build AI Agents with memory, knowledge, tools and reasoning. Chat with them using a beautiful Agent UI. - https://github.com/phidatahq/phidata + jackfrued/Python-100-Days + https://github.com/jackfrued/Python-100-Days + Python - 100天从新手到大师 + https://github.com/jackfrued/Python-100-Days Python #3572A5 - 15,577 - 2,137 - 2,112 + 158,037 + 52,502 + 2,396 - https://avatars.githubusercontent.com/u/22579644?s=40&v=4 - ashpreetbedi - https://github.com/ashpreetbedi + https://avatars.githubusercontent.com/u/7474657?s=40&v=4 + jackfrued + https://github.com/jackfrued - https://avatars.githubusercontent.com/u/101447028?s=40&v=4 - ysolanky - https://github.com/ysolanky + https://avatars.githubusercontent.com/u/13017944?s=40&v=4 + softpo + https://github.com/softpo - https://avatars.githubusercontent.com/u/26749795?s=40&v=4 - jacobweiss2305 - https://github.com/jacobweiss2305 + https://avatars.githubusercontent.com/u/10865729?s=40&v=4 + geekya215 + https://github.com/geekya215 - https://avatars.githubusercontent.com/u/42516515?s=40&v=4 - manthanguptaa - https://github.com/manthanguptaa + https://avatars.githubusercontent.com/u/599268?s=40&v=4 + jankeromnes + https://github.com/jankeromnes - https://avatars.githubusercontent.com/u/97244608?s=40&v=4 - Ayush0054 - https://github.com/Ayush0054 + https://avatars.githubusercontent.com/u/2331406?s=40&v=4 + royaso + https://github.com/royaso @@ -688,8 +607,8 @@ https://github.com/letta-ai/letta Python #3572A5 - 12,951 - 1,417 + 12,958 + 1,418 951 @@ -720,111 +639,116 @@ - 3b1b/videos - https://github.com/3b1b/videos - Code for the manim-generated scenes used in 3blue1brown videos - https://github.com/3b1b/videos + getomni-ai/zerox + https://github.com/getomni-ai/zerox + PDF to Markdown with vision models + https://github.com/getomni-ai/zerox Python #3572A5 - 8,334 - 1,743 - 1,310 + 6,676 + 363 + 2,437 - https://avatars.githubusercontent.com/u/11601040?s=40&v=4 - 3b1b - https://github.com/3b1b + https://avatars.githubusercontent.com/u/30934424?s=40&v=4 + tylermaran + https://github.com/tylermaran - https://avatars.githubusercontent.com/u/7314136?s=40&v=4 - mstechly - https://github.com/mstechly + https://avatars.githubusercontent.com/u/44502862?s=40&v=4 + annapo23 + https://github.com/annapo23 - https://avatars.githubusercontent.com/u/1764012?s=40&v=4 - MarkusTiede - https://github.com/MarkusTiede + https://avatars.githubusercontent.com/u/51786539?s=40&v=4 + xdotli + https://github.com/xdotli - https://avatars.githubusercontent.com/u/46543473?s=40&v=4 - akdukaan - https://github.com/akdukaan + https://avatars.githubusercontent.com/u/49173589?s=40&v=4 + kailingding + https://github.com/kailingding - https://avatars.githubusercontent.com/u/48802534?s=40&v=4 - schuelermine - https://github.com/schuelermine + https://avatars.githubusercontent.com/u/32417658?s=40&v=4 + ZeeshanZulfiqarAli + https://github.com/ZeeshanZulfiqarAli - infiniflow/ragflow - https://github.com/infiniflow/ragflow - RAGFlow is an open-source RAG (Retrieval-Augmented Generation) engine based on deep document understanding. - https://github.com/infiniflow/ragflow + Huanshere/VideoLingo + https://github.com/Huanshere/VideoLingo + Netflix-level subtitle cutting, translation, alignment, and even dubbing - one-click fully automated AI video subtitle team | Netflix级字幕切割、翻译、对齐、甚至加上配音,一键全自动视频搬运AI字幕组 + https://github.com/Huanshere/VideoLingo Python #3572A5 - 23,678 - 2,317 - 3,234 + 7,148 + 688 + 2,531 - https://avatars.githubusercontent.com/u/12318111?s=40&v=4 - KevinHuSh - https://github.com/KevinHuSh + https://avatars.githubusercontent.com/u/147359634?s=40&v=4 + Huanshere + https://github.com/Huanshere - https://avatars.githubusercontent.com/u/8089971?s=40&v=4 - cike8899 - https://github.com/cike8899 + https://avatars.githubusercontent.com/u/62410385?s=40&v=4 + yxc0915 + https://github.com/yxc0915 - https://avatars.githubusercontent.com/u/93570324?s=40&v=4 - writinwaters - https://github.com/writinwaters + https://avatars.githubusercontent.com/u/16796652?s=40&v=4 + nexmoe + https://github.com/nexmoe - https://avatars.githubusercontent.com/u/43509927?s=40&v=4 - guoyuhao2330 - https://github.com/guoyuhao2330 + https://avatars.githubusercontent.com/u/23065064?s=40&v=4 + hikariming + https://github.com/hikariming - https://avatars.githubusercontent.com/u/32569353?s=40&v=4 - aopstudio - https://github.com/aopstudio + https://avatars.githubusercontent.com/u/29997045?s=40&v=4 + qianniucity + https://github.com/qianniucity - DS4SD/docling - https://github.com/DS4SD/docling - Get your documents ready for gen AI - https://github.com/DS4SD/docling + crewAIInc/crewAI + https://github.com/crewAIInc/crewAI + Framework for orchestrating role-playing, autonomous AI agents. By fostering collaborative intelligence, CrewAI empowers agents to work together seamlessly, tackling complex tasks. + https://github.com/crewAIInc/crewAI Python #3572A5 - 10,827 - 532 - 10,102 + 21,582 + 2,995 + 1,195 - https://avatars.githubusercontent.com/u/97102151?s=40&v=4 - dolfim-ibm - https://github.com/dolfim-ibm + https://avatars.githubusercontent.com/u/667063?s=40&v=4 + joaomdmoura + https://github.com/joaomdmoura - https://avatars.githubusercontent.com/u/35837085?s=40&v=4 - vagenas - https://github.com/vagenas + https://avatars.githubusercontent.com/u/109994880?s=40&v=4 + bhancockio + https://github.com/bhancockio - https://avatars.githubusercontent.com/u/60343111?s=40&v=4 - cau-git - https://github.com/cau-git + https://avatars.githubusercontent.com/u/25188076?s=40&v=4 + pythonbyte + https://github.com/pythonbyte - https://avatars.githubusercontent.com/u/101627549?s=40&v=4 - maxmnemonic - https://github.com/maxmnemonic + https://avatars.githubusercontent.com/u/63378463?s=40&v=4 + lorenzejay + https://github.com/lorenzejay + + + https://avatars.githubusercontent.com/u/84775494?s=40&v=4 + theCyberTech + https://github.com/theCyberTech diff --git a/data/monthly/q#.json b/data/monthly/q#.json index 18697e00ab5e..c82399f23e0c 100644 --- a/data/monthly/q#.json +++ b/data/monthly/q#.json @@ -2,6 +2,6 @@ "title": "GitHub Q# Languages Monthly Trending", "description": "Monthly Trending of Q# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/q#.xml b/data/monthly/q#.xml index a221ec8f1646..3339a4f39b34 100644 --- a/data/monthly/q#.xml +++ b/data/monthly/q#.xml @@ -3,6 +3,6 @@ GitHub Q# Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Q# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/q.json b/data/monthly/q.json index 6b48b7355d24..4f8a4a1b24c2 100644 --- a/data/monthly/q.json +++ b/data/monthly/q.json @@ -2,6 +2,6 @@ "title": "GitHub Q Languages Monthly Trending", "description": "Monthly Trending of Q Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/q.xml b/data/monthly/q.xml index 3e1ef50548bd..69f35d717df8 100644 --- a/data/monthly/q.xml +++ b/data/monthly/q.xml @@ -3,6 +3,6 @@ GitHub Q Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Q Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/qmake.json b/data/monthly/qmake.json index 6ea85f8501c4..8a9c707937c1 100644 --- a/data/monthly/qmake.json +++ b/data/monthly/qmake.json @@ -2,6 +2,6 @@ "title": "GitHub Qmake Languages Monthly Trending", "description": "Monthly Trending of Qmake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/qmake.xml b/data/monthly/qmake.xml index 3ea5cb500889..5db87e204890 100644 --- a/data/monthly/qmake.xml +++ b/data/monthly/qmake.xml @@ -3,6 +3,6 @@ GitHub Qmake Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Qmake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/qml.json b/data/monthly/qml.json index f24d79b3b18d..9a1df0c2e0b5 100644 --- a/data/monthly/qml.json +++ b/data/monthly/qml.json @@ -2,7 +2,7 @@ "title": "GitHub Qml Languages Monthly Trending", "description": "Monthly Trending of Qml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "catsout/wallpaper-engine-kde-plugin", @@ -11,7 +11,7 @@ "language": "QML", "languageColor": "#44a51c", "stars": "1,822", - "forks": "76", + "forks": "77", "addStars": "63", "contributors": [ { @@ -47,7 +47,7 @@ "description": "A good looking terminal emulator which mimics the old cathode display...", "language": "QML", "languageColor": "#44a51c", - "stars": "22,399", + "stars": "22,400", "forks": "854", "addStars": "142", "contributors": [ diff --git a/data/monthly/qml.xml b/data/monthly/qml.xml index 51133b733f97..d9d70efee7e4 100644 --- a/data/monthly/qml.xml +++ b/data/monthly/qml.xml @@ -3,7 +3,7 @@ GitHub Qml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Qml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT catsout/wallpaper-engine-kde-plugin https://github.com/catsout/wallpaper-engine-kde-plugin @@ -12,7 +12,7 @@ QML #44a51c 1,822 - 76 + 77 63 @@ -49,7 +49,7 @@ https://github.com/Swordfish90/cool-retro-term QML #44a51c - 22,399 + 22,400 854 142 diff --git a/data/monthly/qt-script.json b/data/monthly/qt-script.json index d70fa4e649dd..f57c654b43b4 100644 --- a/data/monthly/qt-script.json +++ b/data/monthly/qt-script.json @@ -2,6 +2,6 @@ "title": "GitHub Qt-script Languages Monthly Trending", "description": "Monthly Trending of Qt-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/qt-script.xml b/data/monthly/qt-script.xml index 86c05db179cd..4d565ae8a581 100644 --- a/data/monthly/qt-script.xml +++ b/data/monthly/qt-script.xml @@ -3,6 +3,6 @@ GitHub Qt-script Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Qt-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/quake.json b/data/monthly/quake.json index 6cfc114b31f9..6233967d02d4 100644 --- a/data/monthly/quake.json +++ b/data/monthly/quake.json @@ -2,6 +2,6 @@ "title": "GitHub Quake Languages Monthly Trending", "description": "Monthly Trending of Quake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/quake.xml b/data/monthly/quake.xml index d20aafa99cdd..ab394ad92eee 100644 --- a/data/monthly/quake.xml +++ b/data/monthly/quake.xml @@ -3,6 +3,6 @@ GitHub Quake Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Quake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/r.json b/data/monthly/r.json index 12178903dcd0..664494d61c9a 100644 --- a/data/monthly/r.json +++ b/data/monthly/r.json @@ -2,7 +2,7 @@ "title": "GitHub R Languages Monthly Trending", "description": "Monthly Trending of R Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "stuart-lab/signac", @@ -418,6 +418,43 @@ } ] }, + { + "title": "tidyverse/ggplot2", + "url": "https://github.com/tidyverse/ggplot2", + "description": "An implementation of the Grammar of Graphics in R", + "language": "R", + "languageColor": "#198CE7", + "stars": "6,537", + "forks": "2,031", + "addStars": "43", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4196?s=40&v=4", + "name": "hadley", + "url": "https://github.com/hadley" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/49372158?s=40&v=4", + "name": "teunbrand", + "url": "https://github.com/teunbrand" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86978?s=40&v=4", + "name": "wch", + "url": "https://github.com/wch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1775316?s=40&v=4", + "name": "thomasp85", + "url": "https://github.com/thomasp85" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/227097?s=40&v=4", + "name": "kohske", + "url": "https://github.com/kohske" + } + ] + }, { "title": "broadinstitute/infercnv", "url": "https://github.com/broadinstitute/infercnv", @@ -455,6 +492,43 @@ } ] }, + { + "title": "thomasp85/patchwork", + "url": "https://github.com/thomasp85/patchwork", + "description": "The Composer of ggplots", + "language": "R", + "languageColor": "#198CE7", + "stars": "2,477", + "forks": "163", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1775316?s=40&v=4", + "name": "thomasp85", + "url": "https://github.com/thomasp85" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2257540?s=40&v=4", + "name": "steveharoz", + "url": "https://github.com/steveharoz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/891692?s=40&v=4", + "name": "espinielli", + "url": "https://github.com/espinielli" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3499574?s=40&v=4", + "name": "ilia-kats", + "url": "https://github.com/ilia-kats" + } + ] + }, { "title": "kassambara/survminer", "url": "https://github.com/kassambara/survminer", @@ -523,43 +597,6 @@ "url": "https://github.com/Gesmira" } ] - }, - { - "title": "MRCIEU/TwoSampleMR", - "url": "https://github.com/MRCIEU/TwoSampleMR", - "description": "R package for performing 2-sample MR using MR-Base database", - "language": "R", - "languageColor": "#198CE7", - "stars": "440", - "forks": "177", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3777473?s=40&v=4", - "name": "remlapmot", - "url": "https://github.com/remlapmot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1924940?s=40&v=4", - "name": "explodecomputer", - "url": "https://github.com/explodecomputer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10760254?s=40&v=4", - "name": "mightyphil2000", - "url": "https://github.com/mightyphil2000" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3944837?s=40&v=4", - "name": "qingyuanzhao", - "url": "https://github.com/qingyuanzhao" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10964709?s=40&v=4", - "name": "t0mrg", - "url": "https://github.com/t0mrg" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/r.xml b/data/monthly/r.xml index 5fa069e1b955..a598ddc629ed 100644 --- a/data/monthly/r.xml +++ b/data/monthly/r.xml @@ -3,7 +3,7 @@ GitHub R Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of R Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT stuart-lab/signac https://github.com/stuart-lab/signac @@ -430,6 +430,44 @@ + + tidyverse/ggplot2 + https://github.com/tidyverse/ggplot2 + An implementation of the Grammar of Graphics in R + https://github.com/tidyverse/ggplot2 + R + #198CE7 + 6,537 + 2,031 + 43 + + + https://avatars.githubusercontent.com/u/4196?s=40&v=4 + hadley + https://github.com/hadley + + + https://avatars.githubusercontent.com/u/49372158?s=40&v=4 + teunbrand + https://github.com/teunbrand + + + https://avatars.githubusercontent.com/u/86978?s=40&v=4 + wch + https://github.com/wch + + + https://avatars.githubusercontent.com/u/1775316?s=40&v=4 + thomasp85 + https://github.com/thomasp85 + + + https://avatars.githubusercontent.com/u/227097?s=40&v=4 + kohske + https://github.com/kohske + + + broadinstitute/infercnv https://github.com/broadinstitute/infercnv @@ -468,6 +506,44 @@ + + thomasp85/patchwork + https://github.com/thomasp85/patchwork + The Composer of ggplots + https://github.com/thomasp85/patchwork + R + #198CE7 + 2,477 + 163 + 15 + + + https://avatars.githubusercontent.com/u/1775316?s=40&v=4 + thomasp85 + https://github.com/thomasp85 + + + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user + + + https://avatars.githubusercontent.com/u/2257540?s=40&v=4 + steveharoz + https://github.com/steveharoz + + + https://avatars.githubusercontent.com/u/891692?s=40&v=4 + espinielli + https://github.com/espinielli + + + https://avatars.githubusercontent.com/u/3499574?s=40&v=4 + ilia-kats + https://github.com/ilia-kats + + + kassambara/survminer https://github.com/kassambara/survminer @@ -539,43 +615,5 @@ - - MRCIEU/TwoSampleMR - https://github.com/MRCIEU/TwoSampleMR - R package for performing 2-sample MR using MR-Base database - https://github.com/MRCIEU/TwoSampleMR - R - #198CE7 - 440 - 177 - 13 - - - https://avatars.githubusercontent.com/u/3777473?s=40&v=4 - remlapmot - https://github.com/remlapmot - - - https://avatars.githubusercontent.com/u/1924940?s=40&v=4 - explodecomputer - https://github.com/explodecomputer - - - https://avatars.githubusercontent.com/u/10760254?s=40&v=4 - mightyphil2000 - https://github.com/mightyphil2000 - - - https://avatars.githubusercontent.com/u/3944837?s=40&v=4 - qingyuanzhao - https://github.com/qingyuanzhao - - - https://avatars.githubusercontent.com/u/10964709?s=40&v=4 - t0mrg - https://github.com/t0mrg - - - \ No newline at end of file diff --git a/data/monthly/racket.json b/data/monthly/racket.json index 01bb720f0bf7..d83843146cf8 100644 --- a/data/monthly/racket.json +++ b/data/monthly/racket.json @@ -2,6 +2,6 @@ "title": "GitHub Racket Languages Monthly Trending", "description": "Monthly Trending of Racket Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/racket.xml b/data/monthly/racket.xml index 0d6c8ea4649d..77c810f1fe5d 100644 --- a/data/monthly/racket.xml +++ b/data/monthly/racket.xml @@ -3,6 +3,6 @@ GitHub Racket Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Racket Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ragel.json b/data/monthly/ragel.json index 36fc6d61523d..40467c666f59 100644 --- a/data/monthly/ragel.json +++ b/data/monthly/ragel.json @@ -2,6 +2,6 @@ "title": "GitHub Ragel Languages Monthly Trending", "description": "Monthly Trending of Ragel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ragel.xml b/data/monthly/ragel.xml index a32ea26b59d3..f59386a86d27 100644 --- a/data/monthly/ragel.xml +++ b/data/monthly/ragel.xml @@ -3,6 +3,6 @@ GitHub Ragel Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ragel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/raku.json b/data/monthly/raku.json index f722dd85f6f1..754db5c27024 100644 --- a/data/monthly/raku.json +++ b/data/monthly/raku.json @@ -2,6 +2,6 @@ "title": "GitHub Raku Languages Monthly Trending", "description": "Monthly Trending of Raku Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/raku.xml b/data/monthly/raku.xml index 50d80a697f79..33d19d4c5254 100644 --- a/data/monthly/raku.xml +++ b/data/monthly/raku.xml @@ -3,6 +3,6 @@ GitHub Raku Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Raku Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/raml.json b/data/monthly/raml.json index 7f023c3830e4..d82050a0c083 100644 --- a/data/monthly/raml.json +++ b/data/monthly/raml.json @@ -2,6 +2,6 @@ "title": "GitHub Raml Languages Monthly Trending", "description": "Monthly Trending of Raml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/raml.xml b/data/monthly/raml.xml index b4a3bcdf9364..d2713b3267aa 100644 --- a/data/monthly/raml.xml +++ b/data/monthly/raml.xml @@ -3,6 +3,6 @@ GitHub Raml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Raml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rascal.json b/data/monthly/rascal.json index 196f8d65ffba..82717a29b79f 100644 --- a/data/monthly/rascal.json +++ b/data/monthly/rascal.json @@ -2,6 +2,6 @@ "title": "GitHub Rascal Languages Monthly Trending", "description": "Monthly Trending of Rascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rascal.xml b/data/monthly/rascal.xml index 67fc4d945330..d27da034a35c 100644 --- a/data/monthly/rascal.xml +++ b/data/monthly/rascal.xml @@ -3,6 +3,6 @@ GitHub Rascal Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/raw-token-data.json b/data/monthly/raw-token-data.json index 561389bb8d5d..29252d057b9d 100644 --- a/data/monthly/raw-token-data.json +++ b/data/monthly/raw-token-data.json @@ -2,6 +2,6 @@ "title": "GitHub Raw-token-data Languages Monthly Trending", "description": "Monthly Trending of Raw-token-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/raw-token-data.xml b/data/monthly/raw-token-data.xml index 4ea94aceb2c9..40a581262a10 100644 --- a/data/monthly/raw-token-data.xml +++ b/data/monthly/raw-token-data.xml @@ -3,6 +3,6 @@ GitHub Raw-token-data Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Raw-token-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rbs.json b/data/monthly/rbs.json index 6dddb66b6b1c..1a8d35608aa7 100644 --- a/data/monthly/rbs.json +++ b/data/monthly/rbs.json @@ -2,6 +2,6 @@ "title": "GitHub Rbs Languages Monthly Trending", "description": "Monthly Trending of Rbs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rbs.xml b/data/monthly/rbs.xml index b040db6bc923..296ba08a83e7 100644 --- a/data/monthly/rbs.xml +++ b/data/monthly/rbs.xml @@ -3,6 +3,6 @@ GitHub Rbs Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rbs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rdoc.json b/data/monthly/rdoc.json index 576b733e5644..86a85e0cc5fc 100644 --- a/data/monthly/rdoc.json +++ b/data/monthly/rdoc.json @@ -2,6 +2,6 @@ "title": "GitHub Rdoc Languages Monthly Trending", "description": "Monthly Trending of Rdoc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rdoc.xml b/data/monthly/rdoc.xml index 4171d1f07fb0..1638dd50201e 100644 --- a/data/monthly/rdoc.xml +++ b/data/monthly/rdoc.xml @@ -3,6 +3,6 @@ GitHub Rdoc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rdoc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/readline-config.json b/data/monthly/readline-config.json index 5086d2bfefca..b721ea69b833 100644 --- a/data/monthly/readline-config.json +++ b/data/monthly/readline-config.json @@ -2,6 +2,6 @@ "title": "GitHub Readline-config Languages Monthly Trending", "description": "Monthly Trending of Readline-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/readline-config.xml b/data/monthly/readline-config.xml index fe56ca1db980..5d9c3fa6aad3 100644 --- a/data/monthly/readline-config.xml +++ b/data/monthly/readline-config.xml @@ -3,6 +3,6 @@ GitHub Readline-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Readline-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/realbasic.json b/data/monthly/realbasic.json index ff8cfc976ee2..f3e9c424fb10 100644 --- a/data/monthly/realbasic.json +++ b/data/monthly/realbasic.json @@ -2,6 +2,6 @@ "title": "GitHub Realbasic Languages Monthly Trending", "description": "Monthly Trending of Realbasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/realbasic.xml b/data/monthly/realbasic.xml index cbe618a1e998..07aa3b018e39 100644 --- a/data/monthly/realbasic.xml +++ b/data/monthly/realbasic.xml @@ -3,6 +3,6 @@ GitHub Realbasic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Realbasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/reason.json b/data/monthly/reason.json index e7388c0790ff..3377b7df7826 100644 --- a/data/monthly/reason.json +++ b/data/monthly/reason.json @@ -2,6 +2,6 @@ "title": "GitHub Reason Languages Monthly Trending", "description": "Monthly Trending of Reason Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/reason.xml b/data/monthly/reason.xml index d73133342519..10ae24cb5333 100644 --- a/data/monthly/reason.xml +++ b/data/monthly/reason.xml @@ -3,6 +3,6 @@ GitHub Reason Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Reason Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/reasonligo.json b/data/monthly/reasonligo.json index 4329e83013e1..03e2ac6df190 100644 --- a/data/monthly/reasonligo.json +++ b/data/monthly/reasonligo.json @@ -2,6 +2,6 @@ "title": "GitHub Reasonligo Languages Monthly Trending", "description": "Monthly Trending of Reasonligo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/reasonligo.xml b/data/monthly/reasonligo.xml index 1a639636f2d3..a5a2ac2c8a15 100644 --- a/data/monthly/reasonligo.xml +++ b/data/monthly/reasonligo.xml @@ -3,6 +3,6 @@ GitHub Reasonligo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Reasonligo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rebol.json b/data/monthly/rebol.json index 175fe92fb171..a8aa630e6ba7 100644 --- a/data/monthly/rebol.json +++ b/data/monthly/rebol.json @@ -2,6 +2,6 @@ "title": "GitHub Rebol Languages Monthly Trending", "description": "Monthly Trending of Rebol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rebol.xml b/data/monthly/rebol.xml index a659190208bd..e10e2a491afe 100644 --- a/data/monthly/rebol.xml +++ b/data/monthly/rebol.xml @@ -3,6 +3,6 @@ GitHub Rebol Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rebol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/record-jar.json b/data/monthly/record-jar.json index fdb533c6e4dd..879ca4c32678 100644 --- a/data/monthly/record-jar.json +++ b/data/monthly/record-jar.json @@ -2,6 +2,6 @@ "title": "GitHub Record-jar Languages Monthly Trending", "description": "Monthly Trending of Record-jar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/record-jar.xml b/data/monthly/record-jar.xml index b51061af1300..61d82e7d8fc2 100644 --- a/data/monthly/record-jar.xml +++ b/data/monthly/record-jar.xml @@ -3,6 +3,6 @@ GitHub Record-jar Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Record-jar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/red.json b/data/monthly/red.json index 53b789dd828b..a22cd7140080 100644 --- a/data/monthly/red.json +++ b/data/monthly/red.json @@ -2,6 +2,6 @@ "title": "GitHub Red Languages Monthly Trending", "description": "Monthly Trending of Red Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/red.xml b/data/monthly/red.xml index 26ec26ea5e99..9974599d782b 100644 --- a/data/monthly/red.xml +++ b/data/monthly/red.xml @@ -3,6 +3,6 @@ GitHub Red Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Red Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/redcode.json b/data/monthly/redcode.json index 5116a72d561d..240035b002fe 100644 --- a/data/monthly/redcode.json +++ b/data/monthly/redcode.json @@ -2,6 +2,6 @@ "title": "GitHub Redcode Languages Monthly Trending", "description": "Monthly Trending of Redcode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/redcode.xml b/data/monthly/redcode.xml index c99458488488..17bdf29a83d5 100644 --- a/data/monthly/redcode.xml +++ b/data/monthly/redcode.xml @@ -3,6 +3,6 @@ GitHub Redcode Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Redcode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/redirect-rules.json b/data/monthly/redirect-rules.json index 6c9da5f3cd3d..d7f261b83962 100644 --- a/data/monthly/redirect-rules.json +++ b/data/monthly/redirect-rules.json @@ -2,6 +2,6 @@ "title": "GitHub Redirect-rules Languages Monthly Trending", "description": "Monthly Trending of Redirect-rules Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/redirect-rules.xml b/data/monthly/redirect-rules.xml index 88b33345a22d..95b0ad0d08a9 100644 --- a/data/monthly/redirect-rules.xml +++ b/data/monthly/redirect-rules.xml @@ -3,6 +3,6 @@ GitHub Redirect-rules Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Redirect-rules Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/regular-expression.json b/data/monthly/regular-expression.json index 8422c83ec911..caf2e2316e9d 100644 --- a/data/monthly/regular-expression.json +++ b/data/monthly/regular-expression.json @@ -2,6 +2,6 @@ "title": "GitHub Regular-expression Languages Monthly Trending", "description": "Monthly Trending of Regular-expression Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/regular-expression.xml b/data/monthly/regular-expression.xml index 185954886a06..998479e4aed7 100644 --- a/data/monthly/regular-expression.xml +++ b/data/monthly/regular-expression.xml @@ -3,6 +3,6 @@ GitHub Regular-expression Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Regular-expression Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ren'py.json b/data/monthly/ren'py.json index 8faa7caca5b9..5cc3a368094a 100644 --- a/data/monthly/ren'py.json +++ b/data/monthly/ren'py.json @@ -2,7 +2,7 @@ "title": "GitHub Ren'py Languages Monthly Trending", "description": "Monthly Trending of Ren'py Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "renpy/renpy", @@ -40,80 +40,6 @@ "url": "https://github.com/kyouryuukunn" } ] - }, - { - "title": "NickWildish/Mas-NSFW-Submod", - "url": "https://github.com/NickWildish/Mas-NSFW-Submod", - "description": "A submod dedicated to bring you and Monika THAT much closer. How close you ask? Like, THIS close 🤏", - "language": "Ren'Py", - "languageColor": "#ff7f7f", - "stars": "51", - "forks": "90", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/87427851?s=40&v=4", - "name": "NickWildish", - "url": "https://github.com/NickWildish" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/106800858?s=40&v=4", - "name": "mizuotanu-nirera", - "url": "https://github.com/mizuotanu-nirera" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/107133672?s=40&v=4", - "name": "TheOneandOnlyDargonite", - "url": "https://github.com/TheOneandOnlyDargonite" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/78390331?s=40&v=4", - "name": "TreeWizard96", - "url": "https://github.com/TreeWizard96" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/104976592?s=40&v=4", - "name": "TheBlushingSoldier", - "url": "https://github.com/TheBlushingSoldier" - } - ] - }, - { - "title": "Monika-After-Story/MonikaModDev", - "url": "https://github.com/Monika-After-Story/MonikaModDev", - "description": "DDLC fan mod to extend Monika", - "language": "Ren'Py", - "languageColor": "#ff7f7f", - "stars": "1,199", - "forks": "683", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3499462?s=40&v=4", - "name": "ThePotatoGuy", - "url": "https://github.com/ThePotatoGuy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22531674?s=40&v=4", - "name": "multimokia", - "url": "https://github.com/multimokia" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36523761?s=40&v=4", - "name": "jmwall24", - "url": "https://github.com/jmwall24" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/53382877?s=40&v=4", - "name": "Booplicate", - "url": "https://github.com/Booplicate" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2271715?s=40&v=4", - "name": "aldoram5", - "url": "https://github.com/aldoram5" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/ren'py.xml b/data/monthly/ren'py.xml index c41786771dd3..6df53b068bc4 100644 --- a/data/monthly/ren'py.xml +++ b/data/monthly/ren'py.xml @@ -3,7 +3,7 @@ GitHub Ren'py Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ren'py Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT renpy/renpy https://github.com/renpy/renpy @@ -42,81 +42,5 @@ - - NickWildish/Mas-NSFW-Submod - https://github.com/NickWildish/Mas-NSFW-Submod - A submod dedicated to bring you and Monika THAT much closer. How close you ask? Like, THIS close 🤏 - https://github.com/NickWildish/Mas-NSFW-Submod - Ren'Py - #ff7f7f - 51 - 90 - 5 - - - https://avatars.githubusercontent.com/u/87427851?s=40&v=4 - NickWildish - https://github.com/NickWildish - - - https://avatars.githubusercontent.com/u/106800858?s=40&v=4 - mizuotanu-nirera - https://github.com/mizuotanu-nirera - - - https://avatars.githubusercontent.com/u/107133672?s=40&v=4 - TheOneandOnlyDargonite - https://github.com/TheOneandOnlyDargonite - - - https://avatars.githubusercontent.com/u/78390331?s=40&v=4 - TreeWizard96 - https://github.com/TreeWizard96 - - - https://avatars.githubusercontent.com/u/104976592?s=40&v=4 - TheBlushingSoldier - https://github.com/TheBlushingSoldier - - - - - Monika-After-Story/MonikaModDev - https://github.com/Monika-After-Story/MonikaModDev - DDLC fan mod to extend Monika - https://github.com/Monika-After-Story/MonikaModDev - Ren'Py - #ff7f7f - 1,199 - 683 - 8 - - - https://avatars.githubusercontent.com/u/3499462?s=40&v=4 - ThePotatoGuy - https://github.com/ThePotatoGuy - - - https://avatars.githubusercontent.com/u/22531674?s=40&v=4 - multimokia - https://github.com/multimokia - - - https://avatars.githubusercontent.com/u/36523761?s=40&v=4 - jmwall24 - https://github.com/jmwall24 - - - https://avatars.githubusercontent.com/u/53382877?s=40&v=4 - Booplicate - https://github.com/Booplicate - - - https://avatars.githubusercontent.com/u/2271715?s=40&v=4 - aldoram5 - https://github.com/aldoram5 - - - \ No newline at end of file diff --git a/data/monthly/renderscript.json b/data/monthly/renderscript.json index 44a85baadabe..5e179b178cfc 100644 --- a/data/monthly/renderscript.json +++ b/data/monthly/renderscript.json @@ -2,6 +2,6 @@ "title": "GitHub Renderscript Languages Monthly Trending", "description": "Monthly Trending of Renderscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/renderscript.xml b/data/monthly/renderscript.xml index 20e415dca56f..8415e9dc085a 100644 --- a/data/monthly/renderscript.xml +++ b/data/monthly/renderscript.xml @@ -3,6 +3,6 @@ GitHub Renderscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Renderscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rescript.json b/data/monthly/rescript.json index c38ff6e9973b..0d38c4ebf1d0 100644 --- a/data/monthly/rescript.json +++ b/data/monthly/rescript.json @@ -2,44 +2,6 @@ "title": "GitHub Rescript Languages Monthly Trending", "description": "Monthly Trending of Rescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "rescript-lang/rescript", - "url": "https://github.com/rescript-lang/rescript", - "description": "ReScript is a robustly typed language that compiles to efficient and human-readable JavaScript.", - "language": "ReScript", - "languageColor": "#ed5051", - "stars": "6,762", - "forks": "450", - "addStars": "65", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/747051?s=40&v=4", - "name": "bobzhang", - "url": "https://github.com/bobzhang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7965335?s=40&v=4", - "name": "cristianoc", - "url": "https://github.com/cristianoc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/591384?s=40&v=4", - "name": "cknitt", - "url": "https://github.com/cknitt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3487?s=40&v=4", - "name": "nico", - "url": "https://github.com/nico" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1909539?s=40&v=4", - "name": "chenglou", - "url": "https://github.com/chenglou" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/monthly/rescript.xml b/data/monthly/rescript.xml index 56931eae4f23..5c40ce16c726 100644 --- a/data/monthly/rescript.xml +++ b/data/monthly/rescript.xml @@ -3,44 +3,6 @@ GitHub Rescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - rescript-lang/rescript - https://github.com/rescript-lang/rescript - ReScript is a robustly typed language that compiles to efficient and human-readable JavaScript. - https://github.com/rescript-lang/rescript - ReScript - #ed5051 - 6,762 - 450 - 65 - - - https://avatars.githubusercontent.com/u/747051?s=40&v=4 - bobzhang - https://github.com/bobzhang - - - https://avatars.githubusercontent.com/u/7965335?s=40&v=4 - cristianoc - https://github.com/cristianoc - - - https://avatars.githubusercontent.com/u/591384?s=40&v=4 - cknitt - https://github.com/cknitt - - - https://avatars.githubusercontent.com/u/3487?s=40&v=4 - nico - https://github.com/nico - - - https://avatars.githubusercontent.com/u/1909539?s=40&v=4 - chenglou - https://github.com/chenglou - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/restructuredtext.json b/data/monthly/restructuredtext.json index 7a70895cd346..7b187b4dee3a 100644 --- a/data/monthly/restructuredtext.json +++ b/data/monthly/restructuredtext.json @@ -2,7 +2,7 @@ "title": "GitHub Restructuredtext Languages Monthly Trending", "description": "Monthly Trending of Restructuredtext Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "python/peps", @@ -48,7 +48,7 @@ "language": "reStructuredText", "languageColor": "#141414", "stars": "751", - "forks": "7,883", + "forks": "7,887", "addStars": "20", "contributors": [ { diff --git a/data/monthly/restructuredtext.xml b/data/monthly/restructuredtext.xml index f1365479c8b1..91d3a804513e 100644 --- a/data/monthly/restructuredtext.xml +++ b/data/monthly/restructuredtext.xml @@ -3,7 +3,7 @@ GitHub Restructuredtext Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Restructuredtext Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT python/peps https://github.com/python/peps @@ -50,7 +50,7 @@ reStructuredText #141414 751 - 7,883 + 7,887 20 diff --git a/data/monthly/rexx.json b/data/monthly/rexx.json index 03eab26ec9e7..4e27a913777d 100644 --- a/data/monthly/rexx.json +++ b/data/monthly/rexx.json @@ -2,6 +2,6 @@ "title": "GitHub Rexx Languages Monthly Trending", "description": "Monthly Trending of Rexx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rexx.xml b/data/monthly/rexx.xml index 3c8238c82e64..0b93bd7f9f21 100644 --- a/data/monthly/rexx.xml +++ b/data/monthly/rexx.xml @@ -3,6 +3,6 @@ GitHub Rexx Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rexx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rez.json b/data/monthly/rez.json index 6f5ceef11edd..5d3541167dcc 100644 --- a/data/monthly/rez.json +++ b/data/monthly/rez.json @@ -2,6 +2,6 @@ "title": "GitHub Rez Languages Monthly Trending", "description": "Monthly Trending of Rez Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rez.xml b/data/monthly/rez.xml index ff6b5ed190c7..cc47ca83ffb6 100644 --- a/data/monthly/rez.xml +++ b/data/monthly/rez.xml @@ -3,6 +3,6 @@ GitHub Rez Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rez Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rich-text-format.json b/data/monthly/rich-text-format.json index 8778e0fc633f..7d80862fe5b9 100644 --- a/data/monthly/rich-text-format.json +++ b/data/monthly/rich-text-format.json @@ -2,7 +2,7 @@ "title": "GitHub Rich-text-format Languages Monthly Trending", "description": "Monthly Trending of Rich-text-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "cncf/foundation", diff --git a/data/monthly/rich-text-format.xml b/data/monthly/rich-text-format.xml index a216bbcffd98..43fae767bd86 100644 --- a/data/monthly/rich-text-format.xml +++ b/data/monthly/rich-text-format.xml @@ -3,7 +3,7 @@ GitHub Rich-text-format Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rich-text-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT cncf/foundation https://github.com/cncf/foundation diff --git a/data/monthly/ring.json b/data/monthly/ring.json index e9fd8fba11d2..d2fec9d98678 100644 --- a/data/monthly/ring.json +++ b/data/monthly/ring.json @@ -2,6 +2,6 @@ "title": "GitHub Ring Languages Monthly Trending", "description": "Monthly Trending of Ring Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ring.xml b/data/monthly/ring.xml index f30ce55d71a1..1f2bb57d8ee5 100644 --- a/data/monthly/ring.xml +++ b/data/monthly/ring.xml @@ -3,6 +3,6 @@ GitHub Ring Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ring Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/riot.json b/data/monthly/riot.json index 4535cb7fa967..526bc6326763 100644 --- a/data/monthly/riot.json +++ b/data/monthly/riot.json @@ -2,7 +2,7 @@ "title": "GitHub Riot Languages Monthly Trending", "description": "Monthly Trending of Riot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Joxit/docker-registry-ui", @@ -10,7 +10,7 @@ "description": "The simplest and most complete UI for your private registry", "language": "Riot", "languageColor": "#A71E49", - "stars": "2,591", + "stars": "2,592", "forks": "323", "addStars": "58", "contributors": [ diff --git a/data/monthly/riot.xml b/data/monthly/riot.xml index 55e813bf200f..d29f4a432a45 100644 --- a/data/monthly/riot.xml +++ b/data/monthly/riot.xml @@ -3,7 +3,7 @@ GitHub Riot Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Riot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Joxit/docker-registry-ui https://github.com/Joxit/docker-registry-ui @@ -11,7 +11,7 @@ https://github.com/Joxit/docker-registry-ui Riot #A71E49 - 2,591 + 2,592 323 58 diff --git a/data/monthly/rmarkdown.json b/data/monthly/rmarkdown.json index be187df0e0f1..e58ac75e8357 100644 --- a/data/monthly/rmarkdown.json +++ b/data/monthly/rmarkdown.json @@ -2,6 +2,6 @@ "title": "GitHub Rmarkdown Languages Monthly Trending", "description": "Monthly Trending of Rmarkdown Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rmarkdown.xml b/data/monthly/rmarkdown.xml index 5c9fca8d5720..fa3575b1c70e 100644 --- a/data/monthly/rmarkdown.xml +++ b/data/monthly/rmarkdown.xml @@ -3,6 +3,6 @@ GitHub Rmarkdown Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rmarkdown Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/robotframework.json b/data/monthly/robotframework.json index d04c68397929..739c0534ccbe 100644 --- a/data/monthly/robotframework.json +++ b/data/monthly/robotframework.json @@ -2,7 +2,7 @@ "title": "GitHub Robotframework Languages Monthly Trending", "description": "Monthly Trending of Robotframework Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "renode/renode", @@ -10,7 +10,7 @@ "description": "Renode - Antmicro's open source simulation and virtual development framework for complex embedded systems", "language": "RobotFramework", "languageColor": "#00c0b5", - "stars": "1,636", + "stars": "1,637", "forks": "294", "addStars": "40", "contributors": [ diff --git a/data/monthly/robotframework.xml b/data/monthly/robotframework.xml index 0ca80df3ec06..d74f0ce13472 100644 --- a/data/monthly/robotframework.xml +++ b/data/monthly/robotframework.xml @@ -3,7 +3,7 @@ GitHub Robotframework Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Robotframework Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT renode/renode https://github.com/renode/renode @@ -11,7 +11,7 @@ https://github.com/renode/renode RobotFramework #00c0b5 - 1,636 + 1,637 294 40 diff --git a/data/monthly/robots.txt.json b/data/monthly/robots.txt.json index 0c61a33d456e..263875d23d71 100644 --- a/data/monthly/robots.txt.json +++ b/data/monthly/robots.txt.json @@ -2,6 +2,6 @@ "title": "GitHub Robots.txt Languages Monthly Trending", "description": "Monthly Trending of Robots.txt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/robots.txt.xml b/data/monthly/robots.txt.xml index d0f21c95d452..cc145e25f7e7 100644 --- a/data/monthly/robots.txt.xml +++ b/data/monthly/robots.txt.xml @@ -3,6 +3,6 @@ GitHub Robots.txt Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Robots.txt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/roc.json b/data/monthly/roc.json index 58b8609fb1c6..311e1da7d0a2 100644 --- a/data/monthly/roc.json +++ b/data/monthly/roc.json @@ -2,6 +2,6 @@ "title": "GitHub Roc Languages Monthly Trending", "description": "Monthly Trending of Roc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/roc.xml b/data/monthly/roc.xml index 7d4d41f07f64..9495a719a937 100644 --- a/data/monthly/roc.xml +++ b/data/monthly/roc.xml @@ -3,6 +3,6 @@ GitHub Roc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Roc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/roff-manpage.json b/data/monthly/roff-manpage.json index 8559422ac013..5b08bc6796c5 100644 --- a/data/monthly/roff-manpage.json +++ b/data/monthly/roff-manpage.json @@ -2,6 +2,6 @@ "title": "GitHub Roff-manpage Languages Monthly Trending", "description": "Monthly Trending of Roff-manpage Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/roff-manpage.xml b/data/monthly/roff-manpage.xml index 15d47ed2f06f..1e3e582dad7f 100644 --- a/data/monthly/roff-manpage.xml +++ b/data/monthly/roff-manpage.xml @@ -3,6 +3,6 @@ GitHub Roff-manpage Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Roff-manpage Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/roff.json b/data/monthly/roff.json index 10799fe771d2..1cc49ab95b9f 100644 --- a/data/monthly/roff.json +++ b/data/monthly/roff.json @@ -2,7 +2,7 @@ "title": "GitHub Roff Languages Monthly Trending", "description": "Monthly Trending of Roff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pyenv/pyenv", @@ -10,7 +10,7 @@ "description": "Simple Python version management", "language": "Roff", "languageColor": "#ecdebe", - "stars": "39,533", + "stars": "39,542", "forks": "3,064", "addStars": "482", "contributors": [ @@ -47,8 +47,8 @@ "description": "Support for Armbian in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc.", "language": "Roff", "languageColor": "#ecdebe", - "stars": "6,228", - "forks": "1,995", + "stars": "6,230", + "forks": "1,996", "addStars": "192", "contributors": [ { @@ -78,28 +78,6 @@ } ] }, - { - "title": "sidpalas/devops-directive-docker-course", - "url": "https://github.com/sidpalas/devops-directive-docker-course", - "description": "Companion repo for complete Docker course", - "language": "Roff", - "languageColor": "#ecdebe", - "stars": "1,955", - "forks": "1,220", - "addStars": "90", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", - "name": "sidpalas", - "url": "https://github.com/sidpalas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66020208?s=40&v=4", - "name": "mesmith027", - "url": "https://github.com/mesmith027" - } - ] - }, { "title": "palantir/windows-event-forwarding", "url": "https://github.com/palantir/windows-event-forwarding", @@ -137,6 +115,28 @@ } ] }, + { + "title": "sidpalas/devops-directive-docker-course", + "url": "https://github.com/sidpalas/devops-directive-docker-course", + "description": "Companion repo for complete Docker course", + "language": "Roff", + "languageColor": "#ecdebe", + "stars": "1,956", + "forks": "1,221", + "addStars": "90", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", + "name": "sidpalas", + "url": "https://github.com/sidpalas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66020208?s=40&v=4", + "name": "mesmith027", + "url": "https://github.com/mesmith027" + } + ] + }, { "title": "Creality3DPrinting/Ender-3", "url": "https://github.com/Creality3DPrinting/Ender-3", diff --git a/data/monthly/roff.xml b/data/monthly/roff.xml index 7a757c7676e1..ddb2348395bd 100644 --- a/data/monthly/roff.xml +++ b/data/monthly/roff.xml @@ -3,7 +3,7 @@ GitHub Roff Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Roff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pyenv/pyenv https://github.com/pyenv/pyenv @@ -11,7 +11,7 @@ https://github.com/pyenv/pyenv Roff #ecdebe - 39,533 + 39,542 3,064 482 @@ -49,8 +49,8 @@ https://github.com/ophub/amlogic-s9xxx-armbian Roff #ecdebe - 6,228 - 1,995 + 6,230 + 1,996 192 @@ -80,29 +80,6 @@ - - sidpalas/devops-directive-docker-course - https://github.com/sidpalas/devops-directive-docker-course - Companion repo for complete Docker course - https://github.com/sidpalas/devops-directive-docker-course - Roff - #ecdebe - 1,955 - 1,220 - 90 - - - https://avatars.githubusercontent.com/u/1320389?s=40&v=4 - sidpalas - https://github.com/sidpalas - - - https://avatars.githubusercontent.com/u/66020208?s=40&v=4 - mesmith027 - https://github.com/mesmith027 - - - palantir/windows-event-forwarding https://github.com/palantir/windows-event-forwarding @@ -141,6 +118,29 @@ + + sidpalas/devops-directive-docker-course + https://github.com/sidpalas/devops-directive-docker-course + Companion repo for complete Docker course + https://github.com/sidpalas/devops-directive-docker-course + Roff + #ecdebe + 1,956 + 1,221 + 90 + + + https://avatars.githubusercontent.com/u/1320389?s=40&v=4 + sidpalas + https://github.com/sidpalas + + + https://avatars.githubusercontent.com/u/66020208?s=40&v=4 + mesmith027 + https://github.com/mesmith027 + + + Creality3DPrinting/Ender-3 https://github.com/Creality3DPrinting/Ender-3 diff --git a/data/monthly/ron.json b/data/monthly/ron.json index ffa10a114d0b..b4a6340f31eb 100644 --- a/data/monthly/ron.json +++ b/data/monthly/ron.json @@ -2,6 +2,6 @@ "title": "GitHub Ron Languages Monthly Trending", "description": "Monthly Trending of Ron Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ron.xml b/data/monthly/ron.xml index 0277238f75f7..8459c96130ee 100644 --- a/data/monthly/ron.xml +++ b/data/monthly/ron.xml @@ -3,6 +3,6 @@ GitHub Ron Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ron Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rouge.json b/data/monthly/rouge.json index 670847ca321b..d6cd8c262464 100644 --- a/data/monthly/rouge.json +++ b/data/monthly/rouge.json @@ -2,6 +2,6 @@ "title": "GitHub Rouge Languages Monthly Trending", "description": "Monthly Trending of Rouge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rouge.xml b/data/monthly/rouge.xml index 58c636f13746..13e5484b1356 100644 --- a/data/monthly/rouge.xml +++ b/data/monthly/rouge.xml @@ -3,6 +3,6 @@ GitHub Rouge Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rouge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/routeros-script.json b/data/monthly/routeros-script.json index c668977ed69c..b3a9512ad60b 100644 --- a/data/monthly/routeros-script.json +++ b/data/monthly/routeros-script.json @@ -2,6 +2,6 @@ "title": "GitHub Routeros-script Languages Monthly Trending", "description": "Monthly Trending of Routeros-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/routeros-script.xml b/data/monthly/routeros-script.xml index 51e4f6005545..498cd2820457 100644 --- a/data/monthly/routeros-script.xml +++ b/data/monthly/routeros-script.xml @@ -3,6 +3,6 @@ GitHub Routeros-script Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Routeros-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rpc.json b/data/monthly/rpc.json index 4e001b69c88c..6d37f270c651 100644 --- a/data/monthly/rpc.json +++ b/data/monthly/rpc.json @@ -2,7 +2,7 @@ "title": "GitHub Rpc Languages Monthly Trending", "description": "Monthly Trending of Rpc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "llvm/llvm-test-suite", @@ -11,7 +11,7 @@ "language": "RPC", "languageColor": "#ccc", "stars": "162", - "forks": "322", + "forks": "321", "addStars": "4", "contributors": [ { diff --git a/data/monthly/rpc.xml b/data/monthly/rpc.xml index 449b5c99eadd..66bff4fea085 100644 --- a/data/monthly/rpc.xml +++ b/data/monthly/rpc.xml @@ -3,7 +3,7 @@ GitHub Rpc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rpc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT llvm/llvm-test-suite https://github.com/llvm/llvm-test-suite @@ -12,7 +12,7 @@ RPC #ccc 162 - 322 + 321 4 diff --git a/data/monthly/rpgle.json b/data/monthly/rpgle.json index 806da74eec4e..a2ba2ec973bd 100644 --- a/data/monthly/rpgle.json +++ b/data/monthly/rpgle.json @@ -2,6 +2,6 @@ "title": "GitHub Rpgle Languages Monthly Trending", "description": "Monthly Trending of Rpgle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rpgle.xml b/data/monthly/rpgle.xml index 13ce63bf3696..67df3a2f4cba 100644 --- a/data/monthly/rpgle.xml +++ b/data/monthly/rpgle.xml @@ -3,6 +3,6 @@ GitHub Rpgle Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rpgle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rpm-spec.json b/data/monthly/rpm-spec.json index ba90f6ff4274..8d3de31ab953 100644 --- a/data/monthly/rpm-spec.json +++ b/data/monthly/rpm-spec.json @@ -2,6 +2,6 @@ "title": "GitHub Rpm-spec Languages Monthly Trending", "description": "Monthly Trending of Rpm-spec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/rpm-spec.xml b/data/monthly/rpm-spec.xml index 60ec15b99edd..cafd5f6b76ca 100644 --- a/data/monthly/rpm-spec.xml +++ b/data/monthly/rpm-spec.xml @@ -3,6 +3,6 @@ GitHub Rpm-spec Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rpm-spec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ruby.json b/data/monthly/ruby.json index d273e2275388..8f01e818b9be 100644 --- a/data/monthly/ruby.json +++ b/data/monthly/ruby.json @@ -2,7 +2,7 @@ "title": "GitHub Ruby Languages Monthly Trending", "description": "Monthly Trending of Ruby Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "maybe-finance/maybe", @@ -10,7 +10,7 @@ "description": "The OS for your personal finances", "language": "Ruby", "languageColor": "#701516", - "stars": "34,088", + "stars": "34,094", "forks": "2,450", "addStars": "2,567", "contributors": [ @@ -42,7 +42,7 @@ "description": "Open source DocuSign alternative. Create, fill, and sign digital documents ✍️", "language": "Ruby", "languageColor": "#701516", - "stars": "7,996", + "stars": "8,000", "forks": "528", "addStars": "1,635", "contributors": [ @@ -68,13 +68,50 @@ } ] }, + { + "title": "huginn/huginn", + "url": "https://github.com/huginn/huginn", + "description": "Create agents that monitor and act on your behalf. Your agents are standing by!", + "language": "Ruby", + "languageColor": "#701516", + "stars": "43,713", + "forks": "3,789", + "addStars": "506", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10236?s=40&v=4", + "name": "knu", + "url": "https://github.com/knu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/83835?s=40&v=4", + "name": "cantino", + "url": "https://github.com/cantino" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20943?s=40&v=4", + "name": "dsander", + "url": "https://github.com/dsander" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1547934?s=40&v=4", + "name": "Jngai", + "url": "https://github.com/Jngai" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/382862?s=40&v=4", + "name": "albertsun", + "url": "https://github.com/albertsun" + } + ] + }, { "title": "rapid7/metasploit-framework", "url": "https://github.com/rapid7/metasploit-framework", "description": "Metasploit Framework", "language": "Ruby", "languageColor": "#701516", - "stars": "34,246", + "stars": "34,247", "forks": "14,000", "addStars": "251", "contributors": [ @@ -106,39 +143,39 @@ ] }, { - "title": "huginn/huginn", - "url": "https://github.com/huginn/huginn", - "description": "Create agents that monitor and act on your behalf. Your agents are standing by!", + "title": "hashicorp/vagrant", + "url": "https://github.com/hashicorp/vagrant", + "description": "Vagrant is a tool for building and distributing development environments.", "language": "Ruby", "languageColor": "#701516", - "stars": "43,711", - "forks": "3,788", - "addStars": "506", + "stars": "26,314", + "forks": "4,433", + "addStars": "125", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10236?s=40&v=4", - "name": "knu", - "url": "https://github.com/knu" + "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", + "name": "mitchellh", + "url": "https://github.com/mitchellh" }, { - "avatar": "https://avatars.githubusercontent.com/u/83835?s=40&v=4", - "name": "cantino", - "url": "https://github.com/cantino" + "avatar": "https://avatars.githubusercontent.com/u/266674?s=40&v=4", + "name": "chrisroberts", + "url": "https://github.com/chrisroberts" }, { - "avatar": "https://avatars.githubusercontent.com/u/20943?s=40&v=4", - "name": "dsander", - "url": "https://github.com/dsander" + "avatar": "https://avatars.githubusercontent.com/u/810277?s=40&v=4", + "name": "briancain", + "url": "https://github.com/briancain" }, { - "avatar": "https://avatars.githubusercontent.com/u/1547934?s=40&v=4", - "name": "Jngai", - "url": "https://github.com/Jngai" + "avatar": "https://avatars.githubusercontent.com/u/976973?s=40&v=4", + "name": "soapy1", + "url": "https://github.com/soapy1" }, { - "avatar": "https://avatars.githubusercontent.com/u/382862?s=40&v=4", - "name": "albertsun", - "url": "https://github.com/albertsun" + "avatar": "https://avatars.githubusercontent.com/u/408570?s=40&v=4", + "name": "sethvargo", + "url": "https://github.com/sethvargo" } ] }, @@ -179,51 +216,14 @@ } ] }, - { - "title": "hashicorp/vagrant", - "url": "https://github.com/hashicorp/vagrant", - "description": "Vagrant is a tool for building and distributing development environments.", - "language": "Ruby", - "languageColor": "#701516", - "stars": "26,312", - "forks": "4,433", - "addStars": "125", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", - "name": "mitchellh", - "url": "https://github.com/mitchellh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/266674?s=40&v=4", - "name": "chrisroberts", - "url": "https://github.com/chrisroberts" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/810277?s=40&v=4", - "name": "briancain", - "url": "https://github.com/briancain" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/976973?s=40&v=4", - "name": "soapy1", - "url": "https://github.com/soapy1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/408570?s=40&v=4", - "name": "sethvargo", - "url": "https://github.com/sethvargo" - } - ] - }, { "title": "Homebrew/brew", "url": "https://github.com/Homebrew/brew", "description": "🍺 The missing package manager for macOS (or Linux)", "language": "Ruby", "languageColor": "#701516", - "stars": "41,538", - "forks": "9,770", + "stars": "41,542", + "forks": "9,771", "addStars": "421", "contributors": [ { @@ -253,45 +253,13 @@ } ] }, - { - "title": "freeCodeCamp/devdocs", - "url": "https://github.com/freeCodeCamp/devdocs", - "description": "API Documentation Browser", - "language": "Ruby", - "languageColor": "#701516", - "stars": "35,365", - "forks": "2,365", - "addStars": "219", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17579?s=40&v=4", - "name": "Thibaut", - "url": "https://github.com/Thibaut" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/782446?s=40&v=4", - "name": "simon04", - "url": "https://github.com/simon04" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14951909?s=40&v=4", - "name": "jmerle", - "url": "https://github.com/jmerle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52229226?s=40&v=4", - "name": "MasterEnoc", - "url": "https://github.com/MasterEnoc" - } - ] - }, { "title": "mastodon/mastodon", "url": "https://github.com/mastodon/mastodon", "description": "Your self-hosted, globally interconnected microblogging community", "language": "Ruby", "languageColor": "#701516", - "stars": "47,215", + "stars": "47,216", "forks": "7,003", "addStars": "297", "contributors": [ @@ -313,24 +281,34 @@ ] }, { - "title": "Shopify/ruby-lsp", - "url": "https://github.com/Shopify/ruby-lsp", - "description": "An opinionated language server for Ruby", + "title": "freeCodeCamp/devdocs", + "url": "https://github.com/freeCodeCamp/devdocs", + "description": "API Documentation Browser", "language": "Ruby", "languageColor": "#701516", - "stars": "1,614", - "forks": "166", - "addStars": "47", + "stars": "35,368", + "forks": "2,365", + "addStars": "219", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18742907?s=40&v=4", - "name": "vinistock", - "url": "https://github.com/vinistock" + "avatar": "https://avatars.githubusercontent.com/u/17579?s=40&v=4", + "name": "Thibaut", + "url": "https://github.com/Thibaut" }, { - "avatar": "https://avatars.githubusercontent.com/u/13400?s=40&v=4", - "name": "andyw8", - "url": "https://github.com/andyw8" + "avatar": "https://avatars.githubusercontent.com/u/782446?s=40&v=4", + "name": "simon04", + "url": "https://github.com/simon04" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14951909?s=40&v=4", + "name": "jmerle", + "url": "https://github.com/jmerle" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52229226?s=40&v=4", + "name": "MasterEnoc", + "url": "https://github.com/MasterEnoc" } ] }, @@ -377,8 +355,8 @@ "description": "Official repository for Citation Style Language (CSL) citation styles.", "language": "Ruby", "languageColor": "#701516", - "stars": "3,329", - "forks": "3,779", + "stars": "3,330", + "forks": "3,780", "addStars": "44", "contributors": [ { @@ -409,39 +387,24 @@ ] }, { - "title": "sidekiq/sidekiq", - "url": "https://github.com/sidekiq/sidekiq", - "description": "Simple, efficient background processing for Ruby", + "title": "Shopify/ruby-lsp", + "url": "https://github.com/Shopify/ruby-lsp", + "description": "An opinionated language server for Ruby", "language": "Ruby", "languageColor": "#701516", - "stars": "13,175", - "forks": "2,419", - "addStars": "38", + "stars": "1,615", + "forks": "166", + "addStars": "47", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2911?s=40&v=4", - "name": "mperham", - "url": "https://github.com/mperham" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2394703?s=40&v=4", - "name": "seuros", - "url": "https://github.com/seuros" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/744212?s=40&v=4", - "name": "brandonhilkert", - "url": "https://github.com/brandonhilkert" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5657035?s=40&v=4", - "name": "fatkodima", - "url": "https://github.com/fatkodima" + "avatar": "https://avatars.githubusercontent.com/u/18742907?s=40&v=4", + "name": "vinistock", + "url": "https://github.com/vinistock" }, { - "avatar": "https://avatars.githubusercontent.com/u/18191?s=40&v=4", - "name": "jc00ke", - "url": "https://github.com/jc00ke" + "avatar": "https://avatars.githubusercontent.com/u/13400?s=40&v=4", + "name": "andyw8", + "url": "https://github.com/andyw8" } ] }, @@ -451,8 +414,8 @@ "description": "A platform for community discussion. Free, open, simple.", "language": "Ruby", "languageColor": "#701516", - "stars": "42,407", - "forks": "8,343", + "stars": "42,410", + "forks": "8,345", "addStars": "321", "contributors": [ { @@ -488,7 +451,7 @@ "description": "Zammad is a web based open source helpdesk/customer support system", "language": "Ruby", "languageColor": "#701516", - "stars": "4,506", + "stars": "4,507", "forks": "762", "addStars": "82", "contributors": [ @@ -520,39 +483,39 @@ ] }, { - "title": "CocoaPods/CocoaPods", - "url": "https://github.com/CocoaPods/CocoaPods", - "description": "The Cocoa Dependency Manager.", + "title": "redmine/redmine", + "url": "https://github.com/redmine/redmine", + "description": "Mirror of redmine code source - Official Subversion repository is at https://svn.redmine.org/redmine - contact: @vividtone or maeda (at) farend (dot) jp", "language": "Ruby", "languageColor": "#701516", - "stars": "14,583", - "forks": "2,636", - "addStars": "39", + "stars": "5,323", + "forks": "2,338", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1946610?s=40&v=4", - "name": "segiddins", - "url": "https://github.com/segiddins" + "avatar": "https://avatars.githubusercontent.com/u/13084?s=40&v=4", + "name": "jplang", + "url": "https://github.com/jplang" }, { - "avatar": "https://avatars.githubusercontent.com/u/1048705?s=40&v=4", - "name": "fabiopelosin", - "url": "https://github.com/fabiopelosin" + "avatar": "https://avatars.githubusercontent.com/u/200784?s=40&v=4", + "name": "marutosi", + "url": "https://github.com/marutosi" }, { - "avatar": "https://avatars.githubusercontent.com/u/310370?s=40&v=4", - "name": "dnkoutso", - "url": "https://github.com/dnkoutso" + "avatar": "https://avatars.githubusercontent.com/u/114863?s=40&v=4", + "name": "vividtone", + "url": "https://github.com/vividtone" }, { - "avatar": "https://avatars.githubusercontent.com/u/2320?s=40&v=4", - "name": "alloy", - "url": "https://github.com/alloy" + "avatar": "https://avatars.githubusercontent.com/u/5037739?s=40&v=4", + "name": "marius-balteanu", + "url": "https://github.com/marius-balteanu" }, { - "avatar": "https://avatars.githubusercontent.com/u/1389011?s=40&v=4", - "name": "mrackwitz", - "url": "https://github.com/mrackwitz" + "avatar": "https://avatars.githubusercontent.com/u/1621?s=40&v=4", + "name": "edavis10", + "url": "https://github.com/edavis10" } ] }, @@ -562,7 +525,7 @@ "description": "OpenProject is the leading open source project management software.", "language": "Ruby", "languageColor": "#701516", - "stars": "9,479", + "stars": "9,482", "forks": "2,369", "addStars": "161", "contributors": [ @@ -594,39 +557,39 @@ ] }, { - "title": "redmine/redmine", - "url": "https://github.com/redmine/redmine", - "description": "Mirror of redmine code source - Official Subversion repository is at https://svn.redmine.org/redmine - contact: @vividtone or maeda (at) farend (dot) jp", + "title": "sinatra/sinatra", + "url": "https://github.com/sinatra/sinatra", + "description": "Classy web-development dressed in a DSL (official / canonical repo)", "language": "Ruby", "languageColor": "#701516", - "stars": "5,323", - "forks": "2,338", - "addStars": "38", + "stars": "12,211", + "forks": "2,076", + "addStars": "37", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13084?s=40&v=4", - "name": "jplang", - "url": "https://github.com/jplang" + "avatar": "https://avatars.githubusercontent.com/u/30442?s=40&v=4", + "name": "rkh", + "url": "https://github.com/rkh" }, { - "avatar": "https://avatars.githubusercontent.com/u/200784?s=40&v=4", - "name": "marutosi", - "url": "https://github.com/marutosi" + "avatar": "https://avatars.githubusercontent.com/u/404?s=40&v=4", + "name": "rtomayko", + "url": "https://github.com/rtomayko" }, { - "avatar": "https://avatars.githubusercontent.com/u/114863?s=40&v=4", - "name": "vividtone", - "url": "https://github.com/vividtone" + "avatar": "https://avatars.githubusercontent.com/u/585943?s=40&v=4", + "name": "jkowens", + "url": "https://github.com/jkowens" }, { - "avatar": "https://avatars.githubusercontent.com/u/5037739?s=40&v=4", - "name": "marius-balteanu", - "url": "https://github.com/marius-balteanu" + "avatar": "https://avatars.githubusercontent.com/u/309329?s=40&v=4", + "name": "namusyaka", + "url": "https://github.com/namusyaka" }, { - "avatar": "https://avatars.githubusercontent.com/u/1621?s=40&v=4", - "name": "edavis10", - "url": "https://github.com/edavis10" + "avatar": "https://avatars.githubusercontent.com/u/120195?s=40&v=4", + "name": "gnandretta", + "url": "https://github.com/gnandretta" } ] }, @@ -668,150 +631,187 @@ ] }, { - "title": "instructure/canvas-lms", - "url": "https://github.com/instructure/canvas-lms", - "description": "The open LMS by Instructure, Inc.", + "title": "mileszs/wicked_pdf", + "url": "https://github.com/mileszs/wicked_pdf", + "description": "PDF generator (from HTML) plugin for Ruby on Rails", "language": "Ruby", "languageColor": "#701516", - "stars": "5,705", - "forks": "2,517", - "addStars": "84", + "stars": "3,543", + "forks": "647", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/191320?s=40&v=4", - "name": "ccutrer", - "url": "https://github.com/ccutrer" + "avatar": "https://avatars.githubusercontent.com/u/8360?s=40&v=4", + "name": "unixmonkey", + "url": "https://github.com/unixmonkey" }, { - "avatar": "https://avatars.githubusercontent.com/u/2111401?s=40&v=4", - "name": "maneframe", - "url": "https://github.com/maneframe" + "avatar": "https://avatars.githubusercontent.com/u/10530520?s=40&v=4", + "name": "pedrofurtado", + "url": "https://github.com/pedrofurtado" }, { - "avatar": "https://avatars.githubusercontent.com/u/713453?s=40&v=4", - "name": "jstanley0", - "url": "https://github.com/jstanley0" + "avatar": "https://avatars.githubusercontent.com/u/34919?s=40&v=4", + "name": "lleirborras", + "url": "https://github.com/lleirborras" }, { - "avatar": "https://avatars.githubusercontent.com/u/937869?s=40&v=4", - "name": "roor0", - "url": "https://github.com/roor0" + "avatar": "https://avatars.githubusercontent.com/u/1587712?s=40&v=4", + "name": "sharpyfox", + "url": "https://github.com/sharpyfox" }, { - "avatar": "https://avatars.githubusercontent.com/u/60822?s=40&v=4", - "name": "simonista", - "url": "https://github.com/simonista" + "avatar": "https://avatars.githubusercontent.com/u/5356517?s=40&v=4", + "name": "yui-knk", + "url": "https://github.com/yui-knk" } ] }, { - "title": "sinatra/sinatra", - "url": "https://github.com/sinatra/sinatra", - "description": "Classy web-development dressed in a DSL (official / canonical repo)", + "title": "sidekiq/sidekiq", + "url": "https://github.com/sidekiq/sidekiq", + "description": "Simple, efficient background processing for Ruby", "language": "Ruby", "languageColor": "#701516", - "stars": "12,210", - "forks": "2,076", - "addStars": "37", + "stars": "13,176", + "forks": "2,419", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/30442?s=40&v=4", - "name": "rkh", - "url": "https://github.com/rkh" + "avatar": "https://avatars.githubusercontent.com/u/2911?s=40&v=4", + "name": "mperham", + "url": "https://github.com/mperham" }, { - "avatar": "https://avatars.githubusercontent.com/u/404?s=40&v=4", - "name": "rtomayko", - "url": "https://github.com/rtomayko" + "avatar": "https://avatars.githubusercontent.com/u/2394703?s=40&v=4", + "name": "seuros", + "url": "https://github.com/seuros" }, { - "avatar": "https://avatars.githubusercontent.com/u/585943?s=40&v=4", - "name": "jkowens", - "url": "https://github.com/jkowens" + "avatar": "https://avatars.githubusercontent.com/u/744212?s=40&v=4", + "name": "brandonhilkert", + "url": "https://github.com/brandonhilkert" }, { - "avatar": "https://avatars.githubusercontent.com/u/309329?s=40&v=4", - "name": "namusyaka", - "url": "https://github.com/namusyaka" + "avatar": "https://avatars.githubusercontent.com/u/5657035?s=40&v=4", + "name": "fatkodima", + "url": "https://github.com/fatkodima" }, { - "avatar": "https://avatars.githubusercontent.com/u/120195?s=40&v=4", - "name": "gnandretta", - "url": "https://github.com/gnandretta" + "avatar": "https://avatars.githubusercontent.com/u/18191?s=40&v=4", + "name": "jc00ke", + "url": "https://github.com/jc00ke" } ] }, { - "title": "mileszs/wicked_pdf", - "url": "https://github.com/mileszs/wicked_pdf", - "description": "PDF generator (from HTML) plugin for Ruby on Rails", + "title": "Homebrew/homebrew-core", + "url": "https://github.com/Homebrew/homebrew-core", + "description": "🍻 Default formulae for the missing package manager for macOS (or Linux)", "language": "Ruby", "languageColor": "#701516", - "stars": "3,543", - "forks": "647", - "addStars": "5", + "stars": "13,792", + "forks": "12,460", + "addStars": "107", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8360?s=40&v=4", - "name": "unixmonkey", - "url": "https://github.com/unixmonkey" + "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", + "name": "BrewTestBot", + "url": "https://github.com/BrewTestBot" }, { - "avatar": "https://avatars.githubusercontent.com/u/10530520?s=40&v=4", - "name": "pedrofurtado", - "url": "https://github.com/pedrofurtado" + "avatar": "https://avatars.githubusercontent.com/u/1580956?s=40&v=4", + "name": "chenrui333", + "url": "https://github.com/chenrui333" }, { - "avatar": "https://avatars.githubusercontent.com/u/34919?s=40&v=4", - "name": "lleirborras", - "url": "https://github.com/lleirborras" + "avatar": "https://avatars.githubusercontent.com/u/1980544?s=40&v=4", + "name": "fxcoudert", + "url": "https://github.com/fxcoudert" }, { - "avatar": "https://avatars.githubusercontent.com/u/1587712?s=40&v=4", - "name": "sharpyfox", - "url": "https://github.com/sharpyfox" + "avatar": "https://avatars.githubusercontent.com/u/5268928?s=40&v=4", + "name": "ilovezfs", + "url": "https://github.com/ilovezfs" }, { - "avatar": "https://avatars.githubusercontent.com/u/5356517?s=40&v=4", - "name": "yui-knk", - "url": "https://github.com/yui-knk" + "avatar": "https://avatars.githubusercontent.com/u/30379873?s=40&v=4", + "name": "carlocab", + "url": "https://github.com/carlocab" } ] }, { - "title": "elastic/elasticsearch-rails", - "url": "https://github.com/elastic/elasticsearch-rails", - "description": "Elasticsearch integrations for ActiveModel/Record and Ruby on Rails", + "title": "heartcombo/devise", + "url": "https://github.com/heartcombo/devise", + "description": "Flexible authentication solution for Rails with Warden.", "language": "Ruby", "languageColor": "#701516", - "stars": "3,077", - "forks": "798", - "addStars": "5", + "stars": "24,022", + "forks": "5,547", + "addStars": "74", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", + "name": "josevalim", + "url": "https://github.com/josevalim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26328?s=40&v=4", + "name": "carlosantoniodasilva", + "url": "https://github.com/carlosantoniodasilva" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/80978?s=40&v=4", + "name": "lucasmazza", + "url": "https://github.com/lucasmazza" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47848?s=40&v=4", + "name": "rafaelfranca", + "url": "https://github.com/rafaelfranca" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/200500?s=40&v=4", + "name": "nashby", + "url": "https://github.com/nashby" + } + ] + }, + { + "title": "github-linguist/linguist", + "url": "https://github.com/github-linguist/linguist", + "description": "Language Savant. If your repository's language is being reported incorrectly, send us a pull request!", + "language": "Ruby", + "languageColor": "#701516", + "stars": "12,352", + "forks": "4,270", + "addStars": "111", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4790?s=40&v=4", - "name": "karmi", - "url": "https://github.com/karmi" + "avatar": "https://avatars.githubusercontent.com/u/4483?s=40&v=4", + "name": "arfon", + "url": "https://github.com/arfon" }, { - "avatar": "https://avatars.githubusercontent.com/u/689327?s=40&v=4", - "name": "picandocodigo", - "url": "https://github.com/picandocodigo" + "avatar": "https://avatars.githubusercontent.com/u/137?s=40&v=4", + "name": "josh", + "url": "https://github.com/josh" }, { - "avatar": "https://avatars.githubusercontent.com/u/699369?s=40&v=4", - "name": "estolfo", - "url": "https://github.com/estolfo" + "avatar": "https://avatars.githubusercontent.com/u/126905?s=40&v=4", + "name": "tnm", + "url": "https://github.com/tnm" }, { - "avatar": "https://avatars.githubusercontent.com/u/210307?s=40&v=4", - "name": "miguelff", - "url": "https://github.com/miguelff" + "avatar": "https://avatars.githubusercontent.com/u/627280?s=40&v=4", + "name": "lildude", + "url": "https://github.com/lildude" }, { - "avatar": "https://avatars.githubusercontent.com/u/78?s=40&v=4", - "name": "indirect", - "url": "https://github.com/indirect" + "avatar": "https://avatars.githubusercontent.com/u/1764210?s=40&v=4", + "name": "pchaigno", + "url": "https://github.com/pchaigno" } ] } diff --git a/data/monthly/ruby.xml b/data/monthly/ruby.xml index 23baa1e59a14..27b010e89823 100644 --- a/data/monthly/ruby.xml +++ b/data/monthly/ruby.xml @@ -3,7 +3,7 @@ GitHub Ruby Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ruby Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT maybe-finance/maybe https://github.com/maybe-finance/maybe @@ -11,7 +11,7 @@ https://github.com/maybe-finance/maybe Ruby #701516 - 34,088 + 34,094 2,450 2,567 @@ -44,7 +44,7 @@ https://github.com/docusealco/docuseal Ruby #701516 - 7,996 + 8,000 528 1,635 @@ -70,6 +70,44 @@ + + huginn/huginn + https://github.com/huginn/huginn + Create agents that monitor and act on your behalf. Your agents are standing by! + https://github.com/huginn/huginn + Ruby + #701516 + 43,713 + 3,789 + 506 + + + https://avatars.githubusercontent.com/u/10236?s=40&v=4 + knu + https://github.com/knu + + + https://avatars.githubusercontent.com/u/83835?s=40&v=4 + cantino + https://github.com/cantino + + + https://avatars.githubusercontent.com/u/20943?s=40&v=4 + dsander + https://github.com/dsander + + + https://avatars.githubusercontent.com/u/1547934?s=40&v=4 + Jngai + https://github.com/Jngai + + + https://avatars.githubusercontent.com/u/382862?s=40&v=4 + albertsun + https://github.com/albertsun + + + rapid7/metasploit-framework https://github.com/rapid7/metasploit-framework @@ -77,7 +115,7 @@ https://github.com/rapid7/metasploit-framework Ruby #701516 - 34,246 + 34,247 14,000 251 @@ -109,40 +147,40 @@ - huginn/huginn - https://github.com/huginn/huginn - Create agents that monitor and act on your behalf. Your agents are standing by! - https://github.com/huginn/huginn + hashicorp/vagrant + https://github.com/hashicorp/vagrant + Vagrant is a tool for building and distributing development environments. + https://github.com/hashicorp/vagrant Ruby #701516 - 43,711 - 3,788 - 506 + 26,314 + 4,433 + 125 - https://avatars.githubusercontent.com/u/10236?s=40&v=4 - knu - https://github.com/knu + https://avatars.githubusercontent.com/u/1299?s=40&v=4 + mitchellh + https://github.com/mitchellh - https://avatars.githubusercontent.com/u/83835?s=40&v=4 - cantino - https://github.com/cantino + https://avatars.githubusercontent.com/u/266674?s=40&v=4 + chrisroberts + https://github.com/chrisroberts - https://avatars.githubusercontent.com/u/20943?s=40&v=4 - dsander - https://github.com/dsander + https://avatars.githubusercontent.com/u/810277?s=40&v=4 + briancain + https://github.com/briancain - https://avatars.githubusercontent.com/u/1547934?s=40&v=4 - Jngai - https://github.com/Jngai + https://avatars.githubusercontent.com/u/976973?s=40&v=4 + soapy1 + https://github.com/soapy1 - https://avatars.githubusercontent.com/u/382862?s=40&v=4 - albertsun - https://github.com/albertsun + https://avatars.githubusercontent.com/u/408570?s=40&v=4 + sethvargo + https://github.com/sethvargo @@ -184,44 +222,6 @@ - - hashicorp/vagrant - https://github.com/hashicorp/vagrant - Vagrant is a tool for building and distributing development environments. - https://github.com/hashicorp/vagrant - Ruby - #701516 - 26,312 - 4,433 - 125 - - - https://avatars.githubusercontent.com/u/1299?s=40&v=4 - mitchellh - https://github.com/mitchellh - - - https://avatars.githubusercontent.com/u/266674?s=40&v=4 - chrisroberts - https://github.com/chrisroberts - - - https://avatars.githubusercontent.com/u/810277?s=40&v=4 - briancain - https://github.com/briancain - - - https://avatars.githubusercontent.com/u/976973?s=40&v=4 - soapy1 - https://github.com/soapy1 - - - https://avatars.githubusercontent.com/u/408570?s=40&v=4 - sethvargo - https://github.com/sethvargo - - - Homebrew/brew https://github.com/Homebrew/brew @@ -229,8 +229,8 @@ https://github.com/Homebrew/brew Ruby #701516 - 41,538 - 9,770 + 41,542 + 9,771 421 @@ -260,39 +260,6 @@ - - freeCodeCamp/devdocs - https://github.com/freeCodeCamp/devdocs - API Documentation Browser - https://github.com/freeCodeCamp/devdocs - Ruby - #701516 - 35,365 - 2,365 - 219 - - - https://avatars.githubusercontent.com/u/17579?s=40&v=4 - Thibaut - https://github.com/Thibaut - - - https://avatars.githubusercontent.com/u/782446?s=40&v=4 - simon04 - https://github.com/simon04 - - - https://avatars.githubusercontent.com/u/14951909?s=40&v=4 - jmerle - https://github.com/jmerle - - - https://avatars.githubusercontent.com/u/52229226?s=40&v=4 - MasterEnoc - https://github.com/MasterEnoc - - - mastodon/mastodon https://github.com/mastodon/mastodon @@ -300,7 +267,7 @@ https://github.com/mastodon/mastodon Ruby #701516 - 47,215 + 47,216 7,003 297 @@ -322,25 +289,35 @@ - Shopify/ruby-lsp - https://github.com/Shopify/ruby-lsp - An opinionated language server for Ruby - https://github.com/Shopify/ruby-lsp + freeCodeCamp/devdocs + https://github.com/freeCodeCamp/devdocs + API Documentation Browser + https://github.com/freeCodeCamp/devdocs Ruby #701516 - 1,614 - 166 - 47 + 35,368 + 2,365 + 219 - https://avatars.githubusercontent.com/u/18742907?s=40&v=4 - vinistock - https://github.com/vinistock + https://avatars.githubusercontent.com/u/17579?s=40&v=4 + Thibaut + https://github.com/Thibaut - https://avatars.githubusercontent.com/u/13400?s=40&v=4 - andyw8 - https://github.com/andyw8 + https://avatars.githubusercontent.com/u/782446?s=40&v=4 + simon04 + https://github.com/simon04 + + + https://avatars.githubusercontent.com/u/14951909?s=40&v=4 + jmerle + https://github.com/jmerle + + + https://avatars.githubusercontent.com/u/52229226?s=40&v=4 + MasterEnoc + https://github.com/MasterEnoc @@ -389,8 +366,8 @@ https://github.com/citation-style-language/styles Ruby #701516 - 3,329 - 3,779 + 3,330 + 3,780 44 @@ -421,40 +398,25 @@ - sidekiq/sidekiq - https://github.com/sidekiq/sidekiq - Simple, efficient background processing for Ruby - https://github.com/sidekiq/sidekiq + Shopify/ruby-lsp + https://github.com/Shopify/ruby-lsp + An opinionated language server for Ruby + https://github.com/Shopify/ruby-lsp Ruby #701516 - 13,175 - 2,419 - 38 + 1,615 + 166 + 47 - https://avatars.githubusercontent.com/u/2911?s=40&v=4 - mperham - https://github.com/mperham - - - https://avatars.githubusercontent.com/u/2394703?s=40&v=4 - seuros - https://github.com/seuros - - - https://avatars.githubusercontent.com/u/744212?s=40&v=4 - brandonhilkert - https://github.com/brandonhilkert - - - https://avatars.githubusercontent.com/u/5657035?s=40&v=4 - fatkodima - https://github.com/fatkodima + https://avatars.githubusercontent.com/u/18742907?s=40&v=4 + vinistock + https://github.com/vinistock - https://avatars.githubusercontent.com/u/18191?s=40&v=4 - jc00ke - https://github.com/jc00ke + https://avatars.githubusercontent.com/u/13400?s=40&v=4 + andyw8 + https://github.com/andyw8 @@ -465,8 +427,8 @@ https://github.com/discourse/discourse Ruby #701516 - 42,407 - 8,343 + 42,410 + 8,345 321 @@ -503,7 +465,7 @@ https://github.com/zammad/zammad Ruby #701516 - 4,506 + 4,507 762 82 @@ -535,40 +497,40 @@ - CocoaPods/CocoaPods - https://github.com/CocoaPods/CocoaPods - The Cocoa Dependency Manager. - https://github.com/CocoaPods/CocoaPods + redmine/redmine + https://github.com/redmine/redmine + Mirror of redmine code source - Official Subversion repository is at https://svn.redmine.org/redmine - contact: @vividtone or maeda (at) farend (dot) jp + https://github.com/redmine/redmine Ruby #701516 - 14,583 - 2,636 - 39 + 5,323 + 2,338 + 38 - https://avatars.githubusercontent.com/u/1946610?s=40&v=4 - segiddins - https://github.com/segiddins + https://avatars.githubusercontent.com/u/13084?s=40&v=4 + jplang + https://github.com/jplang - https://avatars.githubusercontent.com/u/1048705?s=40&v=4 - fabiopelosin - https://github.com/fabiopelosin + https://avatars.githubusercontent.com/u/200784?s=40&v=4 + marutosi + https://github.com/marutosi - https://avatars.githubusercontent.com/u/310370?s=40&v=4 - dnkoutso - https://github.com/dnkoutso + https://avatars.githubusercontent.com/u/114863?s=40&v=4 + vividtone + https://github.com/vividtone - https://avatars.githubusercontent.com/u/2320?s=40&v=4 - alloy - https://github.com/alloy + https://avatars.githubusercontent.com/u/5037739?s=40&v=4 + marius-balteanu + https://github.com/marius-balteanu - https://avatars.githubusercontent.com/u/1389011?s=40&v=4 - mrackwitz - https://github.com/mrackwitz + https://avatars.githubusercontent.com/u/1621?s=40&v=4 + edavis10 + https://github.com/edavis10 @@ -579,7 +541,7 @@ https://github.com/opf/openproject Ruby #701516 - 9,479 + 9,482 2,369 161 @@ -611,40 +573,40 @@ - redmine/redmine - https://github.com/redmine/redmine - Mirror of redmine code source - Official Subversion repository is at https://svn.redmine.org/redmine - contact: @vividtone or maeda (at) farend (dot) jp - https://github.com/redmine/redmine + sinatra/sinatra + https://github.com/sinatra/sinatra + Classy web-development dressed in a DSL (official / canonical repo) + https://github.com/sinatra/sinatra Ruby #701516 - 5,323 - 2,338 - 38 + 12,211 + 2,076 + 37 - https://avatars.githubusercontent.com/u/13084?s=40&v=4 - jplang - https://github.com/jplang + https://avatars.githubusercontent.com/u/30442?s=40&v=4 + rkh + https://github.com/rkh - https://avatars.githubusercontent.com/u/200784?s=40&v=4 - marutosi - https://github.com/marutosi + https://avatars.githubusercontent.com/u/404?s=40&v=4 + rtomayko + https://github.com/rtomayko - https://avatars.githubusercontent.com/u/114863?s=40&v=4 - vividtone - https://github.com/vividtone + https://avatars.githubusercontent.com/u/585943?s=40&v=4 + jkowens + https://github.com/jkowens - https://avatars.githubusercontent.com/u/5037739?s=40&v=4 - marius-balteanu - https://github.com/marius-balteanu + https://avatars.githubusercontent.com/u/309329?s=40&v=4 + namusyaka + https://github.com/namusyaka - https://avatars.githubusercontent.com/u/1621?s=40&v=4 - edavis10 - https://github.com/edavis10 + https://avatars.githubusercontent.com/u/120195?s=40&v=4 + gnandretta + https://github.com/gnandretta @@ -687,154 +649,192 @@ - instructure/canvas-lms - https://github.com/instructure/canvas-lms - The open LMS by Instructure, Inc. - https://github.com/instructure/canvas-lms + mileszs/wicked_pdf + https://github.com/mileszs/wicked_pdf + PDF generator (from HTML) plugin for Ruby on Rails + https://github.com/mileszs/wicked_pdf Ruby #701516 - 5,705 - 2,517 - 84 + 3,543 + 647 + 5 - https://avatars.githubusercontent.com/u/191320?s=40&v=4 - ccutrer - https://github.com/ccutrer + https://avatars.githubusercontent.com/u/8360?s=40&v=4 + unixmonkey + https://github.com/unixmonkey - https://avatars.githubusercontent.com/u/2111401?s=40&v=4 - maneframe - https://github.com/maneframe + https://avatars.githubusercontent.com/u/10530520?s=40&v=4 + pedrofurtado + https://github.com/pedrofurtado - https://avatars.githubusercontent.com/u/713453?s=40&v=4 - jstanley0 - https://github.com/jstanley0 + https://avatars.githubusercontent.com/u/34919?s=40&v=4 + lleirborras + https://github.com/lleirborras - https://avatars.githubusercontent.com/u/937869?s=40&v=4 - roor0 - https://github.com/roor0 + https://avatars.githubusercontent.com/u/1587712?s=40&v=4 + sharpyfox + https://github.com/sharpyfox - https://avatars.githubusercontent.com/u/60822?s=40&v=4 - simonista - https://github.com/simonista + https://avatars.githubusercontent.com/u/5356517?s=40&v=4 + yui-knk + https://github.com/yui-knk - sinatra/sinatra - https://github.com/sinatra/sinatra - Classy web-development dressed in a DSL (official / canonical repo) - https://github.com/sinatra/sinatra + sidekiq/sidekiq + https://github.com/sidekiq/sidekiq + Simple, efficient background processing for Ruby + https://github.com/sidekiq/sidekiq Ruby #701516 - 12,210 - 2,076 - 37 + 13,176 + 2,419 + 38 - https://avatars.githubusercontent.com/u/30442?s=40&v=4 - rkh - https://github.com/rkh + https://avatars.githubusercontent.com/u/2911?s=40&v=4 + mperham + https://github.com/mperham - https://avatars.githubusercontent.com/u/404?s=40&v=4 - rtomayko - https://github.com/rtomayko + https://avatars.githubusercontent.com/u/2394703?s=40&v=4 + seuros + https://github.com/seuros - https://avatars.githubusercontent.com/u/585943?s=40&v=4 - jkowens - https://github.com/jkowens + https://avatars.githubusercontent.com/u/744212?s=40&v=4 + brandonhilkert + https://github.com/brandonhilkert - https://avatars.githubusercontent.com/u/309329?s=40&v=4 - namusyaka - https://github.com/namusyaka + https://avatars.githubusercontent.com/u/5657035?s=40&v=4 + fatkodima + https://github.com/fatkodima - https://avatars.githubusercontent.com/u/120195?s=40&v=4 - gnandretta - https://github.com/gnandretta + https://avatars.githubusercontent.com/u/18191?s=40&v=4 + jc00ke + https://github.com/jc00ke - mileszs/wicked_pdf - https://github.com/mileszs/wicked_pdf - PDF generator (from HTML) plugin for Ruby on Rails - https://github.com/mileszs/wicked_pdf + Homebrew/homebrew-core + https://github.com/Homebrew/homebrew-core + 🍻 Default formulae for the missing package manager for macOS (or Linux) + https://github.com/Homebrew/homebrew-core Ruby #701516 - 3,543 - 647 - 5 + 13,792 + 12,460 + 107 - https://avatars.githubusercontent.com/u/8360?s=40&v=4 - unixmonkey - https://github.com/unixmonkey + https://avatars.githubusercontent.com/u/1589480?s=40&v=4 + BrewTestBot + https://github.com/BrewTestBot - https://avatars.githubusercontent.com/u/10530520?s=40&v=4 - pedrofurtado - https://github.com/pedrofurtado + https://avatars.githubusercontent.com/u/1580956?s=40&v=4 + chenrui333 + https://github.com/chenrui333 - https://avatars.githubusercontent.com/u/34919?s=40&v=4 - lleirborras - https://github.com/lleirborras + https://avatars.githubusercontent.com/u/1980544?s=40&v=4 + fxcoudert + https://github.com/fxcoudert - https://avatars.githubusercontent.com/u/1587712?s=40&v=4 - sharpyfox - https://github.com/sharpyfox + https://avatars.githubusercontent.com/u/5268928?s=40&v=4 + ilovezfs + https://github.com/ilovezfs - https://avatars.githubusercontent.com/u/5356517?s=40&v=4 - yui-knk - https://github.com/yui-knk + https://avatars.githubusercontent.com/u/30379873?s=40&v=4 + carlocab + https://github.com/carlocab - elastic/elasticsearch-rails - https://github.com/elastic/elasticsearch-rails - Elasticsearch integrations for ActiveModel/Record and Ruby on Rails - https://github.com/elastic/elasticsearch-rails + heartcombo/devise + https://github.com/heartcombo/devise + Flexible authentication solution for Rails with Warden. + https://github.com/heartcombo/devise Ruby #701516 - 3,077 - 798 - 5 + 24,022 + 5,547 + 74 + + + https://avatars.githubusercontent.com/u/9582?s=40&v=4 + josevalim + https://github.com/josevalim + + + https://avatars.githubusercontent.com/u/26328?s=40&v=4 + carlosantoniodasilva + https://github.com/carlosantoniodasilva + + + https://avatars.githubusercontent.com/u/80978?s=40&v=4 + lucasmazza + https://github.com/lucasmazza + + + https://avatars.githubusercontent.com/u/47848?s=40&v=4 + rafaelfranca + https://github.com/rafaelfranca + + + https://avatars.githubusercontent.com/u/200500?s=40&v=4 + nashby + https://github.com/nashby + + + + + github-linguist/linguist + https://github.com/github-linguist/linguist + Language Savant. If your repository's language is being reported incorrectly, send us a pull request! + https://github.com/github-linguist/linguist + Ruby + #701516 + 12,352 + 4,270 + 111 - https://avatars.githubusercontent.com/u/4790?s=40&v=4 - karmi - https://github.com/karmi + https://avatars.githubusercontent.com/u/4483?s=40&v=4 + arfon + https://github.com/arfon - https://avatars.githubusercontent.com/u/689327?s=40&v=4 - picandocodigo - https://github.com/picandocodigo + https://avatars.githubusercontent.com/u/137?s=40&v=4 + josh + https://github.com/josh - https://avatars.githubusercontent.com/u/699369?s=40&v=4 - estolfo - https://github.com/estolfo + https://avatars.githubusercontent.com/u/126905?s=40&v=4 + tnm + https://github.com/tnm - https://avatars.githubusercontent.com/u/210307?s=40&v=4 - miguelff - https://github.com/miguelff + https://avatars.githubusercontent.com/u/627280?s=40&v=4 + lildude + https://github.com/lildude - https://avatars.githubusercontent.com/u/78?s=40&v=4 - indirect - https://github.com/indirect + https://avatars.githubusercontent.com/u/1764210?s=40&v=4 + pchaigno + https://github.com/pchaigno diff --git a/data/monthly/runoff.json b/data/monthly/runoff.json index 7439145cdcde..14d7d490ebf2 100644 --- a/data/monthly/runoff.json +++ b/data/monthly/runoff.json @@ -2,6 +2,6 @@ "title": "GitHub Runoff Languages Monthly Trending", "description": "Monthly Trending of Runoff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/runoff.xml b/data/monthly/runoff.xml index d225b90dbc39..b6e28d914df6 100644 --- a/data/monthly/runoff.xml +++ b/data/monthly/runoff.xml @@ -3,6 +3,6 @@ GitHub Runoff Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Runoff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/rust.json b/data/monthly/rust.json index 1667214ebb01..130d3af07713 100644 --- a/data/monthly/rust.json +++ b/data/monthly/rust.json @@ -2,7 +2,7 @@ "title": "GitHub Rust Languages Monthly Trending", "description": "Monthly Trending of Rust Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "rustdesk/rustdesk", @@ -10,8 +10,8 @@ "description": "An open-source remote desktop application designed for self-hosting, as an alternative to TeamViewer.", "language": "Rust", "languageColor": "#dea584", - "stars": "76,423", - "forks": "10,201", + "stars": "76,431", + "forks": "10,209", "addStars": "2,020", "contributors": [ { @@ -47,8 +47,8 @@ "description": "Web-Scale Blockchain for fast, secure, scalable, decentralized apps and marketplaces.", "language": "Rust", "languageColor": "#dea584", - "stars": "13,284", - "forks": "4,323", + "stars": "13,287", + "forks": "4,322", "addStars": "286", "contributors": [ { @@ -79,8 +79,8 @@ "description": "A terminal workspace with batteries included", "language": "Rust", "languageColor": "#dea584", - "stars": "21,976", - "forks": "668", + "stars": "21,986", + "forks": "669", "addStars": "736", "contributors": [ { @@ -116,7 +116,7 @@ "description": "A library for building fast, reliable and evolvable network services.", "language": "Rust", "languageColor": "#dea584", - "stars": "22,445", + "stars": "22,454", "forks": "1,239", "addStars": "763", "contributors": [ @@ -153,8 +153,8 @@ "description": "A high-performance observability data pipeline.", "language": "Rust", "languageColor": "#dea584", - "stars": "18,235", - "forks": "1,602", + "stars": "18,244", + "forks": "1,603", "addStars": "541", "contributors": [ { @@ -185,7 +185,7 @@ "description": "the AI-native open-source embedding database", "language": "Rust", "languageColor": "#dea584", - "stars": "15,553", + "stars": "15,561", "forks": "1,303", "addStars": "524", "contributors": [ @@ -222,8 +222,8 @@ "description": "A collection of Solana programs maintained by Solana Labs", "language": "Rust", "languageColor": "#dea584", - "stars": "3,592", - "forks": "2,095", + "stars": "3,595", + "forks": "2,096", "addStars": "96", "contributors": [ { @@ -254,7 +254,7 @@ "description": "An extremely fast Python package and project manager, written in Rust.", "language": "Rust", "languageColor": "#dea584", - "stars": "27,440", + "stars": "27,473", "forks": "790", "addStars": "3,416", "contributors": [ @@ -286,7 +286,7 @@ "description": "A fast static site generator in a single binary with everything built-in. https://www.getzola.org", "language": "Rust", "languageColor": "#dea584", - "stars": "14,187", + "stars": "14,190", "forks": "970", "addStars": "520", "contributors": [ @@ -312,13 +312,45 @@ } ] }, + { + "title": "nushell/nushell", + "url": "https://github.com/nushell/nushell", + "description": "A new type of shell", + "language": "Rust", + "languageColor": "#dea584", + "stars": "32,687", + "forks": "1,692", + "addStars": "658", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/547158?s=40&v=4", + "name": "sophiajt", + "url": "https://github.com/sophiajt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/343840?s=40&v=4", + "name": "fdncred", + "url": "https://github.com/fdncred" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15833959?s=40&v=4", + "name": "sholderbach", + "url": "https://github.com/sholderbach" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/951128?s=40&v=4", + "name": "andrasio", + "url": "https://github.com/andrasio" + } + ] + }, { "title": "Snowiiii/Pumpkin", "url": "https://github.com/Snowiiii/Pumpkin", "description": "Empowering everyone to host fast and efficient Minecraft servers.", "language": "Rust", "languageColor": "#dea584", - "stars": "3,292", + "stars": "3,298", "forks": "118", "addStars": "1,001", "contributors": [ @@ -355,7 +387,7 @@ "description": "Unofficial Bitwarden compatible server written in Rust, formerly known as bitwarden_rs", "language": "Rust", "languageColor": "#dea584", - "stars": "39,335", + "stars": "39,344", "forks": "1,907", "addStars": "1,174", "contributors": [ @@ -386,50 +418,13 @@ } ] }, - { - "title": "DioxusLabs/dioxus", - "url": "https://github.com/DioxusLabs/dioxus", - "description": "Fullstack app framework for web, desktop, mobile, and more.", - "language": "Rust", - "languageColor": "#dea584", - "stars": "21,625", - "forks": "832", - "addStars": "963", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/10237910?s=40&v=4", - "name": "jkelleyrtp", - "url": "https://github.com/jkelleyrtp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66571940?s=40&v=4", - "name": "ealmloff", - "url": "https://github.com/ealmloff" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41265098?s=40&v=4", - "name": "mrxiaozhuox", - "url": "https://github.com/mrxiaozhuox" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30190859?s=40&v=4", - "name": "DogeDark", - "url": "https://github.com/DogeDark" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11248241?s=40&v=4", - "name": "rMazeiks", - "url": "https://github.com/rMazeiks" - } - ] - }, { "title": "openobserve/openobserve", "url": "https://github.com/openobserve/openobserve", "description": "🚀 10x easier, 🚀 140x lower storage cost, 🚀 high performance, 🚀 petabyte scale - Elasticsearch/Splunk/Datadog alternative for 🚀 (logs, metrics, traces, RUM, Error tracking, Session replay).", "language": "Rust", "languageColor": "#dea584", - "stars": "13,409", + "stars": "13,414", "forks": "488", "addStars": "955", "contributors": [ @@ -466,7 +461,7 @@ "description": "Improve keyboard comfort and usability with advanced customization", "language": "Rust", "languageColor": "#dea584", - "stars": "3,066", + "stars": "3,068", "forks": "128", "addStars": "719", "contributors": [ @@ -497,6 +492,70 @@ } ] }, + { + "title": "astral-sh/ruff", + "url": "https://github.com/astral-sh/ruff", + "description": "An extremely fast Python linter and code formatter, written in Rust.", + "language": "Rust", + "languageColor": "#dea584", + "stars": "33,074", + "forks": "1,105", + "addStars": "990", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1309177?s=40&v=4", + "name": "charliermarsh", + "url": "https://github.com/charliermarsh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1203881?s=40&v=4", + "name": "MichaReiser", + "url": "https://github.com/MichaReiser" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67177269?s=40&v=4", + "name": "dhruvmanila", + "url": "https://github.com/dhruvmanila" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66076021?s=40&v=4", + "name": "AlexWaygood", + "url": "https://github.com/AlexWaygood" + } + ] + }, + { + "title": "rust-lang/rustlings", + "url": "https://github.com/rust-lang/rustlings", + "description": "🦀 Small exercises to get you used to reading and writing Rust code!", + "language": "Rust", + "languageColor": "#dea584", + "stars": "54,461", + "forks": "10,189", + "addStars": "866", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/76752051?s=40&v=4", + "name": "mo8it", + "url": "https://github.com/mo8it" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6445316?s=40&v=4", + "name": "shadows-withal", + "url": "https://github.com/shadows-withal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/193874?s=40&v=4", + "name": "carols10cents", + "url": "https://github.com/carols10cents" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3372342?s=40&v=4", + "name": "bors", + "url": "https://github.com/bors" + } + ] + }, { "title": "kitao/pyxel", "url": "https://github.com/kitao/pyxel", @@ -535,34 +594,39 @@ ] }, { - "title": "martinvonz/jj", - "url": "https://github.com/martinvonz/jj", - "description": "A Git-compatible VCS that is both simple and powerful", + "title": "coral-xyz/anchor", + "url": "https://github.com/coral-xyz/anchor", + "description": "⚓ Solana Sealevel Framework", "language": "Rust", "languageColor": "#dea584", - "stars": "9,353", - "forks": "322", - "addStars": "583", + "stars": "3,723", + "forks": "1,364", + "addStars": "91", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/891642?s=40&v=4", - "name": "martinvonz", - "url": "https://github.com/martinvonz" + "avatar": "https://avatars.githubusercontent.com/u/6990215?s=40&v=4", + "name": "armaniferrante", + "url": "https://github.com/armaniferrante" }, { - "avatar": "https://avatars.githubusercontent.com/u/172069?s=40&v=4", - "name": "yuja", - "url": "https://github.com/yuja" + "avatar": "https://avatars.githubusercontent.com/u/98934430?s=40&v=4", + "name": "acheroncrypto", + "url": "https://github.com/acheroncrypto" }, { - "avatar": "https://avatars.githubusercontent.com/u/4123047?s=40&v=4", - "name": "ilyagr", - "url": "https://github.com/ilyagr" + "avatar": "https://avatars.githubusercontent.com/u/29666990?s=40&v=4", + "name": "paul-schaaf", + "url": "https://github.com/paul-schaaf" }, { - "avatar": "https://avatars.githubusercontent.com/u/3416?s=40&v=4", - "name": "thoughtpolice", - "url": "https://github.com/thoughtpolice" + "avatar": "https://avatars.githubusercontent.com/u/2633065?s=40&v=4", + "name": "fanatid", + "url": "https://github.com/fanatid" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13121516?s=40&v=4", + "name": "callensm", + "url": "https://github.com/callensm" } ] }, @@ -572,7 +636,7 @@ "description": "A syntax-highlighting pager for git, diff, grep, and blame output", "language": "Rust", "languageColor": "#dea584", - "stars": "24,558", + "stars": "24,564", "forks": "394", "addStars": "1,557", "contributors": [ @@ -599,8 +663,8 @@ "description": "Lightning-fast and Powerful Code Editor written in Rust", "language": "Rust", "languageColor": "#dea584", - "stars": "34,683", - "forks": "1,043", + "stars": "34,688", + "forks": "1,044", "addStars": "391", "contributors": [ { @@ -626,172 +690,103 @@ ] }, { - "title": "rust-lang/rustlings", - "url": "https://github.com/rust-lang/rustlings", - "description": "🦀 Small exercises to get you used to reading and writing Rust code!", - "language": "Rust", - "languageColor": "#dea584", - "stars": "54,451", - "forks": "10,189", - "addStars": "866", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/76752051?s=40&v=4", - "name": "mo8it", - "url": "https://github.com/mo8it" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6445316?s=40&v=4", - "name": "shadows-withal", - "url": "https://github.com/shadows-withal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/193874?s=40&v=4", - "name": "carols10cents", - "url": "https://github.com/carols10cents" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3372342?s=40&v=4", - "name": "bors", - "url": "https://github.com/bors" - } - ] - }, - { - "title": "astral-sh/ruff", - "url": "https://github.com/astral-sh/ruff", - "description": "An extremely fast Python linter and code formatter, written in Rust.", + "title": "sharkdp/hyperfine", + "url": "https://github.com/sharkdp/hyperfine", + "description": "A command-line benchmarking tool", "language": "Rust", "languageColor": "#dea584", - "stars": "33,064", - "forks": "1,104", - "addStars": "990", + "stars": "22,852", + "forks": "364", + "addStars": "955", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1309177?s=40&v=4", - "name": "charliermarsh", - "url": "https://github.com/charliermarsh" + "avatar": "https://avatars.githubusercontent.com/u/4209276?s=40&v=4", + "name": "sharkdp", + "url": "https://github.com/sharkdp" }, { - "avatar": "https://avatars.githubusercontent.com/u/1203881?s=40&v=4", - "name": "MichaReiser", - "url": "https://github.com/MichaReiser" + "avatar": "https://avatars.githubusercontent.com/u/36207117?s=40&v=4", + "name": "dependabot-bot", + "url": "https://github.com/dependabot-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/67177269?s=40&v=4", - "name": "dhruvmanila", - "url": "https://github.com/dhruvmanila" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66076021?s=40&v=4", - "name": "AlexWaygood", - "url": "https://github.com/AlexWaygood" + "avatar": "https://avatars.githubusercontent.com/u/8147610?s=40&v=4", + "name": "stevepentland", + "url": "https://github.com/stevepentland" } ] }, { - "title": "MystenLabs/sui", - "url": "https://github.com/MystenLabs/sui", - "description": "Sui, a next-generation smart contract platform with high throughput, low latency, and an asset-oriented programming model powered by the Move programming language", + "title": "katanemo/archgw", + "url": "https://github.com/katanemo/archgw", + "description": "Arch is an intelligent gateway for agents. Engineered with (fast) LLMs for the secure handling, rich observability, and seamless integration of prompts with your APIs - all outside business logic. Built by the core contributors of Envoy proxy, on Envoy.", "language": "Rust", "languageColor": "#dea584", - "stars": "6,264", - "forks": "11,210", - "addStars": "143", + "stars": "812", + "forks": "37", + "addStars": "423", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1581614?s=40&v=4", - "name": "lxfind", - "url": "https://github.com/lxfind" + "avatar": "https://avatars.githubusercontent.com/u/13196462?s=40&v=4", + "name": "adilhafeez", + "url": "https://github.com/adilhafeez" }, { - "avatar": "https://avatars.githubusercontent.com/u/6741899?s=40&v=4", - "name": "bmwill", - "url": "https://github.com/bmwill" + "avatar": "https://avatars.githubusercontent.com/u/327050?s=40&v=4", + "name": "salmanap", + "url": "https://github.com/salmanap" }, { - "avatar": "https://avatars.githubusercontent.com/u/332275?s=40&v=4", - "name": "amnn", - "url": "https://github.com/amnn" + "avatar": "https://avatars.githubusercontent.com/u/6007532?s=40&v=4", + "name": "junr03", + "url": "https://github.com/junr03" }, { - "avatar": "https://avatars.githubusercontent.com/u/103447440?s=40&v=4", - "name": "mystenmark", - "url": "https://github.com/mystenmark" + "avatar": "https://avatars.githubusercontent.com/u/18072023?s=40&v=4", + "name": "cotran2", + "url": "https://github.com/cotran2" }, { - "avatar": "https://avatars.githubusercontent.com/u/8418040?s=40&v=4", - "name": "longbowlu", - "url": "https://github.com/longbowlu" + "avatar": "https://avatars.githubusercontent.com/u/82300923?s=40&v=4", + "name": "aayushwhiz", + "url": "https://github.com/aayushwhiz" } ] }, { - "title": "coral-xyz/anchor", - "url": "https://github.com/coral-xyz/anchor", - "description": "⚓ Solana Sealevel Framework", + "title": "spaceandtimelabs/sxt-proof-of-sql", + "url": "https://github.com/spaceandtimelabs/sxt-proof-of-sql", + "description": "Space and Time | Proof of SQL", "language": "Rust", "languageColor": "#dea584", - "stars": "3,721", - "forks": "1,362", - "addStars": "91", + "stars": "2,593", + "forks": "93", + "addStars": "1,036", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6990215?s=40&v=4", - "name": "armaniferrante", - "url": "https://github.com/armaniferrante" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/98934430?s=40&v=4", - "name": "acheroncrypto", - "url": "https://github.com/acheroncrypto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29666990?s=40&v=4", - "name": "paul-schaaf", - "url": "https://github.com/paul-schaaf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2633065?s=40&v=4", - "name": "fanatid", - "url": "https://github.com/fanatid" + "avatar": "https://avatars.githubusercontent.com/u/7530828?s=40&v=4", + "name": "JayWhite2357", + "url": "https://github.com/JayWhite2357" }, { - "avatar": "https://avatars.githubusercontent.com/u/13121516?s=40&v=4", - "name": "callensm", - "url": "https://github.com/callensm" - } - ] - }, - { - "title": "mxsm/rocketmq-rust", - "url": "https://github.com/mxsm/rocketmq-rust", - "description": "🚀Apache RocketMQ Rust🦀 implementation. Faster, safer, and with lower memory usage.", - "language": "Rust", - "languageColor": "#dea584", - "stars": "706", - "forks": "90", - "addStars": "138", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/15797831?s=40&v=4", - "name": "mxsm", - "url": "https://github.com/mxsm" + "avatar": "https://avatars.githubusercontent.com/u/14581281?s=40&v=4", + "name": "iajoiner", + "url": "https://github.com/iajoiner" }, { - "avatar": "https://avatars.githubusercontent.com/u/10434593?s=40&v=4", - "name": "flydream-ylgw", - "url": "https://github.com/flydream-ylgw" + "avatar": "https://avatars.githubusercontent.com/u/1923871?s=40&v=4", + "name": "rnburn", + "url": "https://github.com/rnburn" }, { - "avatar": "https://avatars.githubusercontent.com/u/77013810?s=40&v=4", - "name": "TeslaRustor", - "url": "https://github.com/TeslaRustor" + "avatar": "https://avatars.githubusercontent.com/u/40841027?s=40&v=4", + "name": "Dustin-Ray", + "url": "https://github.com/Dustin-Ray" }, { - "avatar": "https://avatars.githubusercontent.com/u/12622645?s=40&v=4", - "name": "847850277", - "url": "https://github.com/847850277" + "avatar": "https://avatars.githubusercontent.com/u/110196418?s=40&v=4", + "name": "tlovell-sxt", + "url": "https://github.com/tlovell-sxt" } ] }, @@ -801,7 +796,7 @@ "description": "Code at the speed of thought – Zed is a high-performance, multiplayer code editor from the creators of Atom and Tree-sitter.", "language": "Rust", "languageColor": "#dea584", - "stars": "50,562", + "stars": "50,573", "forks": "3,133", "addStars": "2,056", "contributors": [ @@ -833,39 +828,34 @@ ] }, { - "title": "tree-sitter/tree-sitter", - "url": "https://github.com/tree-sitter/tree-sitter", - "description": "An incremental parsing system for programming tools", + "title": "mxsm/rocketmq-rust", + "url": "https://github.com/mxsm/rocketmq-rust", + "description": "🚀Apache RocketMQ Rust🦀 implementation. Faster, safer, and with lower memory usage.", "language": "Rust", "languageColor": "#dea584", - "stars": "18,775", - "forks": "1,473", - "addStars": "360", + "stars": "706", + "forks": "90", + "addStars": "138", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/326587?s=40&v=4", - "name": "maxbrunsfeld", - "url": "https://github.com/maxbrunsfeld" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29718261?s=40&v=4", - "name": "amaanq", - "url": "https://github.com/amaanq" + "avatar": "https://avatars.githubusercontent.com/u/15797831?s=40&v=4", + "name": "mxsm", + "url": "https://github.com/mxsm" }, { - "avatar": "https://avatars.githubusercontent.com/u/14666676?s=40&v=4", - "name": "ahlinc", - "url": "https://github.com/ahlinc" + "avatar": "https://avatars.githubusercontent.com/u/10434593?s=40&v=4", + "name": "flydream-ylgw", + "url": "https://github.com/flydream-ylgw" }, { - "avatar": "https://avatars.githubusercontent.com/u/2498?s=40&v=4", - "name": "patrickt", - "url": "https://github.com/patrickt" + "avatar": "https://avatars.githubusercontent.com/u/77013810?s=40&v=4", + "name": "TeslaRustor", + "url": "https://github.com/TeslaRustor" }, { - "avatar": "https://avatars.githubusercontent.com/u/24971970?s=40&v=4", - "name": "ObserverOfTime", - "url": "https://github.com/ObserverOfTime" + "avatar": "https://avatars.githubusercontent.com/u/12622645?s=40&v=4", + "name": "847850277", + "url": "https://github.com/847850277" } ] } diff --git a/data/monthly/rust.xml b/data/monthly/rust.xml index ad24a18f57bf..21bbb4bd9e62 100644 --- a/data/monthly/rust.xml +++ b/data/monthly/rust.xml @@ -3,7 +3,7 @@ GitHub Rust Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Rust Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT rustdesk/rustdesk https://github.com/rustdesk/rustdesk @@ -11,8 +11,8 @@ https://github.com/rustdesk/rustdesk Rust #dea584 - 76,423 - 10,201 + 76,431 + 10,209 2,020 @@ -49,8 +49,8 @@ https://github.com/solana-labs/solana Rust #dea584 - 13,284 - 4,323 + 13,287 + 4,322 286 @@ -82,8 +82,8 @@ https://github.com/zellij-org/zellij Rust #dea584 - 21,976 - 668 + 21,986 + 669 736 @@ -120,7 +120,7 @@ https://github.com/cloudflare/pingora Rust #dea584 - 22,445 + 22,454 1,239 763 @@ -158,8 +158,8 @@ https://github.com/vectordotdev/vector Rust #dea584 - 18,235 - 1,602 + 18,244 + 1,603 541 @@ -191,7 +191,7 @@ https://github.com/chroma-core/chroma Rust #dea584 - 15,553 + 15,561 1,303 524 @@ -229,8 +229,8 @@ https://github.com/solana-labs/solana-program-library Rust #dea584 - 3,592 - 2,095 + 3,595 + 2,096 96 @@ -262,7 +262,7 @@ https://github.com/astral-sh/uv Rust #dea584 - 27,440 + 27,473 790 3,416 @@ -295,7 +295,7 @@ https://github.com/getzola/zola Rust #dea584 - 14,187 + 14,190 970 520 @@ -321,6 +321,39 @@ + + nushell/nushell + https://github.com/nushell/nushell + A new type of shell + https://github.com/nushell/nushell + Rust + #dea584 + 32,687 + 1,692 + 658 + + + https://avatars.githubusercontent.com/u/547158?s=40&v=4 + sophiajt + https://github.com/sophiajt + + + https://avatars.githubusercontent.com/u/343840?s=40&v=4 + fdncred + https://github.com/fdncred + + + https://avatars.githubusercontent.com/u/15833959?s=40&v=4 + sholderbach + https://github.com/sholderbach + + + https://avatars.githubusercontent.com/u/951128?s=40&v=4 + andrasio + https://github.com/andrasio + + + Snowiiii/Pumpkin https://github.com/Snowiiii/Pumpkin @@ -328,7 +361,7 @@ https://github.com/Snowiiii/Pumpkin Rust #dea584 - 3,292 + 3,298 118 1,001 @@ -366,7 +399,7 @@ https://github.com/dani-garcia/vaultwarden Rust #dea584 - 39,335 + 39,344 1,907 1,174 @@ -397,44 +430,6 @@ - - DioxusLabs/dioxus - https://github.com/DioxusLabs/dioxus - Fullstack app framework for web, desktop, mobile, and more. - https://github.com/DioxusLabs/dioxus - Rust - #dea584 - 21,625 - 832 - 963 - - - https://avatars.githubusercontent.com/u/10237910?s=40&v=4 - jkelleyrtp - https://github.com/jkelleyrtp - - - https://avatars.githubusercontent.com/u/66571940?s=40&v=4 - ealmloff - https://github.com/ealmloff - - - https://avatars.githubusercontent.com/u/41265098?s=40&v=4 - mrxiaozhuox - https://github.com/mrxiaozhuox - - - https://avatars.githubusercontent.com/u/30190859?s=40&v=4 - DogeDark - https://github.com/DogeDark - - - https://avatars.githubusercontent.com/u/11248241?s=40&v=4 - rMazeiks - https://github.com/rMazeiks - - - openobserve/openobserve https://github.com/openobserve/openobserve @@ -442,7 +437,7 @@ https://github.com/openobserve/openobserve Rust #dea584 - 13,409 + 13,414 488 955 @@ -480,7 +475,7 @@ https://github.com/jtroo/kanata Rust #dea584 - 3,066 + 3,068 128 719 @@ -511,6 +506,72 @@ + + astral-sh/ruff + https://github.com/astral-sh/ruff + An extremely fast Python linter and code formatter, written in Rust. + https://github.com/astral-sh/ruff + Rust + #dea584 + 33,074 + 1,105 + 990 + + + https://avatars.githubusercontent.com/u/1309177?s=40&v=4 + charliermarsh + https://github.com/charliermarsh + + + https://avatars.githubusercontent.com/u/1203881?s=40&v=4 + MichaReiser + https://github.com/MichaReiser + + + https://avatars.githubusercontent.com/u/67177269?s=40&v=4 + dhruvmanila + https://github.com/dhruvmanila + + + https://avatars.githubusercontent.com/u/66076021?s=40&v=4 + AlexWaygood + https://github.com/AlexWaygood + + + + + rust-lang/rustlings + https://github.com/rust-lang/rustlings + 🦀 Small exercises to get you used to reading and writing Rust code! + https://github.com/rust-lang/rustlings + Rust + #dea584 + 54,461 + 10,189 + 866 + + + https://avatars.githubusercontent.com/u/76752051?s=40&v=4 + mo8it + https://github.com/mo8it + + + https://avatars.githubusercontent.com/u/6445316?s=40&v=4 + shadows-withal + https://github.com/shadows-withal + + + https://avatars.githubusercontent.com/u/193874?s=40&v=4 + carols10cents + https://github.com/carols10cents + + + https://avatars.githubusercontent.com/u/3372342?s=40&v=4 + bors + https://github.com/bors + + + kitao/pyxel https://github.com/kitao/pyxel @@ -550,35 +611,40 @@ - martinvonz/jj - https://github.com/martinvonz/jj - A Git-compatible VCS that is both simple and powerful - https://github.com/martinvonz/jj + coral-xyz/anchor + https://github.com/coral-xyz/anchor + ⚓ Solana Sealevel Framework + https://github.com/coral-xyz/anchor Rust #dea584 - 9,353 - 322 - 583 + 3,723 + 1,364 + 91 - https://avatars.githubusercontent.com/u/891642?s=40&v=4 - martinvonz - https://github.com/martinvonz + https://avatars.githubusercontent.com/u/6990215?s=40&v=4 + armaniferrante + https://github.com/armaniferrante - https://avatars.githubusercontent.com/u/172069?s=40&v=4 - yuja - https://github.com/yuja + https://avatars.githubusercontent.com/u/98934430?s=40&v=4 + acheroncrypto + https://github.com/acheroncrypto + + + https://avatars.githubusercontent.com/u/29666990?s=40&v=4 + paul-schaaf + https://github.com/paul-schaaf - https://avatars.githubusercontent.com/u/4123047?s=40&v=4 - ilyagr - https://github.com/ilyagr + https://avatars.githubusercontent.com/u/2633065?s=40&v=4 + fanatid + https://github.com/fanatid - https://avatars.githubusercontent.com/u/3416?s=40&v=4 - thoughtpolice - https://github.com/thoughtpolice + https://avatars.githubusercontent.com/u/13121516?s=40&v=4 + callensm + https://github.com/callensm @@ -589,7 +655,7 @@ https://github.com/dandavison/delta Rust #dea584 - 24,558 + 24,564 394 1,557 @@ -617,8 +683,8 @@ https://github.com/lapce/lapce Rust #dea584 - 34,683 - 1,043 + 34,688 + 1,044 391 @@ -644,177 +710,106 @@ - rust-lang/rustlings - https://github.com/rust-lang/rustlings - 🦀 Small exercises to get you used to reading and writing Rust code! - https://github.com/rust-lang/rustlings - Rust - #dea584 - 54,451 - 10,189 - 866 - - - https://avatars.githubusercontent.com/u/76752051?s=40&v=4 - mo8it - https://github.com/mo8it - - - https://avatars.githubusercontent.com/u/6445316?s=40&v=4 - shadows-withal - https://github.com/shadows-withal - - - https://avatars.githubusercontent.com/u/193874?s=40&v=4 - carols10cents - https://github.com/carols10cents - - - https://avatars.githubusercontent.com/u/3372342?s=40&v=4 - bors - https://github.com/bors - - - - - astral-sh/ruff - https://github.com/astral-sh/ruff - An extremely fast Python linter and code formatter, written in Rust. - https://github.com/astral-sh/ruff + sharkdp/hyperfine + https://github.com/sharkdp/hyperfine + A command-line benchmarking tool + https://github.com/sharkdp/hyperfine Rust #dea584 - 33,064 - 1,104 - 990 + 22,852 + 364 + 955 - https://avatars.githubusercontent.com/u/1309177?s=40&v=4 - charliermarsh - https://github.com/charliermarsh + https://avatars.githubusercontent.com/u/4209276?s=40&v=4 + sharkdp + https://github.com/sharkdp - https://avatars.githubusercontent.com/u/1203881?s=40&v=4 - MichaReiser - https://github.com/MichaReiser + https://avatars.githubusercontent.com/u/36207117?s=40&v=4 + dependabot-bot + https://github.com/dependabot-bot - https://avatars.githubusercontent.com/u/67177269?s=40&v=4 - dhruvmanila - https://github.com/dhruvmanila - - - https://avatars.githubusercontent.com/u/66076021?s=40&v=4 - AlexWaygood - https://github.com/AlexWaygood + https://avatars.githubusercontent.com/u/8147610?s=40&v=4 + stevepentland + https://github.com/stevepentland - MystenLabs/sui - https://github.com/MystenLabs/sui - Sui, a next-generation smart contract platform with high throughput, low latency, and an asset-oriented programming model powered by the Move programming language - https://github.com/MystenLabs/sui + katanemo/archgw + https://github.com/katanemo/archgw + Arch is an intelligent gateway for agents. Engineered with (fast) LLMs for the secure handling, rich observability, and seamless integration of prompts with your APIs - all outside business logic. Built by the core contributors of Envoy proxy, on Envoy. + https://github.com/katanemo/archgw Rust #dea584 - 6,264 - 11,210 - 143 + 812 + 37 + 423 - https://avatars.githubusercontent.com/u/1581614?s=40&v=4 - lxfind - https://github.com/lxfind + https://avatars.githubusercontent.com/u/13196462?s=40&v=4 + adilhafeez + https://github.com/adilhafeez - https://avatars.githubusercontent.com/u/6741899?s=40&v=4 - bmwill - https://github.com/bmwill + https://avatars.githubusercontent.com/u/327050?s=40&v=4 + salmanap + https://github.com/salmanap - https://avatars.githubusercontent.com/u/332275?s=40&v=4 - amnn - https://github.com/amnn + https://avatars.githubusercontent.com/u/6007532?s=40&v=4 + junr03 + https://github.com/junr03 - https://avatars.githubusercontent.com/u/103447440?s=40&v=4 - mystenmark - https://github.com/mystenmark + https://avatars.githubusercontent.com/u/18072023?s=40&v=4 + cotran2 + https://github.com/cotran2 - https://avatars.githubusercontent.com/u/8418040?s=40&v=4 - longbowlu - https://github.com/longbowlu + https://avatars.githubusercontent.com/u/82300923?s=40&v=4 + aayushwhiz + https://github.com/aayushwhiz - coral-xyz/anchor - https://github.com/coral-xyz/anchor - ⚓ Solana Sealevel Framework - https://github.com/coral-xyz/anchor + spaceandtimelabs/sxt-proof-of-sql + https://github.com/spaceandtimelabs/sxt-proof-of-sql + Space and Time | Proof of SQL + https://github.com/spaceandtimelabs/sxt-proof-of-sql Rust #dea584 - 3,721 - 1,362 - 91 + 2,593 + 93 + 1,036 - https://avatars.githubusercontent.com/u/6990215?s=40&v=4 - armaniferrante - https://github.com/armaniferrante - - - https://avatars.githubusercontent.com/u/98934430?s=40&v=4 - acheroncrypto - https://github.com/acheroncrypto - - - https://avatars.githubusercontent.com/u/29666990?s=40&v=4 - paul-schaaf - https://github.com/paul-schaaf - - - https://avatars.githubusercontent.com/u/2633065?s=40&v=4 - fanatid - https://github.com/fanatid + https://avatars.githubusercontent.com/u/7530828?s=40&v=4 + JayWhite2357 + https://github.com/JayWhite2357 - https://avatars.githubusercontent.com/u/13121516?s=40&v=4 - callensm - https://github.com/callensm + https://avatars.githubusercontent.com/u/14581281?s=40&v=4 + iajoiner + https://github.com/iajoiner - - - - mxsm/rocketmq-rust - https://github.com/mxsm/rocketmq-rust - 🚀Apache RocketMQ Rust🦀 implementation. Faster, safer, and with lower memory usage. - https://github.com/mxsm/rocketmq-rust - Rust - #dea584 - 706 - 90 - 138 - - https://avatars.githubusercontent.com/u/15797831?s=40&v=4 - mxsm - https://github.com/mxsm + https://avatars.githubusercontent.com/u/1923871?s=40&v=4 + rnburn + https://github.com/rnburn - https://avatars.githubusercontent.com/u/10434593?s=40&v=4 - flydream-ylgw - https://github.com/flydream-ylgw + https://avatars.githubusercontent.com/u/40841027?s=40&v=4 + Dustin-Ray + https://github.com/Dustin-Ray - https://avatars.githubusercontent.com/u/77013810?s=40&v=4 - TeslaRustor - https://github.com/TeslaRustor - - - https://avatars.githubusercontent.com/u/12622645?s=40&v=4 - 847850277 - https://github.com/847850277 + https://avatars.githubusercontent.com/u/110196418?s=40&v=4 + tlovell-sxt + https://github.com/tlovell-sxt @@ -825,7 +820,7 @@ https://github.com/zed-industries/zed Rust #dea584 - 50,562 + 50,573 3,133 2,056 @@ -857,40 +852,35 @@ - tree-sitter/tree-sitter - https://github.com/tree-sitter/tree-sitter - An incremental parsing system for programming tools - https://github.com/tree-sitter/tree-sitter + mxsm/rocketmq-rust + https://github.com/mxsm/rocketmq-rust + 🚀Apache RocketMQ Rust🦀 implementation. Faster, safer, and with lower memory usage. + https://github.com/mxsm/rocketmq-rust Rust #dea584 - 18,775 - 1,473 - 360 + 706 + 90 + 138 - https://avatars.githubusercontent.com/u/326587?s=40&v=4 - maxbrunsfeld - https://github.com/maxbrunsfeld - - - https://avatars.githubusercontent.com/u/29718261?s=40&v=4 - amaanq - https://github.com/amaanq + https://avatars.githubusercontent.com/u/15797831?s=40&v=4 + mxsm + https://github.com/mxsm - https://avatars.githubusercontent.com/u/14666676?s=40&v=4 - ahlinc - https://github.com/ahlinc + https://avatars.githubusercontent.com/u/10434593?s=40&v=4 + flydream-ylgw + https://github.com/flydream-ylgw - https://avatars.githubusercontent.com/u/2498?s=40&v=4 - patrickt - https://github.com/patrickt + https://avatars.githubusercontent.com/u/77013810?s=40&v=4 + TeslaRustor + https://github.com/TeslaRustor - https://avatars.githubusercontent.com/u/24971970?s=40&v=4 - ObserverOfTime - https://github.com/ObserverOfTime + https://avatars.githubusercontent.com/u/12622645?s=40&v=4 + 847850277 + https://github.com/847850277 diff --git a/data/monthly/sage.json b/data/monthly/sage.json index c8cd6bd9f47d..e3a59c497608 100644 --- a/data/monthly/sage.json +++ b/data/monthly/sage.json @@ -2,6 +2,6 @@ "title": "GitHub Sage Languages Monthly Trending", "description": "Monthly Trending of Sage Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sage.xml b/data/monthly/sage.xml index 6ac4c556bc9a..235d04982931 100644 --- a/data/monthly/sage.xml +++ b/data/monthly/sage.xml @@ -3,6 +3,6 @@ GitHub Sage Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sage Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/saltstack.json b/data/monthly/saltstack.json index 77d3fedd8698..475294358dc4 100644 --- a/data/monthly/saltstack.json +++ b/data/monthly/saltstack.json @@ -2,6 +2,6 @@ "title": "GitHub Saltstack Languages Monthly Trending", "description": "Monthly Trending of Saltstack Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/saltstack.xml b/data/monthly/saltstack.xml index 4fc2ddc76173..1fce81423827 100644 --- a/data/monthly/saltstack.xml +++ b/data/monthly/saltstack.xml @@ -3,6 +3,6 @@ GitHub Saltstack Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Saltstack Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sas.json b/data/monthly/sas.json index f9996a4fb7ba..821da6681e78 100644 --- a/data/monthly/sas.json +++ b/data/monthly/sas.json @@ -2,6 +2,6 @@ "title": "GitHub Sas Languages Monthly Trending", "description": "Monthly Trending of Sas Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sas.xml b/data/monthly/sas.xml index dfc41a0bc73c..255f4a97d46f 100644 --- a/data/monthly/sas.xml +++ b/data/monthly/sas.xml @@ -3,6 +3,6 @@ GitHub Sas Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sas Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sass.json b/data/monthly/sass.json index 9112c53045c9..992ee447ff02 100644 --- a/data/monthly/sass.json +++ b/data/monthly/sass.json @@ -2,7 +2,7 @@ "title": "GitHub Sass Languages Monthly Trending", "description": "Monthly Trending of Sass Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "heroku/boomerang", @@ -47,7 +47,7 @@ "description": "A clean customizable documentation theme for Sphinx", "language": "Sass", "languageColor": "#a53b70", - "stars": "2,805", + "stars": "2,806", "forks": "322", "addStars": "49", "contributors": [ @@ -67,6 +67,43 @@ "url": "https://github.com/jdknight" } ] + }, + { + "title": "readthedocs/sphinx_rtd_theme", + "url": "https://github.com/readthedocs/sphinx_rtd_theme", + "description": "Sphinx theme from Read the Docs", + "language": "Sass", + "languageColor": "#a53b70", + "stars": "4,806", + "forks": "1,740", + "addStars": "33", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1140183?s=40&v=4", + "name": "agjohnson", + "url": "https://github.com/agjohnson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15183467?s=40&v=4", + "name": "Blendify", + "url": "https://github.com/Blendify" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25510?s=40&v=4", + "name": "ericholscher", + "url": "https://github.com/ericholscher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/324519?s=40&v=4", + "name": "snide", + "url": "https://github.com/snide" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/374612?s=40&v=4", + "name": "benjaoming", + "url": "https://github.com/benjaoming" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/sass.xml b/data/monthly/sass.xml index 911f2622a821..e463040180b3 100644 --- a/data/monthly/sass.xml +++ b/data/monthly/sass.xml @@ -3,7 +3,7 @@ GitHub Sass Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sass Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT heroku/boomerang https://github.com/heroku/boomerang @@ -49,7 +49,7 @@ https://github.com/pradyunsg/furo Sass #a53b70 - 2,805 + 2,806 322 49 @@ -70,5 +70,43 @@ + + readthedocs/sphinx_rtd_theme + https://github.com/readthedocs/sphinx_rtd_theme + Sphinx theme from Read the Docs + https://github.com/readthedocs/sphinx_rtd_theme + Sass + #a53b70 + 4,806 + 1,740 + 33 + + + https://avatars.githubusercontent.com/u/1140183?s=40&v=4 + agjohnson + https://github.com/agjohnson + + + https://avatars.githubusercontent.com/u/15183467?s=40&v=4 + Blendify + https://github.com/Blendify + + + https://avatars.githubusercontent.com/u/25510?s=40&v=4 + ericholscher + https://github.com/ericholscher + + + https://avatars.githubusercontent.com/u/324519?s=40&v=4 + snide + https://github.com/snide + + + https://avatars.githubusercontent.com/u/374612?s=40&v=4 + benjaoming + https://github.com/benjaoming + + + \ No newline at end of file diff --git a/data/monthly/scala.json b/data/monthly/scala.json index da79721d3095..8bf11e74afa1 100644 --- a/data/monthly/scala.json +++ b/data/monthly/scala.json @@ -2,7 +2,7 @@ "title": "GitHub Scala Languages Monthly Trending", "description": "Monthly Trending of Scala Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "apache/spark", @@ -10,8 +10,8 @@ "description": "Apache Spark - A unified analytics engine for large-scale data processing", "language": "Scala", "languageColor": "#c22d40", - "stars": "40,022", - "forks": "28,342", + "stars": "40,023", + "forks": "28,341", "addStars": "520", "contributors": [ { @@ -41,13 +41,40 @@ } ] }, + { + "title": "twitter/the-algorithm", + "url": "https://github.com/twitter/the-algorithm", + "description": "Source code for Twitter's Recommendation Algorithm", + "language": "Scala", + "languageColor": "#c22d40", + "stars": "62,462", + "forks": "12,159", + "addStars": "301", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25687?s=40&v=4", + "name": "dzhao", + "url": "https://github.com/dzhao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20663776?s=40&v=4", + "name": "pouriya", + "url": "https://github.com/pouriya" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35087590?s=40&v=4", + "name": "anqueue", + "url": "https://github.com/anqueue" + } + ] + }, { "title": "joernio/joern", "url": "https://github.com/joernio/joern", "description": "Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,118", + "stars": "2,117", "forks": "291", "addStars": "72", "contributors": [ @@ -79,29 +106,39 @@ ] }, { - "title": "twitter/the-algorithm", - "url": "https://github.com/twitter/the-algorithm", - "description": "Source code for Twitter's Recommendation Algorithm", + "title": "apache/kyuubi", + "url": "https://github.com/apache/kyuubi", + "description": "Apache Kyuubi is a distributed and multi-tenant gateway to provide serverless SQL on data warehouses and lakehouses.", "language": "Scala", "languageColor": "#c22d40", - "stars": "62,459", - "forks": "12,159", - "addStars": "301", + "stars": "2,107", + "forks": "916", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25687?s=40&v=4", - "name": "dzhao", - "url": "https://github.com/dzhao" + "avatar": "https://avatars.githubusercontent.com/u/8326978?s=40&v=4", + "name": "yaooqinn", + "url": "https://github.com/yaooqinn" }, { - "avatar": "https://avatars.githubusercontent.com/u/20663776?s=40&v=4", - "name": "pouriya", - "url": "https://github.com/pouriya" + "avatar": "https://avatars.githubusercontent.com/u/26535726?s=40&v=4", + "name": "pan3793", + "url": "https://github.com/pan3793" }, { - "avatar": "https://avatars.githubusercontent.com/u/35087590?s=40&v=4", - "name": "anqueue", - "url": "https://github.com/anqueue" + "avatar": "https://avatars.githubusercontent.com/u/6757692?s=40&v=4", + "name": "turboFei", + "url": "https://github.com/turboFei" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1935105?s=40&v=4", + "name": "bowenliang123", + "url": "https://github.com/bowenliang123" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12025282?s=40&v=4", + "name": "ulysses-you", + "url": "https://github.com/ulysses-you" } ] }, @@ -143,7 +180,7 @@ "description": "Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,221", + "stars": "2,222", "forks": "358", "addStars": "169", "contributors": [ @@ -174,13 +211,87 @@ } ] }, + { + "title": "databricks/Spark-The-Definitive-Guide", + "url": "https://github.com/databricks/Spark-The-Definitive-Guide", + "description": "Spark: The Definitive Guide's Code Repository", + "language": "Scala", + "languageColor": "#c22d40", + "stars": "2,881", + "forks": "2,775", + "addStars": "28", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1642503?s=40&v=4", + "name": "bllchmbrs", + "url": "https://github.com/bllchmbrs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7601568?s=40&v=4", + "name": "neeleshkumar-mannur", + "url": "https://github.com/neeleshkumar-mannur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7613583?s=40&v=4", + "name": "abouklila", + "url": "https://github.com/abouklila" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29270236?s=40&v=4", + "name": "hajimurtaza", + "url": "https://github.com/hajimurtaza" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9681292?s=40&v=4", + "name": "evohnave", + "url": "https://github.com/evohnave" + } + ] + }, + { + "title": "delta-io/delta", + "url": "https://github.com/delta-io/delta", + "description": "An open-source storage framework that enables building a Lakehouse architecture with compute engines including Spark, PrestoDB, Flink, Trino, and Hive and APIs", + "language": "Scala", + "languageColor": "#c22d40", + "stars": "7,629", + "forks": "1,712", + "addStars": "100", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/59617782?s=40&v=4", + "name": "scottsand-db", + "url": "https://github.com/scottsand-db" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1719945?s=40&v=4", + "name": "vkorukanti", + "url": "https://github.com/vkorukanti" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89107911?s=40&v=4", + "name": "allisonport-db", + "url": "https://github.com/allisonport-db" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1000778?s=40&v=4", + "name": "zsxwing", + "url": "https://github.com/zsxwing" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/663212?s=40&v=4", + "name": "tdas", + "url": "https://github.com/tdas" + } + ] + }, { "title": "ucb-bar/chipyard", "url": "https://github.com/ucb-bar/chipyard", "description": "An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more", "language": "Scala", "languageColor": "#c22d40", - "stars": "1,658", + "stars": "1,659", "forks": "656", "addStars": "39", "contributors": [ @@ -248,80 +359,6 @@ } ] }, - { - "title": "apache/kyuubi", - "url": "https://github.com/apache/kyuubi", - "description": "Apache Kyuubi is a distributed and multi-tenant gateway to provide serverless SQL on data warehouses and lakehouses.", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "2,107", - "forks": "915", - "addStars": "26", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8326978?s=40&v=4", - "name": "yaooqinn", - "url": "https://github.com/yaooqinn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26535726?s=40&v=4", - "name": "pan3793", - "url": "https://github.com/pan3793" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6757692?s=40&v=4", - "name": "turboFei", - "url": "https://github.com/turboFei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1935105?s=40&v=4", - "name": "bowenliang123", - "url": "https://github.com/bowenliang123" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12025282?s=40&v=4", - "name": "ulysses-you", - "url": "https://github.com/ulysses-you" - } - ] - }, - { - "title": "delta-io/delta", - "url": "https://github.com/delta-io/delta", - "description": "An open-source storage framework that enables building a Lakehouse architecture with compute engines including Spark, PrestoDB, Flink, Trino, and Hive and APIs", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "7,627", - "forks": "1,712", - "addStars": "100", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/59617782?s=40&v=4", - "name": "scottsand-db", - "url": "https://github.com/scottsand-db" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1719945?s=40&v=4", - "name": "vkorukanti", - "url": "https://github.com/vkorukanti" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89107911?s=40&v=4", - "name": "allisonport-db", - "url": "https://github.com/allisonport-db" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1000778?s=40&v=4", - "name": "zsxwing", - "url": "https://github.com/zsxwing" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/663212?s=40&v=4", - "name": "tdas", - "url": "https://github.com/tdas" - } - ] - }, { "title": "ucb-bar/gemmini", "url": "https://github.com/ucb-bar/gemmini", @@ -359,6 +396,33 @@ } ] }, + { + "title": "chipsalliance/chisel", + "url": "https://github.com/chipsalliance/chisel", + "description": "Chisel: A Modern Hardware Design Language", + "language": "Scala", + "languageColor": "#c22d40", + "stars": "4,007", + "forks": "602", + "addStars": "45", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8584418?s=40&v=4", + "name": "jackkoenig", + "url": "https://github.com/jackkoenig" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1018530?s=40&v=4", + "name": "seldridge", + "url": "https://github.com/seldridge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6845888?s=40&v=4", + "name": "ucbjrl", + "url": "https://github.com/ucbjrl" + } + ] + }, { "title": "chipsalliance/chisel-template", "url": "https://github.com/chipsalliance/chisel-template", @@ -397,66 +461,76 @@ ] }, { - "title": "chipsalliance/chisel", - "url": "https://github.com/chipsalliance/chisel", - "description": "Chisel: A Modern Hardware Design Language", + "title": "ucb-bar/berkeley-hardfloat", + "url": "https://github.com/ucb-bar/berkeley-hardfloat", + "description": "", "language": "Scala", "languageColor": "#c22d40", - "stars": "4,007", - "forks": "602", - "addStars": "45", + "stars": "291", + "forks": "87", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8584418?s=40&v=4", - "name": "jackkoenig", - "url": "https://github.com/jackkoenig" + "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", + "name": "aswaterman", + "url": "https://github.com/aswaterman" }, { - "avatar": "https://avatars.githubusercontent.com/u/1018530?s=40&v=4", - "name": "seldridge", - "url": "https://github.com/seldridge" + "avatar": "https://avatars.githubusercontent.com/u/5791019?s=40&v=4", + "name": "sequencer", + "url": "https://github.com/sequencer" }, { - "avatar": "https://avatars.githubusercontent.com/u/6845888?s=40&v=4", - "name": "ucbjrl", - "url": "https://github.com/ucbjrl" + "avatar": "https://avatars.githubusercontent.com/u/1168067?s=40&v=4", + "name": "jhauser-ucberkeley", + "url": "https://github.com/jhauser-ucberkeley" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/703957?s=40&v=4", + "name": "yunsup", + "url": "https://github.com/yunsup" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14086183?s=40&v=4", + "name": "jerryz123", + "url": "https://github.com/jerryz123" } ] }, { - "title": "databricks/Spark-The-Definitive-Guide", - "url": "https://github.com/databricks/Spark-The-Definitive-Guide", - "description": "Spark: The Definitive Guide's Code Repository", + "title": "rtyley/bfg-repo-cleaner", + "url": "https://github.com/rtyley/bfg-repo-cleaner", + "description": "Removes large or troublesome blobs like git-filter-branch does, but faster. And written in Scala", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,880", - "forks": "2,774", - "addStars": "28", + "stars": "11,164", + "forks": "550", + "addStars": "85", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1642503?s=40&v=4", - "name": "bllchmbrs", - "url": "https://github.com/bllchmbrs" + "avatar": "https://avatars.githubusercontent.com/u/52038?s=40&v=4", + "name": "rtyley", + "url": "https://github.com/rtyley" }, { - "avatar": "https://avatars.githubusercontent.com/u/7601568?s=40&v=4", - "name": "neeleshkumar-mannur", - "url": "https://github.com/neeleshkumar-mannur" + "avatar": "https://avatars.githubusercontent.com/u/1103477?s=40&v=4", + "name": "javabrett", + "url": "https://github.com/javabrett" }, { - "avatar": "https://avatars.githubusercontent.com/u/7613583?s=40&v=4", - "name": "abouklila", - "url": "https://github.com/abouklila" + "avatar": "https://avatars.githubusercontent.com/u/344610?s=40&v=4", + "name": "dwijnand", + "url": "https://github.com/dwijnand" }, { - "avatar": "https://avatars.githubusercontent.com/u/29270236?s=40&v=4", - "name": "hajimurtaza", - "url": "https://github.com/hajimurtaza" + "avatar": "https://avatars.githubusercontent.com/u/14003?s=40&v=4", + "name": "alecthegeek", + "url": "https://github.com/alecthegeek" }, { - "avatar": "https://avatars.githubusercontent.com/u/9681292?s=40&v=4", - "name": "evohnave", - "url": "https://github.com/evohnave" + "avatar": "https://avatars.githubusercontent.com/u/1146876?s=40&v=4", + "name": "kevinji", + "url": "https://github.com/kevinji" } ] }, @@ -466,7 +540,7 @@ "description": "Rocket Chip Generator", "language": "Scala", "languageColor": "#c22d40", - "stars": "3,266", + "stars": "3,267", "forks": "1,131", "addStars": "49", "contributors": [ @@ -497,43 +571,6 @@ } ] }, - { - "title": "ucb-bar/berkeley-hardfloat", - "url": "https://github.com/ucb-bar/berkeley-hardfloat", - "description": "", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "291", - "forks": "87", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", - "name": "aswaterman", - "url": "https://github.com/aswaterman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5791019?s=40&v=4", - "name": "sequencer", - "url": "https://github.com/sequencer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1168067?s=40&v=4", - "name": "jhauser-ucberkeley", - "url": "https://github.com/jhauser-ucberkeley" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/703957?s=40&v=4", - "name": "yunsup", - "url": "https://github.com/yunsup" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14086183?s=40&v=4", - "name": "jerryz123", - "url": "https://github.com/jerryz123" - } - ] - }, { "title": "firesim/firesim", "url": "https://github.com/firesim/firesim", @@ -571,43 +608,6 @@ } ] }, - { - "title": "rtyley/bfg-repo-cleaner", - "url": "https://github.com/rtyley/bfg-repo-cleaner", - "description": "Removes large or troublesome blobs like git-filter-branch does, but faster. And written in Scala", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "11,163", - "forks": "550", - "addStars": "85", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/52038?s=40&v=4", - "name": "rtyley", - "url": "https://github.com/rtyley" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1103477?s=40&v=4", - "name": "javabrett", - "url": "https://github.com/javabrett" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/344610?s=40&v=4", - "name": "dwijnand", - "url": "https://github.com/dwijnand" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14003?s=40&v=4", - "name": "alecthegeek", - "url": "https://github.com/alecthegeek" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1146876?s=40&v=4", - "name": "kevinji", - "url": "https://github.com/kevinji" - } - ] - }, { "title": "scala/scala", "url": "https://github.com/scala/scala", diff --git a/data/monthly/scala.xml b/data/monthly/scala.xml index 8b893058d179..5c5295070da6 100644 --- a/data/monthly/scala.xml +++ b/data/monthly/scala.xml @@ -3,7 +3,7 @@ GitHub Scala Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Scala Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT apache/spark https://github.com/apache/spark @@ -11,8 +11,8 @@ https://github.com/apache/spark Scala #c22d40 - 40,022 - 28,342 + 40,023 + 28,341 520 @@ -42,6 +42,34 @@ + + twitter/the-algorithm + https://github.com/twitter/the-algorithm + Source code for Twitter's Recommendation Algorithm + https://github.com/twitter/the-algorithm + Scala + #c22d40 + 62,462 + 12,159 + 301 + + + https://avatars.githubusercontent.com/u/25687?s=40&v=4 + dzhao + https://github.com/dzhao + + + https://avatars.githubusercontent.com/u/20663776?s=40&v=4 + pouriya + https://github.com/pouriya + + + https://avatars.githubusercontent.com/u/35087590?s=40&v=4 + anqueue + https://github.com/anqueue + + + joernio/joern https://github.com/joernio/joern @@ -49,7 +77,7 @@ https://github.com/joernio/joern Scala #c22d40 - 2,118 + 2,117 291 72 @@ -81,30 +109,40 @@ - twitter/the-algorithm - https://github.com/twitter/the-algorithm - Source code for Twitter's Recommendation Algorithm - https://github.com/twitter/the-algorithm + apache/kyuubi + https://github.com/apache/kyuubi + Apache Kyuubi is a distributed and multi-tenant gateway to provide serverless SQL on data warehouses and lakehouses. + https://github.com/apache/kyuubi Scala #c22d40 - 62,459 - 12,159 - 301 + 2,107 + 916 + 26 - https://avatars.githubusercontent.com/u/25687?s=40&v=4 - dzhao - https://github.com/dzhao + https://avatars.githubusercontent.com/u/8326978?s=40&v=4 + yaooqinn + https://github.com/yaooqinn - https://avatars.githubusercontent.com/u/20663776?s=40&v=4 - pouriya - https://github.com/pouriya + https://avatars.githubusercontent.com/u/26535726?s=40&v=4 + pan3793 + https://github.com/pan3793 - https://avatars.githubusercontent.com/u/35087590?s=40&v=4 - anqueue - https://github.com/anqueue + https://avatars.githubusercontent.com/u/6757692?s=40&v=4 + turboFei + https://github.com/turboFei + + + https://avatars.githubusercontent.com/u/1935105?s=40&v=4 + bowenliang123 + https://github.com/bowenliang123 + + + https://avatars.githubusercontent.com/u/12025282?s=40&v=4 + ulysses-you + https://github.com/ulysses-you @@ -148,7 +186,7 @@ https://github.com/com-lihaoyi/mill Scala #c22d40 - 2,221 + 2,222 358 169 @@ -179,6 +217,82 @@ + + databricks/Spark-The-Definitive-Guide + https://github.com/databricks/Spark-The-Definitive-Guide + Spark: The Definitive Guide's Code Repository + https://github.com/databricks/Spark-The-Definitive-Guide + Scala + #c22d40 + 2,881 + 2,775 + 28 + + + https://avatars.githubusercontent.com/u/1642503?s=40&v=4 + bllchmbrs + https://github.com/bllchmbrs + + + https://avatars.githubusercontent.com/u/7601568?s=40&v=4 + neeleshkumar-mannur + https://github.com/neeleshkumar-mannur + + + https://avatars.githubusercontent.com/u/7613583?s=40&v=4 + abouklila + https://github.com/abouklila + + + https://avatars.githubusercontent.com/u/29270236?s=40&v=4 + hajimurtaza + https://github.com/hajimurtaza + + + https://avatars.githubusercontent.com/u/9681292?s=40&v=4 + evohnave + https://github.com/evohnave + + + + + delta-io/delta + https://github.com/delta-io/delta + An open-source storage framework that enables building a Lakehouse architecture with compute engines including Spark, PrestoDB, Flink, Trino, and Hive and APIs + https://github.com/delta-io/delta + Scala + #c22d40 + 7,629 + 1,712 + 100 + + + https://avatars.githubusercontent.com/u/59617782?s=40&v=4 + scottsand-db + https://github.com/scottsand-db + + + https://avatars.githubusercontent.com/u/1719945?s=40&v=4 + vkorukanti + https://github.com/vkorukanti + + + https://avatars.githubusercontent.com/u/89107911?s=40&v=4 + allisonport-db + https://github.com/allisonport-db + + + https://avatars.githubusercontent.com/u/1000778?s=40&v=4 + zsxwing + https://github.com/zsxwing + + + https://avatars.githubusercontent.com/u/663212?s=40&v=4 + tdas + https://github.com/tdas + + + ucb-bar/chipyard https://github.com/ucb-bar/chipyard @@ -186,7 +300,7 @@ https://github.com/ucb-bar/chipyard Scala #c22d40 - 1,658 + 1,659 656 39 @@ -255,82 +369,6 @@ - - apache/kyuubi - https://github.com/apache/kyuubi - Apache Kyuubi is a distributed and multi-tenant gateway to provide serverless SQL on data warehouses and lakehouses. - https://github.com/apache/kyuubi - Scala - #c22d40 - 2,107 - 915 - 26 - - - https://avatars.githubusercontent.com/u/8326978?s=40&v=4 - yaooqinn - https://github.com/yaooqinn - - - https://avatars.githubusercontent.com/u/26535726?s=40&v=4 - pan3793 - https://github.com/pan3793 - - - https://avatars.githubusercontent.com/u/6757692?s=40&v=4 - turboFei - https://github.com/turboFei - - - https://avatars.githubusercontent.com/u/1935105?s=40&v=4 - bowenliang123 - https://github.com/bowenliang123 - - - https://avatars.githubusercontent.com/u/12025282?s=40&v=4 - ulysses-you - https://github.com/ulysses-you - - - - - delta-io/delta - https://github.com/delta-io/delta - An open-source storage framework that enables building a Lakehouse architecture with compute engines including Spark, PrestoDB, Flink, Trino, and Hive and APIs - https://github.com/delta-io/delta - Scala - #c22d40 - 7,627 - 1,712 - 100 - - - https://avatars.githubusercontent.com/u/59617782?s=40&v=4 - scottsand-db - https://github.com/scottsand-db - - - https://avatars.githubusercontent.com/u/1719945?s=40&v=4 - vkorukanti - https://github.com/vkorukanti - - - https://avatars.githubusercontent.com/u/89107911?s=40&v=4 - allisonport-db - https://github.com/allisonport-db - - - https://avatars.githubusercontent.com/u/1000778?s=40&v=4 - zsxwing - https://github.com/zsxwing - - - https://avatars.githubusercontent.com/u/663212?s=40&v=4 - tdas - https://github.com/tdas - - - ucb-bar/gemmini https://github.com/ucb-bar/gemmini @@ -369,6 +407,34 @@ + + chipsalliance/chisel + https://github.com/chipsalliance/chisel + Chisel: A Modern Hardware Design Language + https://github.com/chipsalliance/chisel + Scala + #c22d40 + 4,007 + 602 + 45 + + + https://avatars.githubusercontent.com/u/8584418?s=40&v=4 + jackkoenig + https://github.com/jackkoenig + + + https://avatars.githubusercontent.com/u/1018530?s=40&v=4 + seldridge + https://github.com/seldridge + + + https://avatars.githubusercontent.com/u/6845888?s=40&v=4 + ucbjrl + https://github.com/ucbjrl + + + chipsalliance/chisel-template https://github.com/chipsalliance/chisel-template @@ -408,68 +474,78 @@ - chipsalliance/chisel - https://github.com/chipsalliance/chisel - Chisel: A Modern Hardware Design Language - https://github.com/chipsalliance/chisel + ucb-bar/berkeley-hardfloat + https://github.com/ucb-bar/berkeley-hardfloat + + https://github.com/ucb-bar/berkeley-hardfloat Scala #c22d40 - 4,007 - 602 - 45 + 291 + 87 + 3 - https://avatars.githubusercontent.com/u/8584418?s=40&v=4 - jackkoenig - https://github.com/jackkoenig + https://avatars.githubusercontent.com/u/1031106?s=40&v=4 + aswaterman + https://github.com/aswaterman - https://avatars.githubusercontent.com/u/1018530?s=40&v=4 - seldridge - https://github.com/seldridge + https://avatars.githubusercontent.com/u/5791019?s=40&v=4 + sequencer + https://github.com/sequencer - https://avatars.githubusercontent.com/u/6845888?s=40&v=4 - ucbjrl - https://github.com/ucbjrl + https://avatars.githubusercontent.com/u/1168067?s=40&v=4 + jhauser-ucberkeley + https://github.com/jhauser-ucberkeley + + + https://avatars.githubusercontent.com/u/703957?s=40&v=4 + yunsup + https://github.com/yunsup + + + https://avatars.githubusercontent.com/u/14086183?s=40&v=4 + jerryz123 + https://github.com/jerryz123 - databricks/Spark-The-Definitive-Guide - https://github.com/databricks/Spark-The-Definitive-Guide - Spark: The Definitive Guide's Code Repository - https://github.com/databricks/Spark-The-Definitive-Guide + rtyley/bfg-repo-cleaner + https://github.com/rtyley/bfg-repo-cleaner + Removes large or troublesome blobs like git-filter-branch does, but faster. And written in Scala + https://github.com/rtyley/bfg-repo-cleaner Scala #c22d40 - 2,880 - 2,774 - 28 + 11,164 + 550 + 85 - https://avatars.githubusercontent.com/u/1642503?s=40&v=4 - bllchmbrs - https://github.com/bllchmbrs + https://avatars.githubusercontent.com/u/52038?s=40&v=4 + rtyley + https://github.com/rtyley - https://avatars.githubusercontent.com/u/7601568?s=40&v=4 - neeleshkumar-mannur - https://github.com/neeleshkumar-mannur + https://avatars.githubusercontent.com/u/1103477?s=40&v=4 + javabrett + https://github.com/javabrett - https://avatars.githubusercontent.com/u/7613583?s=40&v=4 - abouklila - https://github.com/abouklila + https://avatars.githubusercontent.com/u/344610?s=40&v=4 + dwijnand + https://github.com/dwijnand - https://avatars.githubusercontent.com/u/29270236?s=40&v=4 - hajimurtaza - https://github.com/hajimurtaza + https://avatars.githubusercontent.com/u/14003?s=40&v=4 + alecthegeek + https://github.com/alecthegeek - https://avatars.githubusercontent.com/u/9681292?s=40&v=4 - evohnave - https://github.com/evohnave + https://avatars.githubusercontent.com/u/1146876?s=40&v=4 + kevinji + https://github.com/kevinji @@ -480,7 +556,7 @@ https://github.com/chipsalliance/rocket-chip Scala #c22d40 - 3,266 + 3,267 1,131 49 @@ -511,44 +587,6 @@ - - ucb-bar/berkeley-hardfloat - https://github.com/ucb-bar/berkeley-hardfloat - - https://github.com/ucb-bar/berkeley-hardfloat - Scala - #c22d40 - 291 - 87 - 3 - - - https://avatars.githubusercontent.com/u/1031106?s=40&v=4 - aswaterman - https://github.com/aswaterman - - - https://avatars.githubusercontent.com/u/5791019?s=40&v=4 - sequencer - https://github.com/sequencer - - - https://avatars.githubusercontent.com/u/1168067?s=40&v=4 - jhauser-ucberkeley - https://github.com/jhauser-ucberkeley - - - https://avatars.githubusercontent.com/u/703957?s=40&v=4 - yunsup - https://github.com/yunsup - - - https://avatars.githubusercontent.com/u/14086183?s=40&v=4 - jerryz123 - https://github.com/jerryz123 - - - firesim/firesim https://github.com/firesim/firesim @@ -587,44 +625,6 @@ - - rtyley/bfg-repo-cleaner - https://github.com/rtyley/bfg-repo-cleaner - Removes large or troublesome blobs like git-filter-branch does, but faster. And written in Scala - https://github.com/rtyley/bfg-repo-cleaner - Scala - #c22d40 - 11,163 - 550 - 85 - - - https://avatars.githubusercontent.com/u/52038?s=40&v=4 - rtyley - https://github.com/rtyley - - - https://avatars.githubusercontent.com/u/1103477?s=40&v=4 - javabrett - https://github.com/javabrett - - - https://avatars.githubusercontent.com/u/344610?s=40&v=4 - dwijnand - https://github.com/dwijnand - - - https://avatars.githubusercontent.com/u/14003?s=40&v=4 - alecthegeek - https://github.com/alecthegeek - - - https://avatars.githubusercontent.com/u/1146876?s=40&v=4 - kevinji - https://github.com/kevinji - - - scala/scala https://github.com/scala/scala diff --git a/data/monthly/scaml.json b/data/monthly/scaml.json index 2fd96ed4393e..ac0778dc2368 100644 --- a/data/monthly/scaml.json +++ b/data/monthly/scaml.json @@ -2,6 +2,6 @@ "title": "GitHub Scaml Languages Monthly Trending", "description": "Monthly Trending of Scaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/scaml.xml b/data/monthly/scaml.xml index 88da70764e58..4baaecbc651d 100644 --- a/data/monthly/scaml.xml +++ b/data/monthly/scaml.xml @@ -3,6 +3,6 @@ GitHub Scaml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Scaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/scenic.json b/data/monthly/scenic.json index 07f1d582dbaa..6ba4af9580fd 100644 --- a/data/monthly/scenic.json +++ b/data/monthly/scenic.json @@ -2,6 +2,6 @@ "title": "GitHub Scenic Languages Monthly Trending", "description": "Monthly Trending of Scenic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/scenic.xml b/data/monthly/scenic.xml index 1fe5c7b93e29..eece7a16494c 100644 --- a/data/monthly/scenic.xml +++ b/data/monthly/scenic.xml @@ -3,6 +3,6 @@ GitHub Scenic Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Scenic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/scheme.json b/data/monthly/scheme.json index 3f59626ec2bc..510db5157535 100644 --- a/data/monthly/scheme.json +++ b/data/monthly/scheme.json @@ -2,7 +2,7 @@ "title": "GitHub Scheme Languages Monthly Trending", "description": "Monthly Trending of Scheme Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nvim-treesitter/nvim-treesitter", @@ -10,7 +10,7 @@ "description": "Nvim Treesitter configurations and abstraction layer", "language": "Scheme", "languageColor": "#1e4aec", - "stars": "10,881", + "stars": "10,883", "forks": "907", "addStars": "195", "contributors": [ diff --git a/data/monthly/scheme.xml b/data/monthly/scheme.xml index 62c5a56b097d..c17413153aaa 100644 --- a/data/monthly/scheme.xml +++ b/data/monthly/scheme.xml @@ -3,7 +3,7 @@ GitHub Scheme Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Scheme Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nvim-treesitter/nvim-treesitter https://github.com/nvim-treesitter/nvim-treesitter @@ -11,7 +11,7 @@ https://github.com/nvim-treesitter/nvim-treesitter Scheme #1e4aec - 10,881 + 10,883 907 195 diff --git a/data/monthly/scilab.json b/data/monthly/scilab.json index cf284c5abef4..18a1bbcef8fe 100644 --- a/data/monthly/scilab.json +++ b/data/monthly/scilab.json @@ -2,6 +2,6 @@ "title": "GitHub Scilab Languages Monthly Trending", "description": "Monthly Trending of Scilab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/scilab.xml b/data/monthly/scilab.xml index 7cb29e501c42..860f49cae3b0 100644 --- a/data/monthly/scilab.xml +++ b/data/monthly/scilab.xml @@ -3,6 +3,6 @@ GitHub Scilab Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Scilab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/scss.json b/data/monthly/scss.json index dd0ce90751ae..8788c46fd9ca 100644 --- a/data/monthly/scss.json +++ b/data/monthly/scss.json @@ -2,7 +2,7 @@ "title": "GitHub Scss Languages Monthly Trending", "description": "Monthly Trending of Scss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "angelbruni/Geckium", @@ -48,7 +48,7 @@ "language": "SCSS", "languageColor": "#c6538c", "stars": "219", - "forks": "218", + "forks": "219", "addStars": "13", "contributors": [ { @@ -78,50 +78,13 @@ } ] }, - { - "title": "creativetimofficial/material-dashboard", - "url": "https://github.com/creativetimofficial/material-dashboard", - "description": "Material Dashboard - Open Source Bootstrap 5 Material Design Admin", - "language": "SCSS", - "languageColor": "#c6538c", - "stars": "11,050", - "forks": "4,339", - "addStars": "36", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17456857?s=40&v=4", - "name": "dragosct", - "url": "https://github.com/dragosct" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2517758?s=40&v=4", - "name": "alexandru-paduraru", - "url": "https://github.com/alexandru-paduraru" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21063398?s=40&v=4", - "name": "groovemen", - "url": "https://github.com/groovemen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4600172?s=40&v=4", - "name": "marqbeniamin", - "url": "https://github.com/marqbeniamin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2582303?s=40&v=4", - "name": "conacelelena", - "url": "https://github.com/conacelelena" - } - ] - }, { "title": "vinceliuice/Orchis-theme", "url": "https://github.com/vinceliuice/Orchis-theme", "description": "Orchis is a [Material Design](https://material.io) theme for GNOME/GTK based desktop environments.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "3,071", + "stars": "3,072", "forks": "217", "addStars": "79", "contributors": [ @@ -153,76 +116,71 @@ ] }, { - "title": "pages-themes/minimal", - "url": "https://github.com/pages-themes/minimal", - "description": "Minimal is a Jekyll theme for GitHub Pages", + "title": "creativetimofficial/material-dashboard", + "url": "https://github.com/creativetimofficial/material-dashboard", + "description": "Material Dashboard - Open Source Bootstrap 5 Material Design Admin", "language": "SCSS", "languageColor": "#c6538c", - "stars": "1,598", - "forks": "6,035", - "addStars": "20", + "stars": "11,050", + "forks": "4,341", + "addStars": "36", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", - "name": "benbalter", - "url": "https://github.com/benbalter" + "avatar": "https://avatars.githubusercontent.com/u/17456857?s=40&v=4", + "name": "dragosct", + "url": "https://github.com/dragosct" }, { - "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", - "name": "parkr", - "url": "https://github.com/parkr" + "avatar": "https://avatars.githubusercontent.com/u/2517758?s=40&v=4", + "name": "alexandru-paduraru", + "url": "https://github.com/alexandru-paduraru" }, { - "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", - "name": "tsusdere", - "url": "https://github.com/tsusdere" + "avatar": "https://avatars.githubusercontent.com/u/21063398?s=40&v=4", + "name": "groovemen", + "url": "https://github.com/groovemen" }, { - "avatar": "https://avatars.githubusercontent.com/u/615115?s=40&v=4", - "name": "Jmuccigr", - "url": "https://github.com/Jmuccigr" + "avatar": "https://avatars.githubusercontent.com/u/4600172?s=40&v=4", + "name": "marqbeniamin", + "url": "https://github.com/marqbeniamin" }, { - "avatar": "https://avatars.githubusercontent.com/u/3324775?s=40&v=4", - "name": "EricFromCanada", - "url": "https://github.com/EricFromCanada" + "avatar": "https://avatars.githubusercontent.com/u/2582303?s=40&v=4", + "name": "conacelelena", + "url": "https://github.com/conacelelena" } ] }, { - "title": "Ileriayo/markdown-badges", - "url": "https://github.com/Ileriayo/markdown-badges", - "description": "Badges for your personal developer branding, profile, and projects.", + "title": "just-the-docs/just-the-docs", + "url": "https://github.com/just-the-docs/just-the-docs", + "description": "A modern, high customizable, responsive Jekyll theme for documentation with built-in search.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "13,608", - "forks": "1,629", - "addStars": "301", + "stars": "7,632", + "forks": "3,684", + "addStars": "105", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13166712?s=40&v=4", - "name": "Ileriayo", - "url": "https://github.com/Ileriayo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66284362?s=40&v=4", - "name": "kohasummons", - "url": "https://github.com/kohasummons" + "avatar": "https://avatars.githubusercontent.com/u/896475?s=40&v=4", + "name": "pmarsceill", + "url": "https://github.com/pmarsceill" }, { - "avatar": "https://avatars.githubusercontent.com/u/46837820?s=40&v=4", - "name": "mehtaAnsh", - "url": "https://github.com/mehtaAnsh" + "avatar": "https://avatars.githubusercontent.com/u/14893287?s=40&v=4", + "name": "mattxwang", + "url": "https://github.com/mattxwang" }, { - "avatar": "https://avatars.githubusercontent.com/u/73753957?s=40&v=4", - "name": "gurjeetsinghvirdee", - "url": "https://github.com/gurjeetsinghvirdee" + "avatar": "https://avatars.githubusercontent.com/u/28134127?s=40&v=4", + "name": "SgtSilvio", + "url": "https://github.com/SgtSilvio" }, { - "avatar": "https://avatars.githubusercontent.com/u/16662291?s=40&v=4", - "name": "rajrohanyadav", - "url": "https://github.com/rajrohanyadav" + "avatar": "https://avatars.githubusercontent.com/u/18308236?s=40&v=4", + "name": "pdmosses", + "url": "https://github.com/pdmosses" } ] }, @@ -258,38 +216,6 @@ } ] }, - { - "title": "just-the-docs/just-the-docs", - "url": "https://github.com/just-the-docs/just-the-docs", - "description": "A modern, high customizable, responsive Jekyll theme for documentation with built-in search.", - "language": "SCSS", - "languageColor": "#c6538c", - "stars": "7,631", - "forks": "3,684", - "addStars": "105", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/896475?s=40&v=4", - "name": "pmarsceill", - "url": "https://github.com/pmarsceill" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14893287?s=40&v=4", - "name": "mattxwang", - "url": "https://github.com/mattxwang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28134127?s=40&v=4", - "name": "SgtSilvio", - "url": "https://github.com/SgtSilvio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18308236?s=40&v=4", - "name": "pdmosses", - "url": "https://github.com/pdmosses" - } - ] - }, { "title": "piharpi/jekyll-klise", "url": "https://github.com/piharpi/jekyll-klise", @@ -328,51 +254,88 @@ ] }, { - "title": "creativetimofficial/argon-dashboard", - "url": "https://github.com/creativetimofficial/argon-dashboard", - "description": "Argon - Dashboard for Bootstrap 5 by Creative Tim", + "title": "pages-themes/minimal", + "url": "https://github.com/pages-themes/minimal", + "description": "Minimal is a Jekyll theme for GitHub Pages", "language": "SCSS", "languageColor": "#c6538c", - "stars": "676", - "forks": "1,271", - "addStars": "9", + "stars": "1,598", + "forks": "6,036", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/21063398?s=40&v=4", - "name": "groovemen", - "url": "https://github.com/groovemen" + "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", + "name": "benbalter", + "url": "https://github.com/benbalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/27827318?s=40&v=4", - "name": "rarestoma", - "url": "https://github.com/rarestoma" + "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", + "name": "parkr", + "url": "https://github.com/parkr" }, { - "avatar": "https://avatars.githubusercontent.com/u/38554814?s=40&v=4", - "name": "extrabright", - "url": "https://github.com/extrabright" + "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", + "name": "tsusdere", + "url": "https://github.com/tsusdere" }, { - "avatar": "https://avatars.githubusercontent.com/u/4600172?s=40&v=4", - "name": "marqbeniamin", - "url": "https://github.com/marqbeniamin" + "avatar": "https://avatars.githubusercontent.com/u/615115?s=40&v=4", + "name": "Jmuccigr", + "url": "https://github.com/Jmuccigr" }, { - "avatar": "https://avatars.githubusercontent.com/u/17456857?s=40&v=4", - "name": "dragosct", - "url": "https://github.com/dragosct" + "avatar": "https://avatars.githubusercontent.com/u/3324775?s=40&v=4", + "name": "EricFromCanada", + "url": "https://github.com/EricFromCanada" } ] }, { - "title": "creativetimofficial/soft-ui-dashboard", - "url": "https://github.com/creativetimofficial/soft-ui-dashboard", - "description": "Soft UI Dashboard - Free and OpenSource Bootstrap 5 Dashboard", + "title": "Hacker0x01/hacker101", + "url": "https://github.com/Hacker0x01/hacker101", + "description": "Source code for Hacker101.com - a free online web and mobile security class.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "536", - "forks": "1,181", - "addStars": "6", + "stars": "13,838", + "forks": "2,534", + "addStars": "59", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2359?s=40&v=4", + "name": "daeken", + "url": "https://github.com/daeken" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/352251?s=40&v=4", + "name": "reedloden", + "url": "https://github.com/reedloden" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5761179?s=40&v=4", + "name": "djwy", + "url": "https://github.com/djwy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18099289?s=40&v=4", + "name": "EdOverflow", + "url": "https://github.com/EdOverflow" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23737561?s=40&v=4", + "name": "iMartzen", + "url": "https://github.com/iMartzen" + } + ] + }, + { + "title": "creativetimofficial/argon-dashboard", + "url": "https://github.com/creativetimofficial/argon-dashboard", + "description": "Argon - Dashboard for Bootstrap 5 by Creative Tim", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "676", + "forks": "1,271", + "addStars": "9", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/21063398?s=40&v=4", @@ -385,56 +348,56 @@ "url": "https://github.com/rarestoma" }, { - "avatar": "https://avatars.githubusercontent.com/u/17456857?s=40&v=4", - "name": "dragosct", - "url": "https://github.com/dragosct" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65810476?s=40&v=4", - "name": "cristim67", - "url": "https://github.com/cristim67" + "avatar": "https://avatars.githubusercontent.com/u/38554814?s=40&v=4", + "name": "extrabright", + "url": "https://github.com/extrabright" }, { "avatar": "https://avatars.githubusercontent.com/u/4600172?s=40&v=4", "name": "marqbeniamin", "url": "https://github.com/marqbeniamin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17456857?s=40&v=4", + "name": "dragosct", + "url": "https://github.com/dragosct" } ] }, { - "title": "vinceliuice/WhiteSur-gtk-theme", - "url": "https://github.com/vinceliuice/WhiteSur-gtk-theme", - "description": "MacOS like theme for all gtk based desktops", + "title": "jekyll/minima", + "url": "https://github.com/jekyll/minima", + "description": "Minima is a one-size-fits-all Jekyll theme for writers.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "6,008", - "forks": "616", - "addStars": "130", + "stars": "3,451", + "forks": "3,639", + "addStars": "33", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7604295?s=40&v=4", - "name": "vinceliuice", - "url": "https://github.com/vinceliuice" + "avatar": "https://avatars.githubusercontent.com/u/6166343?s=40&v=4", + "name": "jekyllbot", + "url": "https://github.com/jekyllbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/6300910?s=40&v=4", - "name": "stormbeforesunsetbee", - "url": "https://github.com/stormbeforesunsetbee" + "avatar": "https://avatars.githubusercontent.com/u/12479464?s=40&v=4", + "name": "ashmaroli", + "url": "https://github.com/ashmaroli" }, { - "avatar": "https://avatars.githubusercontent.com/u/71726270?s=40&v=4", - "name": "fernandesafp", - "url": "https://github.com/fernandesafp" + "avatar": "https://avatars.githubusercontent.com/u/103008?s=40&v=4", + "name": "DirtyF", + "url": "https://github.com/DirtyF" }, { - "avatar": "https://avatars.githubusercontent.com/u/6800453?s=40&v=4", - "name": "AdamXweb", - "url": "https://github.com/AdamXweb" + "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", + "name": "benbalter", + "url": "https://github.com/benbalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/49402019?s=40&v=4", - "name": "s-ahmad461", - "url": "https://github.com/s-ahmad461" + "avatar": "https://avatars.githubusercontent.com/u/358615?s=40&v=4", + "name": "ashawley", + "url": "https://github.com/ashawley" } ] }, @@ -475,43 +438,6 @@ } ] }, - { - "title": "Hacker0x01/hacker101", - "url": "https://github.com/Hacker0x01/hacker101", - "description": "Source code for Hacker101.com - a free online web and mobile security class.", - "language": "SCSS", - "languageColor": "#c6538c", - "stars": "13,838", - "forks": "2,534", - "addStars": "59", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2359?s=40&v=4", - "name": "daeken", - "url": "https://github.com/daeken" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/352251?s=40&v=4", - "name": "reedloden", - "url": "https://github.com/reedloden" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5761179?s=40&v=4", - "name": "djwy", - "url": "https://github.com/djwy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18099289?s=40&v=4", - "name": "EdOverflow", - "url": "https://github.com/EdOverflow" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23737561?s=40&v=4", - "name": "iMartzen", - "url": "https://github.com/iMartzen" - } - ] - }, { "title": "abhinavs/moonwalk", "url": "https://github.com/abhinavs/moonwalk", @@ -550,39 +476,98 @@ ] }, { - "title": "jekyll/minima", - "url": "https://github.com/jekyll/minima", - "description": "Minima is a one-size-fits-all Jekyll theme for writers.", + "title": "creativetimofficial/soft-ui-dashboard", + "url": "https://github.com/creativetimofficial/soft-ui-dashboard", + "description": "Soft UI Dashboard - Free and OpenSource Bootstrap 5 Dashboard", "language": "SCSS", "languageColor": "#c6538c", - "stars": "3,450", - "forks": "3,638", - "addStars": "33", + "stars": "536", + "forks": "1,180", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6166343?s=40&v=4", - "name": "jekyllbot", - "url": "https://github.com/jekyllbot" + "avatar": "https://avatars.githubusercontent.com/u/21063398?s=40&v=4", + "name": "groovemen", + "url": "https://github.com/groovemen" }, { - "avatar": "https://avatars.githubusercontent.com/u/12479464?s=40&v=4", - "name": "ashmaroli", - "url": "https://github.com/ashmaroli" + "avatar": "https://avatars.githubusercontent.com/u/27827318?s=40&v=4", + "name": "rarestoma", + "url": "https://github.com/rarestoma" }, { - "avatar": "https://avatars.githubusercontent.com/u/103008?s=40&v=4", - "name": "DirtyF", - "url": "https://github.com/DirtyF" + "avatar": "https://avatars.githubusercontent.com/u/17456857?s=40&v=4", + "name": "dragosct", + "url": "https://github.com/dragosct" }, + { + "avatar": "https://avatars.githubusercontent.com/u/65810476?s=40&v=4", + "name": "cristim67", + "url": "https://github.com/cristim67" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4600172?s=40&v=4", + "name": "marqbeniamin", + "url": "https://github.com/marqbeniamin" + } + ] + }, + { + "title": "pages-themes/architect", + "url": "https://github.com/pages-themes/architect", + "description": "Architect is a Jekyll theme for GitHub Pages", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "323", + "forks": "819", + "addStars": "7", + "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", "name": "benbalter", "url": "https://github.com/benbalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/358615?s=40&v=4", - "name": "ashawley", - "url": "https://github.com/ashawley" + "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", + "name": "parkr", + "url": "https://github.com/parkr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", + "name": "tsusdere", + "url": "https://github.com/tsusdere" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5982701?s=40&v=4", + "name": "mguerreiro", + "url": "https://github.com/mguerreiro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6104?s=40&v=4", + "name": "jasonlong", + "url": "https://github.com/jasonlong" + } + ] + }, + { + "title": "Fausto-Korpsvart/Catppuccin-GTK-Theme", + "url": "https://github.com/Fausto-Korpsvart/Catppuccin-GTK-Theme", + "description": "GTK Theme with Catppuccin colour scheme", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "284", + "forks": "16", + "addStars": "27", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/47998118?s=40&v=4", + "name": "Fausto-Korpsvart", + "url": "https://github.com/Fausto-Korpsvart" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/75391703?s=40&v=4", + "name": "ImSumire", + "url": "https://github.com/ImSumire" } ] } diff --git a/data/monthly/scss.xml b/data/monthly/scss.xml index 85eca60d45a1..653595bf4bf2 100644 --- a/data/monthly/scss.xml +++ b/data/monthly/scss.xml @@ -3,7 +3,7 @@ GitHub Scss Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Scss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT angelbruni/Geckium https://github.com/angelbruni/Geckium @@ -50,7 +50,7 @@ SCSS #c6538c 219 - 218 + 219 13 @@ -80,44 +80,6 @@ - - creativetimofficial/material-dashboard - https://github.com/creativetimofficial/material-dashboard - Material Dashboard - Open Source Bootstrap 5 Material Design Admin - https://github.com/creativetimofficial/material-dashboard - SCSS - #c6538c - 11,050 - 4,339 - 36 - - - https://avatars.githubusercontent.com/u/17456857?s=40&v=4 - dragosct - https://github.com/dragosct - - - https://avatars.githubusercontent.com/u/2517758?s=40&v=4 - alexandru-paduraru - https://github.com/alexandru-paduraru - - - https://avatars.githubusercontent.com/u/21063398?s=40&v=4 - groovemen - https://github.com/groovemen - - - https://avatars.githubusercontent.com/u/4600172?s=40&v=4 - marqbeniamin - https://github.com/marqbeniamin - - - https://avatars.githubusercontent.com/u/2582303?s=40&v=4 - conacelelena - https://github.com/conacelelena - - - vinceliuice/Orchis-theme https://github.com/vinceliuice/Orchis-theme @@ -125,7 +87,7 @@ https://github.com/vinceliuice/Orchis-theme SCSS #c6538c - 3,071 + 3,072 217 79 @@ -157,78 +119,73 @@ - pages-themes/minimal - https://github.com/pages-themes/minimal - Minimal is a Jekyll theme for GitHub Pages - https://github.com/pages-themes/minimal + creativetimofficial/material-dashboard + https://github.com/creativetimofficial/material-dashboard + Material Dashboard - Open Source Bootstrap 5 Material Design Admin + https://github.com/creativetimofficial/material-dashboard SCSS #c6538c - 1,598 - 6,035 - 20 + 11,050 + 4,341 + 36 - https://avatars.githubusercontent.com/u/282759?s=40&v=4 - benbalter - https://github.com/benbalter + https://avatars.githubusercontent.com/u/17456857?s=40&v=4 + dragosct + https://github.com/dragosct - https://avatars.githubusercontent.com/u/237985?s=40&v=4 - parkr - https://github.com/parkr + https://avatars.githubusercontent.com/u/2517758?s=40&v=4 + alexandru-paduraru + https://github.com/alexandru-paduraru - https://avatars.githubusercontent.com/u/27039604?s=40&v=4 - tsusdere - https://github.com/tsusdere + https://avatars.githubusercontent.com/u/21063398?s=40&v=4 + groovemen + https://github.com/groovemen - https://avatars.githubusercontent.com/u/615115?s=40&v=4 - Jmuccigr - https://github.com/Jmuccigr + https://avatars.githubusercontent.com/u/4600172?s=40&v=4 + marqbeniamin + https://github.com/marqbeniamin - https://avatars.githubusercontent.com/u/3324775?s=40&v=4 - EricFromCanada - https://github.com/EricFromCanada + https://avatars.githubusercontent.com/u/2582303?s=40&v=4 + conacelelena + https://github.com/conacelelena - Ileriayo/markdown-badges - https://github.com/Ileriayo/markdown-badges - Badges for your personal developer branding, profile, and projects. - https://github.com/Ileriayo/markdown-badges + just-the-docs/just-the-docs + https://github.com/just-the-docs/just-the-docs + A modern, high customizable, responsive Jekyll theme for documentation with built-in search. + https://github.com/just-the-docs/just-the-docs SCSS #c6538c - 13,608 - 1,629 - 301 + 7,632 + 3,684 + 105 - https://avatars.githubusercontent.com/u/13166712?s=40&v=4 - Ileriayo - https://github.com/Ileriayo - - - https://avatars.githubusercontent.com/u/66284362?s=40&v=4 - kohasummons - https://github.com/kohasummons + https://avatars.githubusercontent.com/u/896475?s=40&v=4 + pmarsceill + https://github.com/pmarsceill - https://avatars.githubusercontent.com/u/46837820?s=40&v=4 - mehtaAnsh - https://github.com/mehtaAnsh + https://avatars.githubusercontent.com/u/14893287?s=40&v=4 + mattxwang + https://github.com/mattxwang - https://avatars.githubusercontent.com/u/73753957?s=40&v=4 - gurjeetsinghvirdee - https://github.com/gurjeetsinghvirdee + https://avatars.githubusercontent.com/u/28134127?s=40&v=4 + SgtSilvio + https://github.com/SgtSilvio - https://avatars.githubusercontent.com/u/16662291?s=40&v=4 - rajrohanyadav - https://github.com/rajrohanyadav + https://avatars.githubusercontent.com/u/18308236?s=40&v=4 + pdmosses + https://github.com/pdmosses @@ -265,39 +222,6 @@ - - just-the-docs/just-the-docs - https://github.com/just-the-docs/just-the-docs - A modern, high customizable, responsive Jekyll theme for documentation with built-in search. - https://github.com/just-the-docs/just-the-docs - SCSS - #c6538c - 7,631 - 3,684 - 105 - - - https://avatars.githubusercontent.com/u/896475?s=40&v=4 - pmarsceill - https://github.com/pmarsceill - - - https://avatars.githubusercontent.com/u/14893287?s=40&v=4 - mattxwang - https://github.com/mattxwang - - - https://avatars.githubusercontent.com/u/28134127?s=40&v=4 - SgtSilvio - https://github.com/SgtSilvio - - - https://avatars.githubusercontent.com/u/18308236?s=40&v=4 - pdmosses - https://github.com/pdmosses - - - piharpi/jekyll-klise https://github.com/piharpi/jekyll-klise @@ -337,53 +261,91 @@ - creativetimofficial/argon-dashboard - https://github.com/creativetimofficial/argon-dashboard - Argon - Dashboard for Bootstrap 5 by Creative Tim - https://github.com/creativetimofficial/argon-dashboard + pages-themes/minimal + https://github.com/pages-themes/minimal + Minimal is a Jekyll theme for GitHub Pages + https://github.com/pages-themes/minimal SCSS #c6538c - 676 - 1,271 - 9 + 1,598 + 6,036 + 20 - https://avatars.githubusercontent.com/u/21063398?s=40&v=4 - groovemen - https://github.com/groovemen + https://avatars.githubusercontent.com/u/282759?s=40&v=4 + benbalter + https://github.com/benbalter - https://avatars.githubusercontent.com/u/27827318?s=40&v=4 - rarestoma - https://github.com/rarestoma + https://avatars.githubusercontent.com/u/237985?s=40&v=4 + parkr + https://github.com/parkr - https://avatars.githubusercontent.com/u/38554814?s=40&v=4 - extrabright - https://github.com/extrabright + https://avatars.githubusercontent.com/u/27039604?s=40&v=4 + tsusdere + https://github.com/tsusdere - https://avatars.githubusercontent.com/u/4600172?s=40&v=4 - marqbeniamin - https://github.com/marqbeniamin + https://avatars.githubusercontent.com/u/615115?s=40&v=4 + Jmuccigr + https://github.com/Jmuccigr - https://avatars.githubusercontent.com/u/17456857?s=40&v=4 - dragosct - https://github.com/dragosct + https://avatars.githubusercontent.com/u/3324775?s=40&v=4 + EricFromCanada + https://github.com/EricFromCanada - creativetimofficial/soft-ui-dashboard - https://github.com/creativetimofficial/soft-ui-dashboard - Soft UI Dashboard - Free and OpenSource Bootstrap 5 Dashboard - https://github.com/creativetimofficial/soft-ui-dashboard + Hacker0x01/hacker101 + https://github.com/Hacker0x01/hacker101 + Source code for Hacker101.com - a free online web and mobile security class. + https://github.com/Hacker0x01/hacker101 SCSS #c6538c - 536 - 1,181 - 6 + 13,838 + 2,534 + 59 + + + https://avatars.githubusercontent.com/u/2359?s=40&v=4 + daeken + https://github.com/daeken + + + https://avatars.githubusercontent.com/u/352251?s=40&v=4 + reedloden + https://github.com/reedloden + + + https://avatars.githubusercontent.com/u/5761179?s=40&v=4 + djwy + https://github.com/djwy + + + https://avatars.githubusercontent.com/u/18099289?s=40&v=4 + EdOverflow + https://github.com/EdOverflow + + + https://avatars.githubusercontent.com/u/23737561?s=40&v=4 + iMartzen + https://github.com/iMartzen + + + + + creativetimofficial/argon-dashboard + https://github.com/creativetimofficial/argon-dashboard + Argon - Dashboard for Bootstrap 5 by Creative Tim + https://github.com/creativetimofficial/argon-dashboard + SCSS + #c6538c + 676 + 1,271 + 9 https://avatars.githubusercontent.com/u/21063398?s=40&v=4 @@ -396,57 +358,57 @@ https://github.com/rarestoma - https://avatars.githubusercontent.com/u/17456857?s=40&v=4 - dragosct - https://github.com/dragosct - - - https://avatars.githubusercontent.com/u/65810476?s=40&v=4 - cristim67 - https://github.com/cristim67 + https://avatars.githubusercontent.com/u/38554814?s=40&v=4 + extrabright + https://github.com/extrabright https://avatars.githubusercontent.com/u/4600172?s=40&v=4 marqbeniamin https://github.com/marqbeniamin + + https://avatars.githubusercontent.com/u/17456857?s=40&v=4 + dragosct + https://github.com/dragosct + - vinceliuice/WhiteSur-gtk-theme - https://github.com/vinceliuice/WhiteSur-gtk-theme - MacOS like theme for all gtk based desktops - https://github.com/vinceliuice/WhiteSur-gtk-theme + jekyll/minima + https://github.com/jekyll/minima + Minima is a one-size-fits-all Jekyll theme for writers. + https://github.com/jekyll/minima SCSS #c6538c - 6,008 - 616 - 130 + 3,451 + 3,639 + 33 - https://avatars.githubusercontent.com/u/7604295?s=40&v=4 - vinceliuice - https://github.com/vinceliuice + https://avatars.githubusercontent.com/u/6166343?s=40&v=4 + jekyllbot + https://github.com/jekyllbot - https://avatars.githubusercontent.com/u/6300910?s=40&v=4 - stormbeforesunsetbee - https://github.com/stormbeforesunsetbee + https://avatars.githubusercontent.com/u/12479464?s=40&v=4 + ashmaroli + https://github.com/ashmaroli - https://avatars.githubusercontent.com/u/71726270?s=40&v=4 - fernandesafp - https://github.com/fernandesafp + https://avatars.githubusercontent.com/u/103008?s=40&v=4 + DirtyF + https://github.com/DirtyF - https://avatars.githubusercontent.com/u/6800453?s=40&v=4 - AdamXweb - https://github.com/AdamXweb + https://avatars.githubusercontent.com/u/282759?s=40&v=4 + benbalter + https://github.com/benbalter - https://avatars.githubusercontent.com/u/49402019?s=40&v=4 - s-ahmad461 - https://github.com/s-ahmad461 + https://avatars.githubusercontent.com/u/358615?s=40&v=4 + ashawley + https://github.com/ashawley @@ -488,44 +450,6 @@ - - Hacker0x01/hacker101 - https://github.com/Hacker0x01/hacker101 - Source code for Hacker101.com - a free online web and mobile security class. - https://github.com/Hacker0x01/hacker101 - SCSS - #c6538c - 13,838 - 2,534 - 59 - - - https://avatars.githubusercontent.com/u/2359?s=40&v=4 - daeken - https://github.com/daeken - - - https://avatars.githubusercontent.com/u/352251?s=40&v=4 - reedloden - https://github.com/reedloden - - - https://avatars.githubusercontent.com/u/5761179?s=40&v=4 - djwy - https://github.com/djwy - - - https://avatars.githubusercontent.com/u/18099289?s=40&v=4 - EdOverflow - https://github.com/EdOverflow - - - https://avatars.githubusercontent.com/u/23737561?s=40&v=4 - iMartzen - https://github.com/iMartzen - - - abhinavs/moonwalk https://github.com/abhinavs/moonwalk @@ -565,40 +489,101 @@ - jekyll/minima - https://github.com/jekyll/minima - Minima is a one-size-fits-all Jekyll theme for writers. - https://github.com/jekyll/minima + creativetimofficial/soft-ui-dashboard + https://github.com/creativetimofficial/soft-ui-dashboard + Soft UI Dashboard - Free and OpenSource Bootstrap 5 Dashboard + https://github.com/creativetimofficial/soft-ui-dashboard SCSS #c6538c - 3,450 - 3,638 - 33 + 536 + 1,180 + 6 - https://avatars.githubusercontent.com/u/6166343?s=40&v=4 - jekyllbot - https://github.com/jekyllbot + https://avatars.githubusercontent.com/u/21063398?s=40&v=4 + groovemen + https://github.com/groovemen - https://avatars.githubusercontent.com/u/12479464?s=40&v=4 - ashmaroli - https://github.com/ashmaroli + https://avatars.githubusercontent.com/u/27827318?s=40&v=4 + rarestoma + https://github.com/rarestoma - https://avatars.githubusercontent.com/u/103008?s=40&v=4 - DirtyF - https://github.com/DirtyF + https://avatars.githubusercontent.com/u/17456857?s=40&v=4 + dragosct + https://github.com/dragosct + + + https://avatars.githubusercontent.com/u/65810476?s=40&v=4 + cristim67 + https://github.com/cristim67 + + https://avatars.githubusercontent.com/u/4600172?s=40&v=4 + marqbeniamin + https://github.com/marqbeniamin + + + + + pages-themes/architect + https://github.com/pages-themes/architect + Architect is a Jekyll theme for GitHub Pages + https://github.com/pages-themes/architect + SCSS + #c6538c + 323 + 819 + 7 + https://avatars.githubusercontent.com/u/282759?s=40&v=4 benbalter https://github.com/benbalter - https://avatars.githubusercontent.com/u/358615?s=40&v=4 - ashawley - https://github.com/ashawley + https://avatars.githubusercontent.com/u/237985?s=40&v=4 + parkr + https://github.com/parkr + + + https://avatars.githubusercontent.com/u/27039604?s=40&v=4 + tsusdere + https://github.com/tsusdere + + + https://avatars.githubusercontent.com/u/5982701?s=40&v=4 + mguerreiro + https://github.com/mguerreiro + + + https://avatars.githubusercontent.com/u/6104?s=40&v=4 + jasonlong + https://github.com/jasonlong + + + + + Fausto-Korpsvart/Catppuccin-GTK-Theme + https://github.com/Fausto-Korpsvart/Catppuccin-GTK-Theme + GTK Theme with Catppuccin colour scheme + https://github.com/Fausto-Korpsvart/Catppuccin-GTK-Theme + SCSS + #c6538c + 284 + 16 + 27 + + + https://avatars.githubusercontent.com/u/47998118?s=40&v=4 + Fausto-Korpsvart + https://github.com/Fausto-Korpsvart + + + https://avatars.githubusercontent.com/u/75391703?s=40&v=4 + ImSumire + https://github.com/ImSumire diff --git a/data/monthly/sed.json b/data/monthly/sed.json index 071125a095d0..2c2d3bb71c34 100644 --- a/data/monthly/sed.json +++ b/data/monthly/sed.json @@ -2,6 +2,6 @@ "title": "GitHub Sed Languages Monthly Trending", "description": "Monthly Trending of Sed Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sed.xml b/data/monthly/sed.xml index c56245f5a03f..b9d7372f3b6e 100644 --- a/data/monthly/sed.xml +++ b/data/monthly/sed.xml @@ -3,6 +3,6 @@ GitHub Sed Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sed Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/self.json b/data/monthly/self.json index 343485d50267..cfdc957cf4ba 100644 --- a/data/monthly/self.json +++ b/data/monthly/self.json @@ -2,6 +2,6 @@ "title": "GitHub Self Languages Monthly Trending", "description": "Monthly Trending of Self Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/self.xml b/data/monthly/self.xml index 4eaa94ca0324..6322b3a7ef12 100644 --- a/data/monthly/self.xml +++ b/data/monthly/self.xml @@ -3,6 +3,6 @@ GitHub Self Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Self Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/selinux-policy.json b/data/monthly/selinux-policy.json index ae365c3c9a2d..0d3ced7e0031 100644 --- a/data/monthly/selinux-policy.json +++ b/data/monthly/selinux-policy.json @@ -2,6 +2,6 @@ "title": "GitHub Selinux-policy Languages Monthly Trending", "description": "Monthly Trending of Selinux-policy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/selinux-policy.xml b/data/monthly/selinux-policy.xml index c004b4981ce2..929662ae350a 100644 --- a/data/monthly/selinux-policy.xml +++ b/data/monthly/selinux-policy.xml @@ -3,6 +3,6 @@ GitHub Selinux-policy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Selinux-policy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/shaderlab.json b/data/monthly/shaderlab.json index 6d1de5f02f42..d6e9d8e2f772 100644 --- a/data/monthly/shaderlab.json +++ b/data/monthly/shaderlab.json @@ -2,7 +2,7 @@ "title": "GitHub Shaderlab Languages Monthly Trending", "description": "Monthly Trending of Shaderlab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "lilxyzw/lilToon", @@ -69,8 +69,8 @@ "description": "UnityChanToonShaderVer2 Project / v.2.0.9 Release", "language": "ShaderLab", "languageColor": "#222c37", - "stars": "3,839", - "forks": "813", + "stars": "3,840", + "forks": "814", "addStars": "22", "contributors": [ { diff --git a/data/monthly/shaderlab.xml b/data/monthly/shaderlab.xml index 14c4a25cda40..6ee6c5d881bb 100644 --- a/data/monthly/shaderlab.xml +++ b/data/monthly/shaderlab.xml @@ -3,7 +3,7 @@ GitHub Shaderlab Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Shaderlab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT lilxyzw/lilToon https://github.com/lilxyzw/lilToon @@ -72,8 +72,8 @@ https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project ShaderLab #222c37 - 3,839 - 813 + 3,840 + 814 22 diff --git a/data/monthly/shell.json b/data/monthly/shell.json index bef1ece1a657..4f712b1b613e 100644 --- a/data/monthly/shell.json +++ b/data/monthly/shell.json @@ -2,7 +2,7 @@ "title": "GitHub Shell Languages Monthly Trending", "description": "Monthly Trending of Shell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "dockur/windows", @@ -10,8 +10,8 @@ "description": "Windows inside a Docker container.", "language": "Shell", "languageColor": "#89e051", - "stars": "29,693", - "forks": "2,027", + "stars": "29,725", + "forks": "2,030", "addStars": "11,867", "contributors": [ { @@ -42,8 +42,8 @@ "description": "Node Version Manager - POSIX-compliant bash script to manage multiple active node.js versions", "language": "Shell", "languageColor": "#89e051", - "stars": "80,597", - "forks": "8,034", + "stars": "80,600", + "forks": "8,036", "addStars": "1,001", "contributors": [ { @@ -79,8 +79,8 @@ "description": "Bluesky PDS (Personal Data Server) container image, compose file, and documentation", "language": "Shell", "languageColor": "#89e051", - "stars": "1,432", - "forks": "132", + "stars": "1,438", + "forks": "133", "addStars": "498", "contributors": [ { @@ -110,50 +110,13 @@ } ] }, - { - "title": "acmesh-official/acme.sh", - "url": "https://github.com/acmesh-official/acme.sh", - "description": "A pure Unix shell script implementing ACME client protocol", - "language": "Shell", - "languageColor": "#89e051", - "stars": "39,521", - "forks": "4,978", - "addStars": "487", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8305679?s=40&v=4", - "name": "Neilpang", - "url": "https://github.com/Neilpang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17837612?s=40&v=4", - "name": "dkerr64", - "url": "https://github.com/dkerr64" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32309486?s=40&v=4", - "name": "NerLOR", - "url": "https://github.com/NerLOR" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/639984?s=40&v=4", - "name": "medmunds", - "url": "https://github.com/medmunds" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3777403?s=40&v=4", - "name": "stilez", - "url": "https://github.com/stilez" - } - ] - }, { "title": "mylinuxforwork/dotfiles", "url": "https://github.com/mylinuxforwork/dotfiles", "description": "The ML4W Dotfiles for Hyprland - An advanced and full-featured configuration for the dynamic tiling window manager Hyprland including an easy to use installation script for Arch based Linux distributions.", "language": "Shell", "languageColor": "#89e051", - "stars": "1,156", + "stars": "1,158", "forks": "88", "addStars": "303", "contributors": [ @@ -185,34 +148,39 @@ ] }, { - "title": "RROrg/rr", - "url": "https://github.com/RROrg/rr", - "description": "Redpill Recovery (arpl-i18n)", + "title": "acmesh-official/acme.sh", + "url": "https://github.com/acmesh-official/acme.sh", + "description": "A pure Unix shell script implementing ACME client protocol", "language": "Shell", "languageColor": "#89e051", - "stars": "4,863", - "forks": "825", - "addStars": "481", + "stars": "39,529", + "forks": "4,979", + "addStars": "487", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5615843?s=40&v=4", - "name": "wjz304", - "url": "https://github.com/wjz304" + "avatar": "https://avatars.githubusercontent.com/u/8305679?s=40&v=4", + "name": "Neilpang", + "url": "https://github.com/Neilpang" }, { - "avatar": "https://avatars.githubusercontent.com/u/11461290?s=40&v=4", - "name": "fbelavenuto", - "url": "https://github.com/fbelavenuto" + "avatar": "https://avatars.githubusercontent.com/u/17837612?s=40&v=4", + "name": "dkerr64", + "url": "https://github.com/dkerr64" }, { - "avatar": "https://avatars.githubusercontent.com/u/115027125?s=40&v=4", - "name": "marchfun1", - "url": "https://github.com/marchfun1" + "avatar": "https://avatars.githubusercontent.com/u/32309486?s=40&v=4", + "name": "NerLOR", + "url": "https://github.com/NerLOR" }, { - "avatar": "https://avatars.githubusercontent.com/u/110688601?s=40&v=4", - "name": "servnas", - "url": "https://github.com/servnas" + "avatar": "https://avatars.githubusercontent.com/u/639984?s=40&v=4", + "name": "medmunds", + "url": "https://github.com/medmunds" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3777403?s=40&v=4", + "name": "stilez", + "url": "https://github.com/stilez" } ] }, @@ -222,8 +190,8 @@ "description": "binary releases of VS Code without MS branding/telemetry/licensing", "language": "Shell", "languageColor": "#89e051", - "stars": "25,613", - "forks": "1,116", + "stars": "25,616", + "forks": "1,119", "addStars": "422", "contributors": [ { @@ -248,13 +216,50 @@ } ] }, + { + "title": "CISOfy/lynis", + "url": "https://github.com/CISOfy/lynis", + "description": "Lynis - Security auditing tool for Linux, macOS, and UNIX-based systems. Assists with compliance testing (HIPAA/ISO27001/PCI DSS) and system hardening. Agentless, and installation optional.", + "language": "Shell", + "languageColor": "#89e051", + "stars": "13,498", + "forks": "1,487", + "addStars": "272", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6152277?s=40&v=4", + "name": "mboelen", + "url": "https://github.com/mboelen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7956715?s=40&v=4", + "name": "konstruktoid", + "url": "https://github.com/konstruktoid" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5983372?s=40&v=4", + "name": "Varbin", + "url": "https://github.com/Varbin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2119212?s=40&v=4", + "name": "jsoref", + "url": "https://github.com/jsoref" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18518033?s=40&v=4", + "name": "topimiettinen", + "url": "https://github.com/topimiettinen" + } + ] + }, { "title": "Joshua-Riek/ubuntu-rockchip", "url": "https://github.com/Joshua-Riek/ubuntu-rockchip", "description": "Ubuntu for Rockchip RK35XX Devices", "language": "Shell", "languageColor": "#89e051", - "stars": "2,523", + "stars": "2,524", "forks": "273", "addStars": "198", "contributors": [ @@ -286,39 +291,34 @@ ] }, { - "title": "CISOfy/lynis", - "url": "https://github.com/CISOfy/lynis", - "description": "Lynis - Security auditing tool for Linux, macOS, and UNIX-based systems. Assists with compliance testing (HIPAA/ISO27001/PCI DSS) and system hardening. Agentless, and installation optional.", + "title": "RROrg/rr", + "url": "https://github.com/RROrg/rr", + "description": "Redpill Recovery (arpl-i18n)", "language": "Shell", "languageColor": "#89e051", - "stars": "13,497", - "forks": "1,487", - "addStars": "272", + "stars": "4,869", + "forks": "826", + "addStars": "481", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6152277?s=40&v=4", - "name": "mboelen", - "url": "https://github.com/mboelen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7956715?s=40&v=4", - "name": "konstruktoid", - "url": "https://github.com/konstruktoid" + "avatar": "https://avatars.githubusercontent.com/u/5615843?s=40&v=4", + "name": "wjz304", + "url": "https://github.com/wjz304" }, { - "avatar": "https://avatars.githubusercontent.com/u/5983372?s=40&v=4", - "name": "Varbin", - "url": "https://github.com/Varbin" + "avatar": "https://avatars.githubusercontent.com/u/11461290?s=40&v=4", + "name": "fbelavenuto", + "url": "https://github.com/fbelavenuto" }, { - "avatar": "https://avatars.githubusercontent.com/u/2119212?s=40&v=4", - "name": "jsoref", - "url": "https://github.com/jsoref" + "avatar": "https://avatars.githubusercontent.com/u/115027125?s=40&v=4", + "name": "marchfun1", + "url": "https://github.com/marchfun1" }, { - "avatar": "https://avatars.githubusercontent.com/u/18518033?s=40&v=4", - "name": "topimiettinen", - "url": "https://github.com/topimiettinen" + "avatar": "https://avatars.githubusercontent.com/u/110688601?s=40&v=4", + "name": "servnas", + "url": "https://github.com/servnas" } ] }, @@ -328,8 +328,8 @@ "description": "一分钟在线定制编译 X86/64, NanoPi R2S R4S R5S R6S, 斐讯 Phicomm N1 K2P, 树莓派 Raspberry Pi, 香橙派 Orange Pi, 红米AX6, 小米AX3600, 小米AX9000, 红米AX6S 小米AX3200, 红米AC2100, 华硕ASUS, 网件NETGEAR 等主流软硬路由", "language": "Shell", "languageColor": "#89e051", - "stars": "7,802", - "forks": "3,128", + "stars": "7,805", + "forks": "3,131", "addStars": "185", "contributors": [ { @@ -359,14 +359,51 @@ } ] }, + { + "title": "youngyangyang04/leetcode-master", + "url": "https://github.com/youngyangyang04/leetcode-master", + "description": "《代码随想录》LeetCode 刷题攻略:200道经典题目刷题顺序,共60w字的详细图解,视频难点剖析,50余张思维导图,支持C++,Java,Python,Go,JavaScript等多语言版本,从此算法学习不再迷茫!🔥🔥 来看看,你会发现相见恨晚!🚀", + "language": "Shell", + "languageColor": "#89e051", + "stars": "52,211", + "forks": "11,571", + "addStars": "829", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8636020?s=40&v=4", + "name": "youngyangyang04", + "url": "https://github.com/youngyangyang04" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82551626?s=40&v=4", + "name": "fwqaaq", + "url": "https://github.com/fwqaaq" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60663472?s=40&v=4", + "name": "xiaofei-2020", + "url": "https://github.com/xiaofei-2020" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/62091654?s=40&v=4", + "name": "juguagua", + "url": "https://github.com/juguagua" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35664721?s=40&v=4", + "name": "jianghongcheng", + "url": "https://github.com/jianghongcheng" + } + ] + }, { "title": "d3/d3", "url": "https://github.com/d3/d3", "description": "Bring data to life with SVG, Canvas and HTML. 📊📈🎉", "language": "Shell", "languageColor": "#89e051", - "stars": "109,051", - "forks": "22,856", + "stars": "109,056", + "forks": "22,857", "addStars": "395", "contributors": [ { @@ -397,76 +434,71 @@ ] }, { - "title": "youngyangyang04/leetcode-master", - "url": "https://github.com/youngyangyang04/leetcode-master", - "description": "《代码随想录》LeetCode 刷题攻略:200道经典题目刷题顺序,共60w字的详细图解,视频难点剖析,50余张思维导图,支持C++,Java,Python,Go,JavaScript等多语言版本,从此算法学习不再迷茫!🔥🔥 来看看,你会发现相见恨晚!🚀", + "title": "007revad/Synology_HDD_db", + "url": "https://github.com/007revad/Synology_HDD_db", + "description": "Add your HDD, SSD and NVMe drives to your Synology's compatible drive database and a lot more", "language": "Shell", "languageColor": "#89e051", - "stars": "52,197", - "forks": "11,570", - "addStars": "829", + "stars": "2,709", + "forks": "180", + "addStars": "151", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8636020?s=40&v=4", - "name": "youngyangyang04", - "url": "https://github.com/youngyangyang04" + "avatar": "https://avatars.githubusercontent.com/u/39733752?s=40&v=4", + "name": "007revad", + "url": "https://github.com/007revad" }, { - "avatar": "https://avatars.githubusercontent.com/u/82551626?s=40&v=4", - "name": "fwqaaq", - "url": "https://github.com/fwqaaq" + "avatar": "https://avatars.githubusercontent.com/u/58368166?s=40&v=4", + "name": "leonpano2006", + "url": "https://github.com/leonpano2006" }, { - "avatar": "https://avatars.githubusercontent.com/u/60663472?s=40&v=4", - "name": "xiaofei-2020", - "url": "https://github.com/xiaofei-2020" + "avatar": "https://avatars.githubusercontent.com/u/823316?s=40&v=4", + "name": "martinbjeldbak", + "url": "https://github.com/martinbjeldbak" }, { - "avatar": "https://avatars.githubusercontent.com/u/62091654?s=40&v=4", - "name": "juguagua", - "url": "https://github.com/juguagua" + "avatar": "https://avatars.githubusercontent.com/u/1109954?s=40&v=4", + "name": "Xeroxxx", + "url": "https://github.com/Xeroxxx" }, { - "avatar": "https://avatars.githubusercontent.com/u/35664721?s=40&v=4", - "name": "jianghongcheng", - "url": "https://github.com/jianghongcheng" + "avatar": "https://avatars.githubusercontent.com/u/3037189?s=40&v=4", + "name": "antons-", + "url": "https://github.com/antons-" } ] }, { - "title": "ublue-os/bazzite", - "url": "https://github.com/ublue-os/bazzite", - "description": "Bazzite is a cloud native image built upon Fedora Atomic Desktops that brings the best of Linux gaming to all of your devices - including your favorite handheld.", + "title": "DDS-Derek/xiaoya-alist", + "url": "https://github.com/DDS-Derek/xiaoya-alist", + "description": "小雅Alist的相关周边", "language": "Shell", "languageColor": "#89e051", - "stars": "4,082", - "forks": "251", - "addStars": "213", + "stars": "5,424", + "forks": "785", + "addStars": "381", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10704358?s=40&v=4", - "name": "KyleGospo", - "url": "https://github.com/KyleGospo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18015150?s=40&v=4", - "name": "EyeCantCU", - "url": "https://github.com/EyeCantCU" + "avatar": "https://avatars.githubusercontent.com/u/73049927?s=40&v=4", + "name": "DDSRem", + "url": "https://github.com/DDSRem" }, { - "avatar": "https://avatars.githubusercontent.com/u/121328689?s=40&v=4", - "name": "nicknamenamenick", - "url": "https://github.com/nicknamenamenick" + "avatar": "https://avatars.githubusercontent.com/u/108336573?s=40&v=4", + "name": "DDSDerek", + "url": "https://github.com/DDSDerek" }, { - "avatar": "https://avatars.githubusercontent.com/u/2557889?s=40&v=4", - "name": "HikariKnight", - "url": "https://github.com/HikariKnight" + "avatar": "https://avatars.githubusercontent.com/u/83830807?s=40&v=4", + "name": "zengge99", + "url": "https://github.com/zengge99" }, { - "avatar": "https://avatars.githubusercontent.com/u/4983138?s=40&v=4", - "name": "noelmiller", - "url": "https://github.com/noelmiller" + "avatar": "https://avatars.githubusercontent.com/u/30225089?s=40&v=4", + "name": "Rik-F5", + "url": "https://github.com/Rik-F5" } ] }, @@ -477,7 +509,7 @@ "language": "Shell", "languageColor": "#89e051", "stars": "7,766", - "forks": "1,068", + "forks": "1,067", "addStars": "237", "contributors": [ { @@ -507,45 +539,13 @@ } ] }, - { - "title": "DDS-Derek/xiaoya-alist", - "url": "https://github.com/DDS-Derek/xiaoya-alist", - "description": "小雅Alist的相关周边", - "language": "Shell", - "languageColor": "#89e051", - "stars": "5,417", - "forks": "785", - "addStars": "381", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/73049927?s=40&v=4", - "name": "DDSRem", - "url": "https://github.com/DDSRem" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/108336573?s=40&v=4", - "name": "DDSDerek", - "url": "https://github.com/DDSDerek" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/83830807?s=40&v=4", - "name": "zengge99", - "url": "https://github.com/zengge99" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30225089?s=40&v=4", - "name": "Rik-F5", - "url": "https://github.com/Rik-F5" - } - ] - }, { "title": "linux-surface/linux-surface", "url": "https://github.com/linux-surface/linux-surface", "description": "Linux Kernel for Surface Devices", "language": "Shell", "languageColor": "#89e051", - "stars": "5,215", + "stars": "5,217", "forks": "220", "addStars": "144", "contributors": [ @@ -575,43 +575,6 @@ "url": "https://github.com/sphh" } ] - }, - { - "title": "007revad/Synology_HDD_db", - "url": "https://github.com/007revad/Synology_HDD_db", - "description": "Add your HDD, SSD and NVMe drives to your Synology's compatible drive database and a lot more", - "language": "Shell", - "languageColor": "#89e051", - "stars": "2,705", - "forks": "180", - "addStars": "151", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/39733752?s=40&v=4", - "name": "007revad", - "url": "https://github.com/007revad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58368166?s=40&v=4", - "name": "leonpano2006", - "url": "https://github.com/leonpano2006" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/823316?s=40&v=4", - "name": "martinbjeldbak", - "url": "https://github.com/martinbjeldbak" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1109954?s=40&v=4", - "name": "Xeroxxx", - "url": "https://github.com/Xeroxxx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3037189?s=40&v=4", - "name": "antons-", - "url": "https://github.com/antons-" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/shell.xml b/data/monthly/shell.xml index 3f9223c50ebe..1329ddacc2ba 100644 --- a/data/monthly/shell.xml +++ b/data/monthly/shell.xml @@ -3,7 +3,7 @@ GitHub Shell Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Shell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT dockur/windows https://github.com/dockur/windows @@ -11,8 +11,8 @@ https://github.com/dockur/windows Shell #89e051 - 29,693 - 2,027 + 29,725 + 2,030 11,867 @@ -44,8 +44,8 @@ https://github.com/nvm-sh/nvm Shell #89e051 - 80,597 - 8,034 + 80,600 + 8,036 1,001 @@ -82,8 +82,8 @@ https://github.com/bluesky-social/pds Shell #89e051 - 1,432 - 132 + 1,438 + 133 498 @@ -113,44 +113,6 @@ - - acmesh-official/acme.sh - https://github.com/acmesh-official/acme.sh - A pure Unix shell script implementing ACME client protocol - https://github.com/acmesh-official/acme.sh - Shell - #89e051 - 39,521 - 4,978 - 487 - - - https://avatars.githubusercontent.com/u/8305679?s=40&v=4 - Neilpang - https://github.com/Neilpang - - - https://avatars.githubusercontent.com/u/17837612?s=40&v=4 - dkerr64 - https://github.com/dkerr64 - - - https://avatars.githubusercontent.com/u/32309486?s=40&v=4 - NerLOR - https://github.com/NerLOR - - - https://avatars.githubusercontent.com/u/639984?s=40&v=4 - medmunds - https://github.com/medmunds - - - https://avatars.githubusercontent.com/u/3777403?s=40&v=4 - stilez - https://github.com/stilez - - - mylinuxforwork/dotfiles https://github.com/mylinuxforwork/dotfiles @@ -158,7 +120,7 @@ https://github.com/mylinuxforwork/dotfiles Shell #89e051 - 1,156 + 1,158 88 303 @@ -190,35 +152,40 @@ - RROrg/rr - https://github.com/RROrg/rr - Redpill Recovery (arpl-i18n) - https://github.com/RROrg/rr + acmesh-official/acme.sh + https://github.com/acmesh-official/acme.sh + A pure Unix shell script implementing ACME client protocol + https://github.com/acmesh-official/acme.sh Shell #89e051 - 4,863 - 825 - 481 + 39,529 + 4,979 + 487 - https://avatars.githubusercontent.com/u/5615843?s=40&v=4 - wjz304 - https://github.com/wjz304 + https://avatars.githubusercontent.com/u/8305679?s=40&v=4 + Neilpang + https://github.com/Neilpang - https://avatars.githubusercontent.com/u/11461290?s=40&v=4 - fbelavenuto - https://github.com/fbelavenuto + https://avatars.githubusercontent.com/u/17837612?s=40&v=4 + dkerr64 + https://github.com/dkerr64 - https://avatars.githubusercontent.com/u/115027125?s=40&v=4 - marchfun1 - https://github.com/marchfun1 + https://avatars.githubusercontent.com/u/32309486?s=40&v=4 + NerLOR + https://github.com/NerLOR - https://avatars.githubusercontent.com/u/110688601?s=40&v=4 - servnas - https://github.com/servnas + https://avatars.githubusercontent.com/u/639984?s=40&v=4 + medmunds + https://github.com/medmunds + + + https://avatars.githubusercontent.com/u/3777403?s=40&v=4 + stilez + https://github.com/stilez @@ -229,8 +196,8 @@ https://github.com/VSCodium/vscodium Shell #89e051 - 25,613 - 1,116 + 25,616 + 1,119 422 @@ -255,6 +222,44 @@ + + CISOfy/lynis + https://github.com/CISOfy/lynis + Lynis - Security auditing tool for Linux, macOS, and UNIX-based systems. Assists with compliance testing (HIPAA/ISO27001/PCI DSS) and system hardening. Agentless, and installation optional. + https://github.com/CISOfy/lynis + Shell + #89e051 + 13,498 + 1,487 + 272 + + + https://avatars.githubusercontent.com/u/6152277?s=40&v=4 + mboelen + https://github.com/mboelen + + + https://avatars.githubusercontent.com/u/7956715?s=40&v=4 + konstruktoid + https://github.com/konstruktoid + + + https://avatars.githubusercontent.com/u/5983372?s=40&v=4 + Varbin + https://github.com/Varbin + + + https://avatars.githubusercontent.com/u/2119212?s=40&v=4 + jsoref + https://github.com/jsoref + + + https://avatars.githubusercontent.com/u/18518033?s=40&v=4 + topimiettinen + https://github.com/topimiettinen + + + Joshua-Riek/ubuntu-rockchip https://github.com/Joshua-Riek/ubuntu-rockchip @@ -262,7 +267,7 @@ https://github.com/Joshua-Riek/ubuntu-rockchip Shell #89e051 - 2,523 + 2,524 273 198 @@ -294,40 +299,35 @@ - CISOfy/lynis - https://github.com/CISOfy/lynis - Lynis - Security auditing tool for Linux, macOS, and UNIX-based systems. Assists with compliance testing (HIPAA/ISO27001/PCI DSS) and system hardening. Agentless, and installation optional. - https://github.com/CISOfy/lynis + RROrg/rr + https://github.com/RROrg/rr + Redpill Recovery (arpl-i18n) + https://github.com/RROrg/rr Shell #89e051 - 13,497 - 1,487 - 272 + 4,869 + 826 + 481 - https://avatars.githubusercontent.com/u/6152277?s=40&v=4 - mboelen - https://github.com/mboelen - - - https://avatars.githubusercontent.com/u/7956715?s=40&v=4 - konstruktoid - https://github.com/konstruktoid + https://avatars.githubusercontent.com/u/5615843?s=40&v=4 + wjz304 + https://github.com/wjz304 - https://avatars.githubusercontent.com/u/5983372?s=40&v=4 - Varbin - https://github.com/Varbin + https://avatars.githubusercontent.com/u/11461290?s=40&v=4 + fbelavenuto + https://github.com/fbelavenuto - https://avatars.githubusercontent.com/u/2119212?s=40&v=4 - jsoref - https://github.com/jsoref + https://avatars.githubusercontent.com/u/115027125?s=40&v=4 + marchfun1 + https://github.com/marchfun1 - https://avatars.githubusercontent.com/u/18518033?s=40&v=4 - topimiettinen - https://github.com/topimiettinen + https://avatars.githubusercontent.com/u/110688601?s=40&v=4 + servnas + https://github.com/servnas @@ -338,8 +338,8 @@ https://github.com/kiddin9/Kwrt Shell #89e051 - 7,802 - 3,128 + 7,805 + 3,131 185 @@ -369,6 +369,44 @@ + + youngyangyang04/leetcode-master + https://github.com/youngyangyang04/leetcode-master + 《代码随想录》LeetCode 刷题攻略:200道经典题目刷题顺序,共60w字的详细图解,视频难点剖析,50余张思维导图,支持C++,Java,Python,Go,JavaScript等多语言版本,从此算法学习不再迷茫!🔥🔥 来看看,你会发现相见恨晚!🚀 + https://github.com/youngyangyang04/leetcode-master + Shell + #89e051 + 52,211 + 11,571 + 829 + + + https://avatars.githubusercontent.com/u/8636020?s=40&v=4 + youngyangyang04 + https://github.com/youngyangyang04 + + + https://avatars.githubusercontent.com/u/82551626?s=40&v=4 + fwqaaq + https://github.com/fwqaaq + + + https://avatars.githubusercontent.com/u/60663472?s=40&v=4 + xiaofei-2020 + https://github.com/xiaofei-2020 + + + https://avatars.githubusercontent.com/u/62091654?s=40&v=4 + juguagua + https://github.com/juguagua + + + https://avatars.githubusercontent.com/u/35664721?s=40&v=4 + jianghongcheng + https://github.com/jianghongcheng + + + d3/d3 https://github.com/d3/d3 @@ -376,8 +414,8 @@ https://github.com/d3/d3 Shell #89e051 - 109,051 - 22,856 + 109,056 + 22,857 395 @@ -408,78 +446,73 @@ - youngyangyang04/leetcode-master - https://github.com/youngyangyang04/leetcode-master - 《代码随想录》LeetCode 刷题攻略:200道经典题目刷题顺序,共60w字的详细图解,视频难点剖析,50余张思维导图,支持C++,Java,Python,Go,JavaScript等多语言版本,从此算法学习不再迷茫!🔥🔥 来看看,你会发现相见恨晚!🚀 - https://github.com/youngyangyang04/leetcode-master + 007revad/Synology_HDD_db + https://github.com/007revad/Synology_HDD_db + Add your HDD, SSD and NVMe drives to your Synology's compatible drive database and a lot more + https://github.com/007revad/Synology_HDD_db Shell #89e051 - 52,197 - 11,570 - 829 + 2,709 + 180 + 151 - https://avatars.githubusercontent.com/u/8636020?s=40&v=4 - youngyangyang04 - https://github.com/youngyangyang04 + https://avatars.githubusercontent.com/u/39733752?s=40&v=4 + 007revad + https://github.com/007revad - https://avatars.githubusercontent.com/u/82551626?s=40&v=4 - fwqaaq - https://github.com/fwqaaq + https://avatars.githubusercontent.com/u/58368166?s=40&v=4 + leonpano2006 + https://github.com/leonpano2006 - https://avatars.githubusercontent.com/u/60663472?s=40&v=4 - xiaofei-2020 - https://github.com/xiaofei-2020 + https://avatars.githubusercontent.com/u/823316?s=40&v=4 + martinbjeldbak + https://github.com/martinbjeldbak - https://avatars.githubusercontent.com/u/62091654?s=40&v=4 - juguagua - https://github.com/juguagua + https://avatars.githubusercontent.com/u/1109954?s=40&v=4 + Xeroxxx + https://github.com/Xeroxxx - https://avatars.githubusercontent.com/u/35664721?s=40&v=4 - jianghongcheng - https://github.com/jianghongcheng + https://avatars.githubusercontent.com/u/3037189?s=40&v=4 + antons- + https://github.com/antons- - ublue-os/bazzite - https://github.com/ublue-os/bazzite - Bazzite is a cloud native image built upon Fedora Atomic Desktops that brings the best of Linux gaming to all of your devices - including your favorite handheld. - https://github.com/ublue-os/bazzite + DDS-Derek/xiaoya-alist + https://github.com/DDS-Derek/xiaoya-alist + 小雅Alist的相关周边 + https://github.com/DDS-Derek/xiaoya-alist Shell #89e051 - 4,082 - 251 - 213 + 5,424 + 785 + 381 - https://avatars.githubusercontent.com/u/10704358?s=40&v=4 - KyleGospo - https://github.com/KyleGospo - - - https://avatars.githubusercontent.com/u/18015150?s=40&v=4 - EyeCantCU - https://github.com/EyeCantCU + https://avatars.githubusercontent.com/u/73049927?s=40&v=4 + DDSRem + https://github.com/DDSRem - https://avatars.githubusercontent.com/u/121328689?s=40&v=4 - nicknamenamenick - https://github.com/nicknamenamenick + https://avatars.githubusercontent.com/u/108336573?s=40&v=4 + DDSDerek + https://github.com/DDSDerek - https://avatars.githubusercontent.com/u/2557889?s=40&v=4 - HikariKnight - https://github.com/HikariKnight + https://avatars.githubusercontent.com/u/83830807?s=40&v=4 + zengge99 + https://github.com/zengge99 - https://avatars.githubusercontent.com/u/4983138?s=40&v=4 - noelmiller - https://github.com/noelmiller + https://avatars.githubusercontent.com/u/30225089?s=40&v=4 + Rik-F5 + https://github.com/Rik-F5 @@ -491,7 +524,7 @@ Shell #89e051 7,766 - 1,068 + 1,067 237 @@ -521,39 +554,6 @@ - - DDS-Derek/xiaoya-alist - https://github.com/DDS-Derek/xiaoya-alist - 小雅Alist的相关周边 - https://github.com/DDS-Derek/xiaoya-alist - Shell - #89e051 - 5,417 - 785 - 381 - - - https://avatars.githubusercontent.com/u/73049927?s=40&v=4 - DDSRem - https://github.com/DDSRem - - - https://avatars.githubusercontent.com/u/108336573?s=40&v=4 - DDSDerek - https://github.com/DDSDerek - - - https://avatars.githubusercontent.com/u/83830807?s=40&v=4 - zengge99 - https://github.com/zengge99 - - - https://avatars.githubusercontent.com/u/30225089?s=40&v=4 - Rik-F5 - https://github.com/Rik-F5 - - - linux-surface/linux-surface https://github.com/linux-surface/linux-surface @@ -561,7 +561,7 @@ https://github.com/linux-surface/linux-surface Shell #89e051 - 5,215 + 5,217 220 144 @@ -592,43 +592,5 @@ - - 007revad/Synology_HDD_db - https://github.com/007revad/Synology_HDD_db - Add your HDD, SSD and NVMe drives to your Synology's compatible drive database and a lot more - https://github.com/007revad/Synology_HDD_db - Shell - #89e051 - 2,705 - 180 - 151 - - - https://avatars.githubusercontent.com/u/39733752?s=40&v=4 - 007revad - https://github.com/007revad - - - https://avatars.githubusercontent.com/u/58368166?s=40&v=4 - leonpano2006 - https://github.com/leonpano2006 - - - https://avatars.githubusercontent.com/u/823316?s=40&v=4 - martinbjeldbak - https://github.com/martinbjeldbak - - - https://avatars.githubusercontent.com/u/1109954?s=40&v=4 - Xeroxxx - https://github.com/Xeroxxx - - - https://avatars.githubusercontent.com/u/3037189?s=40&v=4 - antons- - https://github.com/antons- - - - \ No newline at end of file diff --git a/data/monthly/shellcheck-config.json b/data/monthly/shellcheck-config.json index 17549bc95f1b..d67244bef736 100644 --- a/data/monthly/shellcheck-config.json +++ b/data/monthly/shellcheck-config.json @@ -2,6 +2,6 @@ "title": "GitHub Shellcheck-config Languages Monthly Trending", "description": "Monthly Trending of Shellcheck-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/shellcheck-config.xml b/data/monthly/shellcheck-config.xml index d5930f946e3a..ddb083a0aa46 100644 --- a/data/monthly/shellcheck-config.xml +++ b/data/monthly/shellcheck-config.xml @@ -3,6 +3,6 @@ GitHub Shellcheck-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Shellcheck-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/shellsession.json b/data/monthly/shellsession.json index 55d248e79f21..2ac327563bd2 100644 --- a/data/monthly/shellsession.json +++ b/data/monthly/shellsession.json @@ -2,6 +2,6 @@ "title": "GitHub Shellsession Languages Monthly Trending", "description": "Monthly Trending of Shellsession Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/shellsession.xml b/data/monthly/shellsession.xml index 9dc864cc54fb..05c6fdede58a 100644 --- a/data/monthly/shellsession.xml +++ b/data/monthly/shellsession.xml @@ -3,6 +3,6 @@ GitHub Shellsession Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Shellsession Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/shen.json b/data/monthly/shen.json index 0ea9a9bcb774..4ed6a5585059 100644 --- a/data/monthly/shen.json +++ b/data/monthly/shen.json @@ -2,6 +2,6 @@ "title": "GitHub Shen Languages Monthly Trending", "description": "Monthly Trending of Shen Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/shen.xml b/data/monthly/shen.xml index 472c91275afd..0ffc917d8aa7 100644 --- a/data/monthly/shen.xml +++ b/data/monthly/shen.xml @@ -3,6 +3,6 @@ GitHub Shen Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Shen Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sieve.json b/data/monthly/sieve.json index 9c9eedefc12b..d410cd146750 100644 --- a/data/monthly/sieve.json +++ b/data/monthly/sieve.json @@ -2,6 +2,6 @@ "title": "GitHub Sieve Languages Monthly Trending", "description": "Monthly Trending of Sieve Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sieve.xml b/data/monthly/sieve.xml index f6af97e2b832..16758d09294d 100644 --- a/data/monthly/sieve.xml +++ b/data/monthly/sieve.xml @@ -3,6 +3,6 @@ GitHub Sieve Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sieve Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/simple-file-verification.json b/data/monthly/simple-file-verification.json index 267fcb9b3dca..7964de7f59a5 100644 --- a/data/monthly/simple-file-verification.json +++ b/data/monthly/simple-file-verification.json @@ -2,6 +2,6 @@ "title": "GitHub Simple-file-verification Languages Monthly Trending", "description": "Monthly Trending of Simple-file-verification Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/simple-file-verification.xml b/data/monthly/simple-file-verification.xml index 29e2d3fcf360..da29a3bb8c8e 100644 --- a/data/monthly/simple-file-verification.xml +++ b/data/monthly/simple-file-verification.xml @@ -3,6 +3,6 @@ GitHub Simple-file-verification Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Simple-file-verification Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/singularity.json b/data/monthly/singularity.json index 472b6e834abe..da1143f8fa88 100644 --- a/data/monthly/singularity.json +++ b/data/monthly/singularity.json @@ -2,6 +2,6 @@ "title": "GitHub Singularity Languages Monthly Trending", "description": "Monthly Trending of Singularity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/singularity.xml b/data/monthly/singularity.xml index d5b857943d05..1fa4e111c9b2 100644 --- a/data/monthly/singularity.xml +++ b/data/monthly/singularity.xml @@ -3,6 +3,6 @@ GitHub Singularity Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Singularity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/slash.json b/data/monthly/slash.json index 6ed3232d7a52..d8540090f830 100644 --- a/data/monthly/slash.json +++ b/data/monthly/slash.json @@ -2,6 +2,6 @@ "title": "GitHub Slash Languages Monthly Trending", "description": "Monthly Trending of Slash Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/slash.xml b/data/monthly/slash.xml index 420171bba13f..7eee768dce25 100644 --- a/data/monthly/slash.xml +++ b/data/monthly/slash.xml @@ -3,6 +3,6 @@ GitHub Slash Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Slash Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/slice.json b/data/monthly/slice.json index 37afc37939db..3d5c8cdb8ca4 100644 --- a/data/monthly/slice.json +++ b/data/monthly/slice.json @@ -2,6 +2,6 @@ "title": "GitHub Slice Languages Monthly Trending", "description": "Monthly Trending of Slice Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/slice.xml b/data/monthly/slice.xml index 6216df22a076..66a6ca28e0d6 100644 --- a/data/monthly/slice.xml +++ b/data/monthly/slice.xml @@ -3,6 +3,6 @@ GitHub Slice Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Slice Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/slim.json b/data/monthly/slim.json index dad39decdf0e..6dcdce3eb8ff 100644 --- a/data/monthly/slim.json +++ b/data/monthly/slim.json @@ -2,6 +2,6 @@ "title": "GitHub Slim Languages Monthly Trending", "description": "Monthly Trending of Slim Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/slim.xml b/data/monthly/slim.xml index b4579e71bad5..7bf6355f6cb8 100644 --- a/data/monthly/slim.xml +++ b/data/monthly/slim.xml @@ -3,6 +3,6 @@ GitHub Slim Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Slim Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/slint.json b/data/monthly/slint.json index b2c3f1e46b5e..2ce4830da50e 100644 --- a/data/monthly/slint.json +++ b/data/monthly/slint.json @@ -2,6 +2,6 @@ "title": "GitHub Slint Languages Monthly Trending", "description": "Monthly Trending of Slint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/slint.xml b/data/monthly/slint.xml index 9c8cd9c3f622..8e117a28de86 100644 --- a/data/monthly/slint.xml +++ b/data/monthly/slint.xml @@ -3,6 +3,6 @@ GitHub Slint Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Slint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/smali.json b/data/monthly/smali.json index 1eacfb89e179..060a6be621e0 100644 --- a/data/monthly/smali.json +++ b/data/monthly/smali.json @@ -2,7 +2,7 @@ "title": "GitHub Smali Languages Monthly Trending", "description": "Monthly Trending of Smali Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "phhusson/treble_experimentations", @@ -47,7 +47,7 @@ "description": "Cross-Platform Android Remote Administration Tool | Official maintained repository for the AhMyth R.A.T Project | A dedicated revival of the original repository at https://GitHub.com/AhMyth/AhMyth-Android-RAT", "language": "Smali", "languageColor": "#ccc", - "stars": "824", + "stars": "823", "forks": "206", "addStars": "25", "contributors": [ diff --git a/data/monthly/smali.xml b/data/monthly/smali.xml index a752ded19e9c..d92872fa926d 100644 --- a/data/monthly/smali.xml +++ b/data/monthly/smali.xml @@ -3,7 +3,7 @@ GitHub Smali Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Smali Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT phhusson/treble_experimentations https://github.com/phhusson/treble_experimentations @@ -49,7 +49,7 @@ https://github.com/Morsmalleo/AhMyth Smali #ccc - 824 + 823 206 25 diff --git a/data/monthly/smalltalk.json b/data/monthly/smalltalk.json index 35ae55cb8d84..a82d9a686b34 100644 --- a/data/monthly/smalltalk.json +++ b/data/monthly/smalltalk.json @@ -2,7 +2,7 @@ "title": "GitHub Smalltalk Languages Monthly Trending", "description": "Monthly Trending of Smalltalk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "svenvc/zinc", diff --git a/data/monthly/smalltalk.xml b/data/monthly/smalltalk.xml index 8520b291f390..19c767472c9f 100644 --- a/data/monthly/smalltalk.xml +++ b/data/monthly/smalltalk.xml @@ -3,7 +3,7 @@ GitHub Smalltalk Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Smalltalk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT svenvc/zinc https://github.com/svenvc/zinc diff --git a/data/monthly/smarty.json b/data/monthly/smarty.json index 2e1ebc4d76e5..f762104b4fae 100644 --- a/data/monthly/smarty.json +++ b/data/monthly/smarty.json @@ -2,7 +2,7 @@ "title": "GitHub Smarty Languages Monthly Trending", "description": "Monthly Trending of Smarty Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "grafana/helm-charts", @@ -10,8 +10,8 @@ "description": "", "language": "Smarty", "languageColor": "#f0c040", - "stars": "1,666", - "forks": "2,282", + "stars": "1,667", + "forks": "2,283", "addStars": "34", "contributors": [ { @@ -48,7 +48,7 @@ "language": "Smarty", "languageColor": "#f0c040", "stars": "225", - "forks": "86", + "forks": "87", "addStars": "19", "contributors": [ { @@ -105,14 +105,46 @@ } ] }, + { + "title": "traefik/traefik-helm-chart", + "url": "https://github.com/traefik/traefik-helm-chart", + "description": "Traefik Proxy Helm Chart", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "1,086", + "forks": "762", + "addStars": "12", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/97035654?s=40&v=4", + "name": "mloiseleur", + "url": "https://github.com/mloiseleur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1776972?s=40&v=4", + "name": "mmatur", + "url": "https://github.com/mmatur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1105441?s=40&v=4", + "name": "darkweaver87", + "url": "https://github.com/darkweaver87" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27928201?s=40&v=4", + "name": "charlie-haley", + "url": "https://github.com/charlie-haley" + } + ] + }, { "title": "bitnami/charts", "url": "https://github.com/bitnami/charts", "description": "Bitnami Helm Charts", "language": "Smarty", "languageColor": "#f0c040", - "stars": "9,053", - "forks": "9,223", + "stars": "9,054", + "forks": "9,224", "addStars": "94", "contributors": [ { @@ -143,39 +175,39 @@ ] }, { - "title": "VictoriaMetrics/helm-charts", - "url": "https://github.com/VictoriaMetrics/helm-charts", - "description": "Helm charts for VictoriaMetrics, VictoriaLogs and ecosystem", + "title": "open-telemetry/opentelemetry-helm-charts", + "url": "https://github.com/open-telemetry/opentelemetry-helm-charts", + "description": "OpenTelemetry Helm Charts", "language": "Smarty", "languageColor": "#f0c040", - "stars": "348", - "forks": "332", - "addStars": "10", + "stars": "399", + "forks": "489", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/133988544?s=40&v=4", - "name": "victoriametrics-bot", - "url": "https://github.com/victoriametrics-bot" + "avatar": "https://avatars.githubusercontent.com/u/12352919?s=40&v=4", + "name": "TylerHelmuth", + "url": "https://github.com/TylerHelmuth" }, { - "avatar": "https://avatars.githubusercontent.com/u/18450869?s=40&v=4", - "name": "f41gh7", - "url": "https://github.com/f41gh7" + "avatar": "https://avatars.githubusercontent.com/u/6628631?s=40&v=4", + "name": "dmitryax", + "url": "https://github.com/dmitryax" }, { - "avatar": "https://avatars.githubusercontent.com/u/283442?s=40&v=4", - "name": "valyala", - "url": "https://github.com/valyala" + "avatar": "https://avatars.githubusercontent.com/u/1296118?s=40&v=4", + "name": "puckpuck", + "url": "https://github.com/puckpuck" }, { - "avatar": "https://avatars.githubusercontent.com/u/1381404?s=40&v=4", - "name": "tenmozes", - "url": "https://github.com/tenmozes" + "avatar": "https://avatars.githubusercontent.com/u/8087146?s=40&v=4", + "name": "Allex1", + "url": "https://github.com/Allex1" }, { - "avatar": "https://avatars.githubusercontent.com/u/3162380?s=40&v=4", - "name": "AndrewChubatiuk", - "url": "https://github.com/AndrewChubatiuk" + "avatar": "https://avatars.githubusercontent.com/u/10070047?s=40&v=4", + "name": "jaronoff97", + "url": "https://github.com/jaronoff97" } ] }, @@ -211,43 +243,6 @@ } ] }, - { - "title": "open-telemetry/opentelemetry-helm-charts", - "url": "https://github.com/open-telemetry/opentelemetry-helm-charts", - "description": "OpenTelemetry Helm Charts", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "399", - "forks": "489", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12352919?s=40&v=4", - "name": "TylerHelmuth", - "url": "https://github.com/TylerHelmuth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6628631?s=40&v=4", - "name": "dmitryax", - "url": "https://github.com/dmitryax" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1296118?s=40&v=4", - "name": "puckpuck", - "url": "https://github.com/puckpuck" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8087146?s=40&v=4", - "name": "Allex1", - "url": "https://github.com/Allex1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10070047?s=40&v=4", - "name": "jaronoff97", - "url": "https://github.com/jaronoff97" - } - ] - }, { "title": "nextcloud/helm", "url": "https://github.com/nextcloud/helm", @@ -302,60 +297,6 @@ } ] }, - { - "title": "sidpalas/devops-directive-kubernetes-course", - "url": "https://github.com/sidpalas/devops-directive-kubernetes-course", - "description": "This is the companion repo for the DevOps Directive \"Kubernetes: Beginner to Pro\" course!", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "745", - "forks": "282", - "addStars": "77", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", - "name": "sidpalas", - "url": "https://github.com/sidpalas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/592430?s=40&v=4", - "name": "accessd", - "url": "https://github.com/accessd" - } - ] - }, - { - "title": "traefik/traefik-helm-chart", - "url": "https://github.com/traefik/traefik-helm-chart", - "description": "Traefik Proxy Helm Chart", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "1,086", - "forks": "762", - "addStars": "12", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/97035654?s=40&v=4", - "name": "mloiseleur", - "url": "https://github.com/mloiseleur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1776972?s=40&v=4", - "name": "mmatur", - "url": "https://github.com/mmatur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1105441?s=40&v=4", - "name": "darkweaver87", - "url": "https://github.com/darkweaver87" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27928201?s=40&v=4", - "name": "charlie-haley", - "url": "https://github.com/charlie-haley" - } - ] - }, { "title": "mongodb/helm-charts", "url": "https://github.com/mongodb/helm-charts", @@ -393,6 +334,28 @@ } ] }, + { + "title": "sidpalas/devops-directive-kubernetes-course", + "url": "https://github.com/sidpalas/devops-directive-kubernetes-course", + "description": "This is the companion repo for the DevOps Directive \"Kubernetes: Beginner to Pro\" course!", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "745", + "forks": "282", + "addStars": "77", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1320389?s=40&v=4", + "name": "sidpalas", + "url": "https://github.com/sidpalas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/592430?s=40&v=4", + "name": "accessd", + "url": "https://github.com/accessd" + } + ] + }, { "title": "opensearch-project/helm-charts", "url": "https://github.com/opensearch-project/helm-charts", diff --git a/data/monthly/smarty.xml b/data/monthly/smarty.xml index 23cc59fae425..19a0fec7729b 100644 --- a/data/monthly/smarty.xml +++ b/data/monthly/smarty.xml @@ -3,7 +3,7 @@ GitHub Smarty Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Smarty Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT grafana/helm-charts https://github.com/grafana/helm-charts @@ -11,8 +11,8 @@ https://github.com/grafana/helm-charts Smarty #f0c040 - 1,666 - 2,282 + 1,667 + 2,283 34 @@ -50,7 +50,7 @@ Smarty #f0c040 225 - 86 + 87 19 @@ -108,6 +108,39 @@ + + traefik/traefik-helm-chart + https://github.com/traefik/traefik-helm-chart + Traefik Proxy Helm Chart + https://github.com/traefik/traefik-helm-chart + Smarty + #f0c040 + 1,086 + 762 + 12 + + + https://avatars.githubusercontent.com/u/97035654?s=40&v=4 + mloiseleur + https://github.com/mloiseleur + + + https://avatars.githubusercontent.com/u/1776972?s=40&v=4 + mmatur + https://github.com/mmatur + + + https://avatars.githubusercontent.com/u/1105441?s=40&v=4 + darkweaver87 + https://github.com/darkweaver87 + + + https://avatars.githubusercontent.com/u/27928201?s=40&v=4 + charlie-haley + https://github.com/charlie-haley + + + bitnami/charts https://github.com/bitnami/charts @@ -115,8 +148,8 @@ https://github.com/bitnami/charts Smarty #f0c040 - 9,053 - 9,223 + 9,054 + 9,224 94 @@ -147,40 +180,40 @@ - VictoriaMetrics/helm-charts - https://github.com/VictoriaMetrics/helm-charts - Helm charts for VictoriaMetrics, VictoriaLogs and ecosystem - https://github.com/VictoriaMetrics/helm-charts + open-telemetry/opentelemetry-helm-charts + https://github.com/open-telemetry/opentelemetry-helm-charts + OpenTelemetry Helm Charts + https://github.com/open-telemetry/opentelemetry-helm-charts Smarty #f0c040 - 348 - 332 - 10 + 399 + 489 + 8 - https://avatars.githubusercontent.com/u/133988544?s=40&v=4 - victoriametrics-bot - https://github.com/victoriametrics-bot + https://avatars.githubusercontent.com/u/12352919?s=40&v=4 + TylerHelmuth + https://github.com/TylerHelmuth - https://avatars.githubusercontent.com/u/18450869?s=40&v=4 - f41gh7 - https://github.com/f41gh7 + https://avatars.githubusercontent.com/u/6628631?s=40&v=4 + dmitryax + https://github.com/dmitryax - https://avatars.githubusercontent.com/u/283442?s=40&v=4 - valyala - https://github.com/valyala + https://avatars.githubusercontent.com/u/1296118?s=40&v=4 + puckpuck + https://github.com/puckpuck - https://avatars.githubusercontent.com/u/1381404?s=40&v=4 - tenmozes - https://github.com/tenmozes + https://avatars.githubusercontent.com/u/8087146?s=40&v=4 + Allex1 + https://github.com/Allex1 - https://avatars.githubusercontent.com/u/3162380?s=40&v=4 - AndrewChubatiuk - https://github.com/AndrewChubatiuk + https://avatars.githubusercontent.com/u/10070047?s=40&v=4 + jaronoff97 + https://github.com/jaronoff97 @@ -217,44 +250,6 @@ - - open-telemetry/opentelemetry-helm-charts - https://github.com/open-telemetry/opentelemetry-helm-charts - OpenTelemetry Helm Charts - https://github.com/open-telemetry/opentelemetry-helm-charts - Smarty - #f0c040 - 399 - 489 - 8 - - - https://avatars.githubusercontent.com/u/12352919?s=40&v=4 - TylerHelmuth - https://github.com/TylerHelmuth - - - https://avatars.githubusercontent.com/u/6628631?s=40&v=4 - dmitryax - https://github.com/dmitryax - - - https://avatars.githubusercontent.com/u/1296118?s=40&v=4 - puckpuck - https://github.com/puckpuck - - - https://avatars.githubusercontent.com/u/8087146?s=40&v=4 - Allex1 - https://github.com/Allex1 - - - https://avatars.githubusercontent.com/u/10070047?s=40&v=4 - jaronoff97 - https://github.com/jaronoff97 - - - nextcloud/helm https://github.com/nextcloud/helm @@ -311,62 +306,6 @@ - - sidpalas/devops-directive-kubernetes-course - https://github.com/sidpalas/devops-directive-kubernetes-course - This is the companion repo for the DevOps Directive "Kubernetes: Beginner to Pro" course! - https://github.com/sidpalas/devops-directive-kubernetes-course - Smarty - #f0c040 - 745 - 282 - 77 - - - https://avatars.githubusercontent.com/u/1320389?s=40&v=4 - sidpalas - https://github.com/sidpalas - - - https://avatars.githubusercontent.com/u/592430?s=40&v=4 - accessd - https://github.com/accessd - - - - - traefik/traefik-helm-chart - https://github.com/traefik/traefik-helm-chart - Traefik Proxy Helm Chart - https://github.com/traefik/traefik-helm-chart - Smarty - #f0c040 - 1,086 - 762 - 12 - - - https://avatars.githubusercontent.com/u/97035654?s=40&v=4 - mloiseleur - https://github.com/mloiseleur - - - https://avatars.githubusercontent.com/u/1776972?s=40&v=4 - mmatur - https://github.com/mmatur - - - https://avatars.githubusercontent.com/u/1105441?s=40&v=4 - darkweaver87 - https://github.com/darkweaver87 - - - https://avatars.githubusercontent.com/u/27928201?s=40&v=4 - charlie-haley - https://github.com/charlie-haley - - - mongodb/helm-charts https://github.com/mongodb/helm-charts @@ -405,6 +344,29 @@ + + sidpalas/devops-directive-kubernetes-course + https://github.com/sidpalas/devops-directive-kubernetes-course + This is the companion repo for the DevOps Directive "Kubernetes: Beginner to Pro" course! + https://github.com/sidpalas/devops-directive-kubernetes-course + Smarty + #f0c040 + 745 + 282 + 77 + + + https://avatars.githubusercontent.com/u/1320389?s=40&v=4 + sidpalas + https://github.com/sidpalas + + + https://avatars.githubusercontent.com/u/592430?s=40&v=4 + accessd + https://github.com/accessd + + + opensearch-project/helm-charts https://github.com/opensearch-project/helm-charts diff --git a/data/monthly/smithy.json b/data/monthly/smithy.json index 74fd1b4a90b9..fe0b30ca2010 100644 --- a/data/monthly/smithy.json +++ b/data/monthly/smithy.json @@ -2,6 +2,6 @@ "title": "GitHub Smithy Languages Monthly Trending", "description": "Monthly Trending of Smithy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/smithy.xml b/data/monthly/smithy.xml index 2087659e8ff5..b7e4fc44dde6 100644 --- a/data/monthly/smithy.xml +++ b/data/monthly/smithy.xml @@ -3,6 +3,6 @@ GitHub Smithy Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Smithy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/smpl.json b/data/monthly/smpl.json index 3605a812f5f9..7526736db523 100644 --- a/data/monthly/smpl.json +++ b/data/monthly/smpl.json @@ -2,6 +2,6 @@ "title": "GitHub Smpl Languages Monthly Trending", "description": "Monthly Trending of Smpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/smpl.xml b/data/monthly/smpl.xml index 0e3294587819..8a29ac15ab2b 100644 --- a/data/monthly/smpl.xml +++ b/data/monthly/smpl.xml @@ -3,6 +3,6 @@ GitHub Smpl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Smpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/smt.json b/data/monthly/smt.json index 7fe394a2e9fd..e906f016e4b3 100644 --- a/data/monthly/smt.json +++ b/data/monthly/smt.json @@ -2,6 +2,6 @@ "title": "GitHub Smt Languages Monthly Trending", "description": "Monthly Trending of Smt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/smt.xml b/data/monthly/smt.xml index 2f0dab1c1767..5a0484b59169 100644 --- a/data/monthly/smt.xml +++ b/data/monthly/smt.xml @@ -3,6 +3,6 @@ GitHub Smt Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Smt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/snakemake.json b/data/monthly/snakemake.json index c8a17fc36943..0d700e7bc1d1 100644 --- a/data/monthly/snakemake.json +++ b/data/monthly/snakemake.json @@ -2,6 +2,6 @@ "title": "GitHub Snakemake Languages Monthly Trending", "description": "Monthly Trending of Snakemake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/snakemake.xml b/data/monthly/snakemake.xml index 0787ce6dc98f..e9b75b832d10 100644 --- a/data/monthly/snakemake.xml +++ b/data/monthly/snakemake.xml @@ -3,6 +3,6 @@ GitHub Snakemake Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Snakemake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/solidity.json b/data/monthly/solidity.json index 6b0bb6da1ed1..680934e327b4 100644 --- a/data/monthly/solidity.json +++ b/data/monthly/solidity.json @@ -2,7 +2,7 @@ "title": "GitHub Solidity Languages Monthly Trending", "description": "Monthly Trending of Solidity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "OpenZeppelin/openzeppelin-contracts", @@ -10,7 +10,7 @@ "description": "OpenZeppelin Contracts is a library for secure smart contract development.", "language": "Solidity", "languageColor": "#AA6746", - "stars": "24,989", + "stars": "24,991", "forks": "11,808", "addStars": "362", "contributors": [ @@ -47,7 +47,7 @@ "description": "⭐ Minimal tutorials to build Dapps | DEX Development Tutorial | Uniswap 代码解析 | 去中心化交易所实战教程 | DApp 智能合约和前端教程 ⭐", "language": "Solidity", "languageColor": "#AA6746", - "stars": "343", + "stars": "345", "forks": "74", "addStars": "91", "contributors": [ @@ -84,7 +84,7 @@ "description": "WTF Solidity 极简入门教程,供小白们使用。Now supports English! 官网: https://wtf.academy", "language": "Solidity", "languageColor": "#AA6746", - "stars": "11,717", + "stars": "11,719", "forks": "2,039", "addStars": "216", "contributors": [ @@ -263,50 +263,13 @@ } ] }, - { - "title": "transmissions11/solmate", - "url": "https://github.com/transmissions11/solmate", - "description": "Modern, opinionated, and gas optimized building blocks for smart contract development.", - "language": "Solidity", - "languageColor": "#AA6746", - "stars": "3,952", - "forks": "653", - "addStars": "41", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/26209401?s=40&v=4", - "name": "transmissions11", - "url": "https://github.com/transmissions11" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31974730?s=40&v=4", - "name": "Joeysantoro", - "url": "https://github.com/Joeysantoro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/92001561?s=40&v=4", - "name": "z0r0z", - "url": "https://github.com/z0r0z" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", - "name": "brockelmore", - "url": "https://github.com/brockelmore" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5889274?s=40&v=4", - "name": "Vectorized", - "url": "https://github.com/Vectorized" - } - ] - }, { "title": "bnb-chain/BEPs", "url": "https://github.com/bnb-chain/BEPs", "description": "BNB Evolution Proposals", "language": "Solidity", "languageColor": "#AA6746", - "stars": "824", + "stars": "825", "forks": "557", "addStars": "18", "contributors": [ @@ -337,43 +300,6 @@ } ] }, - { - "title": "dapphub/ds-test", - "url": "https://github.com/dapphub/ds-test", - "description": "Assertions, equality checks and other test helpers", - "language": "Solidity", - "languageColor": "#AA6746", - "stars": "214", - "forks": "69", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/18486361?s=40&v=4", - "name": "rainbreak", - "url": "https://github.com/rainbreak" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6689924?s=40&v=4", - "name": "d-xo", - "url": "https://github.com/d-xo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60899256?s=40&v=4", - "name": "tommyrharper", - "url": "https://github.com/tommyrharper" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14032?s=40&v=4", - "name": "dbrock", - "url": "https://github.com/dbrock" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", - "name": "brockelmore", - "url": "https://github.com/brockelmore" - } - ] - }, { "title": "matter-labs/era-contracts", "url": "https://github.com/matter-labs/era-contracts", @@ -411,6 +337,43 @@ } ] }, + { + "title": "dapphub/ds-test", + "url": "https://github.com/dapphub/ds-test", + "description": "Assertions, equality checks and other test helpers", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "214", + "forks": "69", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/18486361?s=40&v=4", + "name": "rainbreak", + "url": "https://github.com/rainbreak" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6689924?s=40&v=4", + "name": "d-xo", + "url": "https://github.com/d-xo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60899256?s=40&v=4", + "name": "tommyrharper", + "url": "https://github.com/tommyrharper" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14032?s=40&v=4", + "name": "dbrock", + "url": "https://github.com/dbrock" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", + "name": "brockelmore", + "url": "https://github.com/brockelmore" + } + ] + }, { "title": "smartcontractkit/chainlink-brownie-contracts", "url": "https://github.com/smartcontractkit/chainlink-brownie-contracts", @@ -444,113 +407,113 @@ ] }, { - "title": "coredao-org/Build-On-Core", - "url": "https://github.com/coredao-org/Build-On-Core", - "description": "This repository showcases projects and solutions developed during hackathons, workshops, and related events and other Builder Programs.", + "title": "Dapp-Learning-DAO/Dapp-Learning", + "url": "https://github.com/Dapp-Learning-DAO/Dapp-Learning", + "description": "Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization.", "language": "Solidity", "languageColor": "#AA6746", - "stars": "121", - "forks": "199", - "addStars": "52", + "stars": "5,202", + "forks": "1,330", + "addStars": "73", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/35759187?s=40&v=4", - "name": "RumeelHussain", - "url": "https://github.com/RumeelHussain" + "avatar": "https://avatars.githubusercontent.com/u/67732512?s=40&v=4", + "name": "liberhe", + "url": "https://github.com/liberhe" }, { - "avatar": "https://avatars.githubusercontent.com/u/56241351?s=40&v=4", - "name": "Tee-py", - "url": "https://github.com/Tee-py" + "avatar": "https://avatars.githubusercontent.com/u/19644290?s=40&v=4", + "name": "0x-stan", + "url": "https://github.com/0x-stan" }, { - "avatar": "https://avatars.githubusercontent.com/u/27718680?s=40&v=4", - "name": "yaairnaavaa", - "url": "https://github.com/yaairnaavaa" + "avatar": "https://avatars.githubusercontent.com/u/12482906?s=40&v=4", + "name": "yanyanho", + "url": "https://github.com/yanyanho" }, { - "avatar": "https://avatars.githubusercontent.com/u/74715881?s=40&v=4", - "name": "kunaldhongade", - "url": "https://github.com/kunaldhongade" + "avatar": "https://avatars.githubusercontent.com/u/85563303?s=40&v=4", + "name": "yingjingyang", + "url": "https://github.com/yingjingyang" }, { - "avatar": "https://avatars.githubusercontent.com/u/179362713?s=40&v=4", - "name": "lisa-ibrahim", - "url": "https://github.com/lisa-ibrahim" + "avatar": "https://avatars.githubusercontent.com/u/41536742?s=40&v=4", + "name": "longdacao", + "url": "https://github.com/longdacao" } ] }, { - "title": "0xPolygonHermez/zkevm-contracts", - "url": "https://github.com/0xPolygonHermez/zkevm-contracts", - "description": "Polygon zkEVM Smart Contracts", + "title": "transmissions11/solmate", + "url": "https://github.com/transmissions11/solmate", + "description": "Modern, opinionated, and gas optimized building blocks for smart contract development.", "language": "Solidity", "languageColor": "#AA6746", - "stars": "278", - "forks": "314", - "addStars": "5", + "stars": "3,952", + "forks": "654", + "addStars": "41", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/32056120?s=40&v=4", - "name": "invocamanman", - "url": "https://github.com/invocamanman" + "avatar": "https://avatars.githubusercontent.com/u/26209401?s=40&v=4", + "name": "transmissions11", + "url": "https://github.com/transmissions11" }, { - "avatar": "https://avatars.githubusercontent.com/u/44141767?s=40&v=4", - "name": "krlosMata", - "url": "https://github.com/krlosMata" + "avatar": "https://avatars.githubusercontent.com/u/31974730?s=40&v=4", + "name": "Joeysantoro", + "url": "https://github.com/Joeysantoro" }, { - "avatar": "https://avatars.githubusercontent.com/u/94566827?s=40&v=4", - "name": "zkronos73", - "url": "https://github.com/zkronos73" + "avatar": "https://avatars.githubusercontent.com/u/92001561?s=40&v=4", + "name": "z0r0z", + "url": "https://github.com/z0r0z" }, { - "avatar": "https://avatars.githubusercontent.com/u/22519382?s=40&v=4", - "name": "ignasirv", - "url": "https://github.com/ignasirv" + "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", + "name": "brockelmore", + "url": "https://github.com/brockelmore" }, { - "avatar": "https://avatars.githubusercontent.com/u/37299818?s=40&v=4", - "name": "laisolizq", - "url": "https://github.com/laisolizq" + "avatar": "https://avatars.githubusercontent.com/u/5889274?s=40&v=4", + "name": "Vectorized", + "url": "https://github.com/Vectorized" } ] }, { - "title": "Dapp-Learning-DAO/Dapp-Learning", - "url": "https://github.com/Dapp-Learning-DAO/Dapp-Learning", - "description": "Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization.", + "title": "coredao-org/Build-On-Core", + "url": "https://github.com/coredao-org/Build-On-Core", + "description": "This repository showcases projects and solutions developed during hackathons, workshops, and related events and other Builder Programs.", "language": "Solidity", "languageColor": "#AA6746", - "stars": "5,202", - "forks": "1,330", - "addStars": "73", + "stars": "121", + "forks": "199", + "addStars": "52", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/67732512?s=40&v=4", - "name": "liberhe", - "url": "https://github.com/liberhe" + "avatar": "https://avatars.githubusercontent.com/u/35759187?s=40&v=4", + "name": "RumeelHussain", + "url": "https://github.com/RumeelHussain" }, { - "avatar": "https://avatars.githubusercontent.com/u/19644290?s=40&v=4", - "name": "0x-stan", - "url": "https://github.com/0x-stan" + "avatar": "https://avatars.githubusercontent.com/u/56241351?s=40&v=4", + "name": "Tee-py", + "url": "https://github.com/Tee-py" }, { - "avatar": "https://avatars.githubusercontent.com/u/12482906?s=40&v=4", - "name": "yanyanho", - "url": "https://github.com/yanyanho" + "avatar": "https://avatars.githubusercontent.com/u/27718680?s=40&v=4", + "name": "yaairnaavaa", + "url": "https://github.com/yaairnaavaa" }, { - "avatar": "https://avatars.githubusercontent.com/u/85563303?s=40&v=4", - "name": "yingjingyang", - "url": "https://github.com/yingjingyang" + "avatar": "https://avatars.githubusercontent.com/u/74715881?s=40&v=4", + "name": "kunaldhongade", + "url": "https://github.com/kunaldhongade" }, { - "avatar": "https://avatars.githubusercontent.com/u/41536742?s=40&v=4", - "name": "longdacao", - "url": "https://github.com/longdacao" + "avatar": "https://avatars.githubusercontent.com/u/179362713?s=40&v=4", + "name": "lisa-ibrahim", + "url": "https://github.com/lisa-ibrahim" } ] }, @@ -629,39 +592,39 @@ ] }, { - "title": "coinbase/smart-wallet", - "url": "https://github.com/coinbase/smart-wallet", - "description": "", + "title": "0xPolygonHermez/zkevm-contracts", + "url": "https://github.com/0xPolygonHermez/zkevm-contracts", + "description": "Polygon zkEVM Smart Contracts", "language": "Solidity", "languageColor": "#AA6746", - "stars": "367", - "forks": "78", - "addStars": "26", + "stars": "278", + "forks": "314", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6678357?s=40&v=4", - "name": "wilsoncusack", - "url": "https://github.com/wilsoncusack" + "avatar": "https://avatars.githubusercontent.com/u/32056120?s=40&v=4", + "name": "invocamanman", + "url": "https://github.com/invocamanman" }, { - "avatar": "https://avatars.githubusercontent.com/u/84420280?s=40&v=4", - "name": "stevieraykatz", - "url": "https://github.com/stevieraykatz" + "avatar": "https://avatars.githubusercontent.com/u/44141767?s=40&v=4", + "name": "krlosMata", + "url": "https://github.com/krlosMata" }, { - "avatar": "https://avatars.githubusercontent.com/u/33523487?s=40&v=4", - "name": "xenoliss", - "url": "https://github.com/xenoliss" + "avatar": "https://avatars.githubusercontent.com/u/94566827?s=40&v=4", + "name": "zkronos73", + "url": "https://github.com/zkronos73" }, { - "avatar": "https://avatars.githubusercontent.com/u/58052996?s=40&v=4", - "name": "RedLikeRosesss", - "url": "https://github.com/RedLikeRosesss" + "avatar": "https://avatars.githubusercontent.com/u/22519382?s=40&v=4", + "name": "ignasirv", + "url": "https://github.com/ignasirv" }, { - "avatar": "https://avatars.githubusercontent.com/u/30870580?s=40&v=4", - "name": "pegahcarter", - "url": "https://github.com/pegahcarter" + "avatar": "https://avatars.githubusercontent.com/u/37299818?s=40&v=4", + "name": "laisolizq", + "url": "https://github.com/laisolizq" } ] }, @@ -671,7 +634,7 @@ "description": "Utility Solidity library composed of basic operations for tightly packed bytes arrays", "language": "Solidity", "languageColor": "#AA6746", - "stars": "514", + "stars": "515", "forks": "111", "addStars": "6", "contributors": [ @@ -702,6 +665,38 @@ } ] }, + { + "title": "Uniswap/v2-periphery", + "url": "https://github.com/Uniswap/v2-periphery", + "description": "🎚 Peripheral smart contracts for interacting with Uniswap V2", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "1,132", + "forks": "1,687", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3760414?s=40&v=4", + "name": "NoahZinsmeister", + "url": "https://github.com/NoahZinsmeister" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7897876?s=40&v=4", + "name": "moodysalem", + "url": "https://github.com/moodysalem" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9343483?s=40&v=4", + "name": "haydenadams", + "url": "https://github.com/haydenadams" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40770586?s=40&v=4", + "name": "marktoda", + "url": "https://github.com/marktoda" + } + ] + }, { "title": "liquity/bold", "url": "https://github.com/liquity/bold", diff --git a/data/monthly/solidity.xml b/data/monthly/solidity.xml index dc0d31a58868..007445f2a189 100644 --- a/data/monthly/solidity.xml +++ b/data/monthly/solidity.xml @@ -3,7 +3,7 @@ GitHub Solidity Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Solidity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT OpenZeppelin/openzeppelin-contracts https://github.com/OpenZeppelin/openzeppelin-contracts @@ -11,7 +11,7 @@ https://github.com/OpenZeppelin/openzeppelin-contracts Solidity #AA6746 - 24,989 + 24,991 11,808 362 @@ -49,7 +49,7 @@ https://github.com/WTFAcademy/WTF-Dapp Solidity #AA6746 - 343 + 345 74 91 @@ -87,7 +87,7 @@ https://github.com/AmazingAng/WTF-Solidity Solidity #AA6746 - 11,717 + 11,719 2,039 216 @@ -270,44 +270,6 @@ - - transmissions11/solmate - https://github.com/transmissions11/solmate - Modern, opinionated, and gas optimized building blocks for smart contract development. - https://github.com/transmissions11/solmate - Solidity - #AA6746 - 3,952 - 653 - 41 - - - https://avatars.githubusercontent.com/u/26209401?s=40&v=4 - transmissions11 - https://github.com/transmissions11 - - - https://avatars.githubusercontent.com/u/31974730?s=40&v=4 - Joeysantoro - https://github.com/Joeysantoro - - - https://avatars.githubusercontent.com/u/92001561?s=40&v=4 - z0r0z - https://github.com/z0r0z - - - https://avatars.githubusercontent.com/u/31553173?s=40&v=4 - brockelmore - https://github.com/brockelmore - - - https://avatars.githubusercontent.com/u/5889274?s=40&v=4 - Vectorized - https://github.com/Vectorized - - - bnb-chain/BEPs https://github.com/bnb-chain/BEPs @@ -315,7 +277,7 @@ https://github.com/bnb-chain/BEPs Solidity #AA6746 - 824 + 825 557 18 @@ -346,44 +308,6 @@ - - dapphub/ds-test - https://github.com/dapphub/ds-test - Assertions, equality checks and other test helpers - https://github.com/dapphub/ds-test - Solidity - #AA6746 - 214 - 69 - 0 - - - https://avatars.githubusercontent.com/u/18486361?s=40&v=4 - rainbreak - https://github.com/rainbreak - - - https://avatars.githubusercontent.com/u/6689924?s=40&v=4 - d-xo - https://github.com/d-xo - - - https://avatars.githubusercontent.com/u/60899256?s=40&v=4 - tommyrharper - https://github.com/tommyrharper - - - https://avatars.githubusercontent.com/u/14032?s=40&v=4 - dbrock - https://github.com/dbrock - - - https://avatars.githubusercontent.com/u/31553173?s=40&v=4 - brockelmore - https://github.com/brockelmore - - - matter-labs/era-contracts https://github.com/matter-labs/era-contracts @@ -422,6 +346,44 @@ + + dapphub/ds-test + https://github.com/dapphub/ds-test + Assertions, equality checks and other test helpers + https://github.com/dapphub/ds-test + Solidity + #AA6746 + 214 + 69 + 0 + + + https://avatars.githubusercontent.com/u/18486361?s=40&v=4 + rainbreak + https://github.com/rainbreak + + + https://avatars.githubusercontent.com/u/6689924?s=40&v=4 + d-xo + https://github.com/d-xo + + + https://avatars.githubusercontent.com/u/60899256?s=40&v=4 + tommyrharper + https://github.com/tommyrharper + + + https://avatars.githubusercontent.com/u/14032?s=40&v=4 + dbrock + https://github.com/dbrock + + + https://avatars.githubusercontent.com/u/31553173?s=40&v=4 + brockelmore + https://github.com/brockelmore + + + smartcontractkit/chainlink-brownie-contracts https://github.com/smartcontractkit/chainlink-brownie-contracts @@ -456,116 +418,116 @@ - coredao-org/Build-On-Core - https://github.com/coredao-org/Build-On-Core - This repository showcases projects and solutions developed during hackathons, workshops, and related events and other Builder Programs. - https://github.com/coredao-org/Build-On-Core + Dapp-Learning-DAO/Dapp-Learning + https://github.com/Dapp-Learning-DAO/Dapp-Learning + Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization. + https://github.com/Dapp-Learning-DAO/Dapp-Learning Solidity #AA6746 - 121 - 199 - 52 + 5,202 + 1,330 + 73 - https://avatars.githubusercontent.com/u/35759187?s=40&v=4 - RumeelHussain - https://github.com/RumeelHussain + https://avatars.githubusercontent.com/u/67732512?s=40&v=4 + liberhe + https://github.com/liberhe - https://avatars.githubusercontent.com/u/56241351?s=40&v=4 - Tee-py - https://github.com/Tee-py + https://avatars.githubusercontent.com/u/19644290?s=40&v=4 + 0x-stan + https://github.com/0x-stan - https://avatars.githubusercontent.com/u/27718680?s=40&v=4 - yaairnaavaa - https://github.com/yaairnaavaa + https://avatars.githubusercontent.com/u/12482906?s=40&v=4 + yanyanho + https://github.com/yanyanho - https://avatars.githubusercontent.com/u/74715881?s=40&v=4 - kunaldhongade - https://github.com/kunaldhongade + https://avatars.githubusercontent.com/u/85563303?s=40&v=4 + yingjingyang + https://github.com/yingjingyang - https://avatars.githubusercontent.com/u/179362713?s=40&v=4 - lisa-ibrahim - https://github.com/lisa-ibrahim + https://avatars.githubusercontent.com/u/41536742?s=40&v=4 + longdacao + https://github.com/longdacao - 0xPolygonHermez/zkevm-contracts - https://github.com/0xPolygonHermez/zkevm-contracts - Polygon zkEVM Smart Contracts - https://github.com/0xPolygonHermez/zkevm-contracts + transmissions11/solmate + https://github.com/transmissions11/solmate + Modern, opinionated, and gas optimized building blocks for smart contract development. + https://github.com/transmissions11/solmate Solidity #AA6746 - 278 - 314 - 5 + 3,952 + 654 + 41 - https://avatars.githubusercontent.com/u/32056120?s=40&v=4 - invocamanman - https://github.com/invocamanman + https://avatars.githubusercontent.com/u/26209401?s=40&v=4 + transmissions11 + https://github.com/transmissions11 - https://avatars.githubusercontent.com/u/44141767?s=40&v=4 - krlosMata - https://github.com/krlosMata + https://avatars.githubusercontent.com/u/31974730?s=40&v=4 + Joeysantoro + https://github.com/Joeysantoro - https://avatars.githubusercontent.com/u/94566827?s=40&v=4 - zkronos73 - https://github.com/zkronos73 + https://avatars.githubusercontent.com/u/92001561?s=40&v=4 + z0r0z + https://github.com/z0r0z - https://avatars.githubusercontent.com/u/22519382?s=40&v=4 - ignasirv - https://github.com/ignasirv + https://avatars.githubusercontent.com/u/31553173?s=40&v=4 + brockelmore + https://github.com/brockelmore - https://avatars.githubusercontent.com/u/37299818?s=40&v=4 - laisolizq - https://github.com/laisolizq + https://avatars.githubusercontent.com/u/5889274?s=40&v=4 + Vectorized + https://github.com/Vectorized - Dapp-Learning-DAO/Dapp-Learning - https://github.com/Dapp-Learning-DAO/Dapp-Learning - Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization. - https://github.com/Dapp-Learning-DAO/Dapp-Learning + coredao-org/Build-On-Core + https://github.com/coredao-org/Build-On-Core + This repository showcases projects and solutions developed during hackathons, workshops, and related events and other Builder Programs. + https://github.com/coredao-org/Build-On-Core Solidity #AA6746 - 5,202 - 1,330 - 73 + 121 + 199 + 52 - https://avatars.githubusercontent.com/u/67732512?s=40&v=4 - liberhe - https://github.com/liberhe + https://avatars.githubusercontent.com/u/35759187?s=40&v=4 + RumeelHussain + https://github.com/RumeelHussain - https://avatars.githubusercontent.com/u/19644290?s=40&v=4 - 0x-stan - https://github.com/0x-stan + https://avatars.githubusercontent.com/u/56241351?s=40&v=4 + Tee-py + https://github.com/Tee-py - https://avatars.githubusercontent.com/u/12482906?s=40&v=4 - yanyanho - https://github.com/yanyanho + https://avatars.githubusercontent.com/u/27718680?s=40&v=4 + yaairnaavaa + https://github.com/yaairnaavaa - https://avatars.githubusercontent.com/u/85563303?s=40&v=4 - yingjingyang - https://github.com/yingjingyang + https://avatars.githubusercontent.com/u/74715881?s=40&v=4 + kunaldhongade + https://github.com/kunaldhongade - https://avatars.githubusercontent.com/u/41536742?s=40&v=4 - longdacao - https://github.com/longdacao + https://avatars.githubusercontent.com/u/179362713?s=40&v=4 + lisa-ibrahim + https://github.com/lisa-ibrahim @@ -646,40 +608,40 @@ - coinbase/smart-wallet - https://github.com/coinbase/smart-wallet - - https://github.com/coinbase/smart-wallet + 0xPolygonHermez/zkevm-contracts + https://github.com/0xPolygonHermez/zkevm-contracts + Polygon zkEVM Smart Contracts + https://github.com/0xPolygonHermez/zkevm-contracts Solidity #AA6746 - 367 - 78 - 26 + 278 + 314 + 5 - https://avatars.githubusercontent.com/u/6678357?s=40&v=4 - wilsoncusack - https://github.com/wilsoncusack + https://avatars.githubusercontent.com/u/32056120?s=40&v=4 + invocamanman + https://github.com/invocamanman - https://avatars.githubusercontent.com/u/84420280?s=40&v=4 - stevieraykatz - https://github.com/stevieraykatz + https://avatars.githubusercontent.com/u/44141767?s=40&v=4 + krlosMata + https://github.com/krlosMata - https://avatars.githubusercontent.com/u/33523487?s=40&v=4 - xenoliss - https://github.com/xenoliss + https://avatars.githubusercontent.com/u/94566827?s=40&v=4 + zkronos73 + https://github.com/zkronos73 - https://avatars.githubusercontent.com/u/58052996?s=40&v=4 - RedLikeRosesss - https://github.com/RedLikeRosesss + https://avatars.githubusercontent.com/u/22519382?s=40&v=4 + ignasirv + https://github.com/ignasirv - https://avatars.githubusercontent.com/u/30870580?s=40&v=4 - pegahcarter - https://github.com/pegahcarter + https://avatars.githubusercontent.com/u/37299818?s=40&v=4 + laisolizq + https://github.com/laisolizq @@ -690,7 +652,7 @@ https://github.com/GNSPS/solidity-bytes-utils Solidity #AA6746 - 514 + 515 111 6 @@ -721,6 +683,39 @@ + + Uniswap/v2-periphery + https://github.com/Uniswap/v2-periphery + 🎚 Peripheral smart contracts for interacting with Uniswap V2 + https://github.com/Uniswap/v2-periphery + Solidity + #AA6746 + 1,132 + 1,687 + 15 + + + https://avatars.githubusercontent.com/u/3760414?s=40&v=4 + NoahZinsmeister + https://github.com/NoahZinsmeister + + + https://avatars.githubusercontent.com/u/7897876?s=40&v=4 + moodysalem + https://github.com/moodysalem + + + https://avatars.githubusercontent.com/u/9343483?s=40&v=4 + haydenadams + https://github.com/haydenadams + + + https://avatars.githubusercontent.com/u/40770586?s=40&v=4 + marktoda + https://github.com/marktoda + + + liquity/bold https://github.com/liquity/bold diff --git a/data/monthly/soong.json b/data/monthly/soong.json index 58c30859fe0d..5cce00c3b19d 100644 --- a/data/monthly/soong.json +++ b/data/monthly/soong.json @@ -2,6 +2,6 @@ "title": "GitHub Soong Languages Monthly Trending", "description": "Monthly Trending of Soong Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/soong.xml b/data/monthly/soong.xml index abf076f1080a..d4ffd2c18c9f 100644 --- a/data/monthly/soong.xml +++ b/data/monthly/soong.xml @@ -3,6 +3,6 @@ GitHub Soong Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Soong Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sourcepawn.json b/data/monthly/sourcepawn.json index f615383db6dc..61a6158c2cbe 100644 --- a/data/monthly/sourcepawn.json +++ b/data/monthly/sourcepawn.json @@ -2,6 +2,6 @@ "title": "GitHub Sourcepawn Languages Monthly Trending", "description": "Monthly Trending of Sourcepawn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sourcepawn.xml b/data/monthly/sourcepawn.xml index a8cd72de0251..f8d812bf2339 100644 --- a/data/monthly/sourcepawn.xml +++ b/data/monthly/sourcepawn.xml @@ -3,6 +3,6 @@ GitHub Sourcepawn Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sourcepawn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sparql.json b/data/monthly/sparql.json index 9656cde3b993..b52a09c7b043 100644 --- a/data/monthly/sparql.json +++ b/data/monthly/sparql.json @@ -2,6 +2,6 @@ "title": "GitHub Sparql Languages Monthly Trending", "description": "Monthly Trending of Sparql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sparql.xml b/data/monthly/sparql.xml index ef06a5154367..5ec63c5643bb 100644 --- a/data/monthly/sparql.xml +++ b/data/monthly/sparql.xml @@ -3,6 +3,6 @@ GitHub Sparql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sparql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/spline-font-database.json b/data/monthly/spline-font-database.json index 7490942584fc..6bbb01182c86 100644 --- a/data/monthly/spline-font-database.json +++ b/data/monthly/spline-font-database.json @@ -2,6 +2,6 @@ "title": "GitHub Spline-font-database Languages Monthly Trending", "description": "Monthly Trending of Spline-font-database Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/spline-font-database.xml b/data/monthly/spline-font-database.xml index bf09edece2b6..153a11dedb44 100644 --- a/data/monthly/spline-font-database.xml +++ b/data/monthly/spline-font-database.xml @@ -3,6 +3,6 @@ GitHub Spline-font-database Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Spline-font-database Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sqf.json b/data/monthly/sqf.json index 7254a4e3397b..fdd3389fed75 100644 --- a/data/monthly/sqf.json +++ b/data/monthly/sqf.json @@ -2,6 +2,6 @@ "title": "GitHub Sqf Languages Monthly Trending", "description": "Monthly Trending of Sqf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sqf.xml b/data/monthly/sqf.xml index c97586a0e075..aa9fda8d9a40 100644 --- a/data/monthly/sqf.xml +++ b/data/monthly/sqf.xml @@ -3,6 +3,6 @@ GitHub Sqf Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sqf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sql.json b/data/monthly/sql.json index c15fe42d872d..1fb72f549af4 100644 --- a/data/monthly/sql.json +++ b/data/monthly/sql.json @@ -2,6 +2,6 @@ "title": "GitHub Sql Languages Monthly Trending", "description": "Monthly Trending of Sql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sql.xml b/data/monthly/sql.xml index c4aa80d0423c..8eb3b0494442 100644 --- a/data/monthly/sql.xml +++ b/data/monthly/sql.xml @@ -3,6 +3,6 @@ GitHub Sql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sqlpl.json b/data/monthly/sqlpl.json index 9f1ac16e8e0a..5f2017b38064 100644 --- a/data/monthly/sqlpl.json +++ b/data/monthly/sqlpl.json @@ -2,6 +2,6 @@ "title": "GitHub Sqlpl Languages Monthly Trending", "description": "Monthly Trending of Sqlpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sqlpl.xml b/data/monthly/sqlpl.xml index b8b529eb36db..4bad3cafa23f 100644 --- a/data/monthly/sqlpl.xml +++ b/data/monthly/sqlpl.xml @@ -3,6 +3,6 @@ GitHub Sqlpl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sqlpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/squirrel.json b/data/monthly/squirrel.json index 031d0f129aa3..fdc66cc36f81 100644 --- a/data/monthly/squirrel.json +++ b/data/monthly/squirrel.json @@ -2,6 +2,6 @@ "title": "GitHub Squirrel Languages Monthly Trending", "description": "Monthly Trending of Squirrel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/squirrel.xml b/data/monthly/squirrel.xml index 74ed06b07c50..55ab74734a79 100644 --- a/data/monthly/squirrel.xml +++ b/data/monthly/squirrel.xml @@ -3,6 +3,6 @@ GitHub Squirrel Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Squirrel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/srecode-template.json b/data/monthly/srecode-template.json index 51f690911eba..9270b55cfb96 100644 --- a/data/monthly/srecode-template.json +++ b/data/monthly/srecode-template.json @@ -2,6 +2,6 @@ "title": "GitHub Srecode-template Languages Monthly Trending", "description": "Monthly Trending of Srecode-template Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/srecode-template.xml b/data/monthly/srecode-template.xml index eb501ac33a85..db3782328411 100644 --- a/data/monthly/srecode-template.xml +++ b/data/monthly/srecode-template.xml @@ -3,6 +3,6 @@ GitHub Srecode-template Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Srecode-template Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ssh-config.json b/data/monthly/ssh-config.json index 72df41b1a44c..9963c95189a9 100644 --- a/data/monthly/ssh-config.json +++ b/data/monthly/ssh-config.json @@ -2,6 +2,6 @@ "title": "GitHub Ssh-config Languages Monthly Trending", "description": "Monthly Trending of Ssh-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ssh-config.xml b/data/monthly/ssh-config.xml index fcdf3122b102..6de07c9fc8c9 100644 --- a/data/monthly/ssh-config.xml +++ b/data/monthly/ssh-config.xml @@ -3,6 +3,6 @@ GitHub Ssh-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ssh-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/stan.json b/data/monthly/stan.json index 4cb16809e035..5097036a8291 100644 --- a/data/monthly/stan.json +++ b/data/monthly/stan.json @@ -2,6 +2,6 @@ "title": "GitHub Stan Languages Monthly Trending", "description": "Monthly Trending of Stan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/stan.xml b/data/monthly/stan.xml index c9f24d16f672..45869f8d6bef 100644 --- a/data/monthly/stan.xml +++ b/data/monthly/stan.xml @@ -3,6 +3,6 @@ GitHub Stan Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Stan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/standard-ml.json b/data/monthly/standard-ml.json index 2366a426cc8f..33ebaf68feba 100644 --- a/data/monthly/standard-ml.json +++ b/data/monthly/standard-ml.json @@ -2,6 +2,6 @@ "title": "GitHub Standard-ml Languages Monthly Trending", "description": "Monthly Trending of Standard-ml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/standard-ml.xml b/data/monthly/standard-ml.xml index 2d14e65887ae..95f0e728cdd7 100644 --- a/data/monthly/standard-ml.xml +++ b/data/monthly/standard-ml.xml @@ -3,6 +3,6 @@ GitHub Standard-ml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Standard-ml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/star.json b/data/monthly/star.json index 8143740fe745..0e3f84cc08b0 100644 --- a/data/monthly/star.json +++ b/data/monthly/star.json @@ -2,6 +2,6 @@ "title": "GitHub Star Languages Monthly Trending", "description": "Monthly Trending of Star Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/star.xml b/data/monthly/star.xml index b4c166432f43..91e997fd84b6 100644 --- a/data/monthly/star.xml +++ b/data/monthly/star.xml @@ -3,6 +3,6 @@ GitHub Star Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Star Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/starlark.json b/data/monthly/starlark.json index e746c7d37b6b..1b32092df092 100644 --- a/data/monthly/starlark.json +++ b/data/monthly/starlark.json @@ -2,7 +2,7 @@ "title": "GitHub Starlark Languages Monthly Trending", "description": "Monthly Trending of Starlark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "GoogleContainerTools/distroless", @@ -73,6 +73,43 @@ } ] }, + { + "title": "google/cel-spec", + "url": "https://github.com/google/cel-spec", + "description": "Common Expression Language -- specification and binary representation", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "2,923", + "forks": "226", + "addStars": "58", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/32469398?s=40&v=4", + "name": "JimLarson", + "url": "https://github.com/JimLarson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/483300?s=40&v=4", + "name": "TristonianJones", + "url": "https://github.com/TristonianJones" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/179320?s=40&v=4", + "name": "eobrain", + "url": "https://github.com/eobrain" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/997958?s=40&v=4", + "name": "jcking", + "url": "https://github.com/jcking" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2355949?s=40&v=4", + "name": "l46kok", + "url": "https://github.com/l46kok" + } + ] + }, { "title": "bazelbuild/rules_docker", "url": "https://github.com/bazelbuild/rules_docker", @@ -110,43 +147,6 @@ } ] }, - { - "title": "google/cel-spec", - "url": "https://github.com/google/cel-spec", - "description": "Common Expression Language -- specification and binary representation", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "2,922", - "forks": "226", - "addStars": "58", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/32469398?s=40&v=4", - "name": "JimLarson", - "url": "https://github.com/JimLarson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/483300?s=40&v=4", - "name": "TristonianJones", - "url": "https://github.com/TristonianJones" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/179320?s=40&v=4", - "name": "eobrain", - "url": "https://github.com/eobrain" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/997958?s=40&v=4", - "name": "jcking", - "url": "https://github.com/jcking" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2355949?s=40&v=4", - "name": "l46kok", - "url": "https://github.com/l46kok" - } - ] - }, { "title": "google/bazel-common", "url": "https://github.com/google/bazel-common", @@ -290,6 +290,38 @@ } ] }, + { + "title": "googleapis/api-common-protos", + "url": "https://github.com/googleapis/api-common-protos", + "description": "A standard library for use in specifying protocol buffer APIs.", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "252", + "forks": "64", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11670828?s=40&v=4", + "name": "ethanbao", + "url": "https://github.com/ethanbao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", + "name": "googleapis-publisher", + "url": "https://github.com/googleapis-publisher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4346?s=40&v=4", + "name": "lukesneeringer", + "url": "https://github.com/lukesneeringer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2960535?s=40&v=4", + "name": "software-dov", + "url": "https://github.com/software-dov" + } + ] + }, { "title": "pybind/pybind11_bazel", "url": "https://github.com/pybind/pybind11_bazel", @@ -328,34 +360,34 @@ ] }, { - "title": "googleapis/api-common-protos", - "url": "https://github.com/googleapis/api-common-protos", - "description": "A standard library for use in specifying protocol buffer APIs.", + "title": "aspect-build/bazel-examples", + "url": "https://github.com/aspect-build/bazel-examples", + "description": "Bazel examples", "language": "Starlark", "languageColor": "#76d275", - "stars": "252", - "forks": "64", - "addStars": "3", + "stars": "113", + "forks": "72", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11670828?s=40&v=4", - "name": "ethanbao", - "url": "https://github.com/ethanbao" + "avatar": "https://avatars.githubusercontent.com/u/520826?s=40&v=4", + "name": "gregmagolan", + "url": "https://github.com/gregmagolan" }, { - "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", - "name": "googleapis-publisher", - "url": "https://github.com/googleapis-publisher" + "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", + "name": "alexeagle", + "url": "https://github.com/alexeagle" }, { - "avatar": "https://avatars.githubusercontent.com/u/4346?s=40&v=4", - "name": "lukesneeringer", - "url": "https://github.com/lukesneeringer" + "avatar": "https://avatars.githubusercontent.com/u/89246?s=40&v=4", + "name": "jbedard", + "url": "https://github.com/jbedard" }, { - "avatar": "https://avatars.githubusercontent.com/u/2960535?s=40&v=4", - "name": "software-dov", - "url": "https://github.com/software-dov" + "avatar": "https://avatars.githubusercontent.com/u/8799?s=40&v=4", + "name": "kylecordes", + "url": "https://github.com/kylecordes" } ] }, @@ -396,43 +428,6 @@ } ] }, - { - "title": "bazelbuild/rules_apple", - "url": "https://github.com/bazelbuild/rules_apple", - "description": "Bazel rules to build apps for Apple platforms.", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "514", - "forks": "270", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", - "name": "keith", - "url": "https://github.com/keith" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/689198?s=40&v=4", - "name": "sergiocampama", - "url": "https://github.com/sergiocampama" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5279928?s=40&v=4", - "name": "thomasvl", - "url": "https://github.com/thomasvl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1062427?s=40&v=4", - "name": "allevato", - "url": "https://github.com/allevato" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/158658?s=40&v=4", - "name": "brentleyjones", - "url": "https://github.com/brentleyjones" - } - ] - }, { "title": "ethpandaops/ethereum-package", "url": "https://github.com/ethpandaops/ethereum-package", @@ -471,34 +466,39 @@ ] }, { - "title": "aspect-build/bazel-examples", - "url": "https://github.com/aspect-build/bazel-examples", - "description": "Bazel examples", + "title": "bazelbuild/rules_apple", + "url": "https://github.com/bazelbuild/rules_apple", + "description": "Bazel rules to build apps for Apple platforms.", "language": "Starlark", "languageColor": "#76d275", - "stars": "113", - "forks": "72", - "addStars": "6", + "stars": "514", + "forks": "270", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/520826?s=40&v=4", - "name": "gregmagolan", - "url": "https://github.com/gregmagolan" + "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", + "name": "keith", + "url": "https://github.com/keith" }, { - "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", - "name": "alexeagle", - "url": "https://github.com/alexeagle" + "avatar": "https://avatars.githubusercontent.com/u/689198?s=40&v=4", + "name": "sergiocampama", + "url": "https://github.com/sergiocampama" }, { - "avatar": "https://avatars.githubusercontent.com/u/89246?s=40&v=4", - "name": "jbedard", - "url": "https://github.com/jbedard" + "avatar": "https://avatars.githubusercontent.com/u/5279928?s=40&v=4", + "name": "thomasvl", + "url": "https://github.com/thomasvl" }, { - "avatar": "https://avatars.githubusercontent.com/u/8799?s=40&v=4", - "name": "kylecordes", - "url": "https://github.com/kylecordes" + "avatar": "https://avatars.githubusercontent.com/u/1062427?s=40&v=4", + "name": "allevato", + "url": "https://github.com/allevato" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/158658?s=40&v=4", + "name": "brentleyjones", + "url": "https://github.com/brentleyjones" } ] }, @@ -540,39 +540,39 @@ ] }, { - "title": "bazel-contrib/rules_jvm_external", - "url": "https://github.com/bazel-contrib/rules_jvm_external", - "description": "Bazel rules to resolve, fetch and export Maven artifacts", + "title": "bazelbuild/rules_rust", + "url": "https://github.com/bazelbuild/rules_rust", + "description": "Rust rules for Bazel", "language": "Starlark", "languageColor": "#76d275", - "stars": "337", - "forks": "256", - "addStars": "6", + "stars": "671", + "forks": "434", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/347918?s=40&v=4", - "name": "jin", - "url": "https://github.com/jin" + "avatar": "https://avatars.githubusercontent.com/u/26427366?s=40&v=4", + "name": "UebelAndre", + "url": "https://github.com/UebelAndre" }, { - "avatar": "https://avatars.githubusercontent.com/u/28229?s=40&v=4", - "name": "shs96c", - "url": "https://github.com/shs96c" + "avatar": "https://avatars.githubusercontent.com/u/1131704?s=40&v=4", + "name": "illicitonion", + "url": "https://github.com/illicitonion" }, { - "avatar": "https://avatars.githubusercontent.com/u/399602?s=40&v=4", - "name": "cheister", - "url": "https://github.com/cheister" + "avatar": "https://avatars.githubusercontent.com/u/814566?s=40&v=4", + "name": "hlopko", + "url": "https://github.com/hlopko" }, { - "avatar": "https://avatars.githubusercontent.com/u/4312191?s=40&v=4", - "name": "fmeum", - "url": "https://github.com/fmeum" + "avatar": "https://avatars.githubusercontent.com/u/3721087?s=40&v=4", + "name": "damienmg", + "url": "https://github.com/damienmg" }, { - "avatar": "https://avatars.githubusercontent.com/u/43091780?s=40&v=4", - "name": "utzcoz", - "url": "https://github.com/utzcoz" + "avatar": "https://avatars.githubusercontent.com/u/11149636?s=40&v=4", + "name": "scentini", + "url": "https://github.com/scentini" } ] }, @@ -614,103 +614,39 @@ ] }, { - "title": "rules-proto-grpc/rules_proto_grpc", - "url": "https://github.com/rules-proto-grpc/rules_proto_grpc", - "description": "Bazel rules for building Protobuf and gRPC code and libraries from proto_library targets", + "title": "0xPolygon/kurtosis-cdk", + "url": "https://github.com/0xPolygon/kurtosis-cdk", + "description": "A Kurtosis package that deploys a private, portable, and modular Polygon CDK devnet", "language": "Starlark", "languageColor": "#76d275", - "stars": "256", - "forks": "159", - "addStars": "3", + "stars": "53", + "forks": "76", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9061354?s=40&v=4", - "name": "aaliddell", - "url": "https://github.com/aaliddell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50580?s=40&v=4", - "name": "pcj", - "url": "https://github.com/pcj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5622403?s=40&v=4", - "name": "purkhusid", - "url": "https://github.com/purkhusid" + "avatar": "https://avatars.githubusercontent.com/u/429588?s=40&v=4", + "name": "praetoriansentry", + "url": "https://github.com/praetoriansentry" }, { - "avatar": "https://avatars.githubusercontent.com/u/126021?s=40&v=4", - "name": "ash2k", - "url": "https://github.com/ash2k" - } - ] - }, - { - "title": "bazelbuild/rules_rust", - "url": "https://github.com/bazelbuild/rules_rust", - "description": "Rust rules for Bazel", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "671", - "forks": "434", - "addStars": "11", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/26427366?s=40&v=4", - "name": "UebelAndre", - "url": "https://github.com/UebelAndre" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1131704?s=40&v=4", - "name": "illicitonion", - "url": "https://github.com/illicitonion" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/814566?s=40&v=4", - "name": "hlopko", - "url": "https://github.com/hlopko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3721087?s=40&v=4", - "name": "damienmg", - "url": "https://github.com/damienmg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11149636?s=40&v=4", - "name": "scentini", - "url": "https://github.com/scentini" - } - ] - }, - { - "title": "googleapis/googleapis", - "url": "https://github.com/googleapis/googleapis", - "description": "Public interface definitions of Google APIs.", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "7,637", - "forks": "2,339", - "addStars": "104", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", - "name": "googleapis-publisher", - "url": "https://github.com/googleapis-publisher" + "avatar": "https://avatars.githubusercontent.com/u/28714795?s=40&v=4", + "name": "leovct", + "url": "https://github.com/leovct" }, { - "avatar": "https://avatars.githubusercontent.com/u/1617025?s=40&v=4", - "name": "pongad", - "url": "https://github.com/pongad" + "avatar": "https://avatars.githubusercontent.com/u/100106211?s=40&v=4", + "name": "rebelArtists", + "url": "https://github.com/rebelArtists" }, { - "avatar": "https://avatars.githubusercontent.com/u/25352356?s=40&v=4", - "name": "neozwu", - "url": "https://github.com/neozwu" + "avatar": "https://avatars.githubusercontent.com/u/125336262?s=40&v=4", + "name": "jhkimqd", + "url": "https://github.com/jhkimqd" }, { - "avatar": "https://avatars.githubusercontent.com/u/14846209?s=40&v=4", - "name": "michaelbausor", - "url": "https://github.com/michaelbausor" + "avatar": "https://avatars.githubusercontent.com/u/47026?s=40&v=4", + "name": "vcastellm", + "url": "https://github.com/vcastellm" } ] } diff --git a/data/monthly/starlark.xml b/data/monthly/starlark.xml index 1e71f02da6f4..3900565ded30 100644 --- a/data/monthly/starlark.xml +++ b/data/monthly/starlark.xml @@ -3,7 +3,7 @@ GitHub Starlark Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Starlark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT GoogleContainerTools/distroless https://github.com/GoogleContainerTools/distroless @@ -75,6 +75,44 @@ + + google/cel-spec + https://github.com/google/cel-spec + Common Expression Language -- specification and binary representation + https://github.com/google/cel-spec + Starlark + #76d275 + 2,923 + 226 + 58 + + + https://avatars.githubusercontent.com/u/32469398?s=40&v=4 + JimLarson + https://github.com/JimLarson + + + https://avatars.githubusercontent.com/u/483300?s=40&v=4 + TristonianJones + https://github.com/TristonianJones + + + https://avatars.githubusercontent.com/u/179320?s=40&v=4 + eobrain + https://github.com/eobrain + + + https://avatars.githubusercontent.com/u/997958?s=40&v=4 + jcking + https://github.com/jcking + + + https://avatars.githubusercontent.com/u/2355949?s=40&v=4 + l46kok + https://github.com/l46kok + + + bazelbuild/rules_docker https://github.com/bazelbuild/rules_docker @@ -113,44 +151,6 @@ - - google/cel-spec - https://github.com/google/cel-spec - Common Expression Language -- specification and binary representation - https://github.com/google/cel-spec - Starlark - #76d275 - 2,922 - 226 - 58 - - - https://avatars.githubusercontent.com/u/32469398?s=40&v=4 - JimLarson - https://github.com/JimLarson - - - https://avatars.githubusercontent.com/u/483300?s=40&v=4 - TristonianJones - https://github.com/TristonianJones - - - https://avatars.githubusercontent.com/u/179320?s=40&v=4 - eobrain - https://github.com/eobrain - - - https://avatars.githubusercontent.com/u/997958?s=40&v=4 - jcking - https://github.com/jcking - - - https://avatars.githubusercontent.com/u/2355949?s=40&v=4 - l46kok - https://github.com/l46kok - - - google/bazel-common https://github.com/google/bazel-common @@ -298,6 +298,39 @@ + + googleapis/api-common-protos + https://github.com/googleapis/api-common-protos + A standard library for use in specifying protocol buffer APIs. + https://github.com/googleapis/api-common-protos + Starlark + #76d275 + 252 + 64 + 3 + + + https://avatars.githubusercontent.com/u/11670828?s=40&v=4 + ethanbao + https://github.com/ethanbao + + + https://avatars.githubusercontent.com/u/21183283?s=40&v=4 + googleapis-publisher + https://github.com/googleapis-publisher + + + https://avatars.githubusercontent.com/u/4346?s=40&v=4 + lukesneeringer + https://github.com/lukesneeringer + + + https://avatars.githubusercontent.com/u/2960535?s=40&v=4 + software-dov + https://github.com/software-dov + + + pybind/pybind11_bazel https://github.com/pybind/pybind11_bazel @@ -337,35 +370,35 @@ - googleapis/api-common-protos - https://github.com/googleapis/api-common-protos - A standard library for use in specifying protocol buffer APIs. - https://github.com/googleapis/api-common-protos + aspect-build/bazel-examples + https://github.com/aspect-build/bazel-examples + Bazel examples + https://github.com/aspect-build/bazel-examples Starlark #76d275 - 252 - 64 - 3 + 113 + 72 + 6 - https://avatars.githubusercontent.com/u/11670828?s=40&v=4 - ethanbao - https://github.com/ethanbao + https://avatars.githubusercontent.com/u/520826?s=40&v=4 + gregmagolan + https://github.com/gregmagolan - https://avatars.githubusercontent.com/u/21183283?s=40&v=4 - googleapis-publisher - https://github.com/googleapis-publisher + https://avatars.githubusercontent.com/u/47395?s=40&v=4 + alexeagle + https://github.com/alexeagle - https://avatars.githubusercontent.com/u/4346?s=40&v=4 - lukesneeringer - https://github.com/lukesneeringer + https://avatars.githubusercontent.com/u/89246?s=40&v=4 + jbedard + https://github.com/jbedard - https://avatars.githubusercontent.com/u/2960535?s=40&v=4 - software-dov - https://github.com/software-dov + https://avatars.githubusercontent.com/u/8799?s=40&v=4 + kylecordes + https://github.com/kylecordes @@ -407,44 +440,6 @@ - - bazelbuild/rules_apple - https://github.com/bazelbuild/rules_apple - Bazel rules to build apps for Apple platforms. - https://github.com/bazelbuild/rules_apple - Starlark - #76d275 - 514 - 270 - 8 - - - https://avatars.githubusercontent.com/u/283886?s=40&v=4 - keith - https://github.com/keith - - - https://avatars.githubusercontent.com/u/689198?s=40&v=4 - sergiocampama - https://github.com/sergiocampama - - - https://avatars.githubusercontent.com/u/5279928?s=40&v=4 - thomasvl - https://github.com/thomasvl - - - https://avatars.githubusercontent.com/u/1062427?s=40&v=4 - allevato - https://github.com/allevato - - - https://avatars.githubusercontent.com/u/158658?s=40&v=4 - brentleyjones - https://github.com/brentleyjones - - - ethpandaops/ethereum-package https://github.com/ethpandaops/ethereum-package @@ -484,35 +479,40 @@ - aspect-build/bazel-examples - https://github.com/aspect-build/bazel-examples - Bazel examples - https://github.com/aspect-build/bazel-examples + bazelbuild/rules_apple + https://github.com/bazelbuild/rules_apple + Bazel rules to build apps for Apple platforms. + https://github.com/bazelbuild/rules_apple Starlark #76d275 - 113 - 72 - 6 + 514 + 270 + 8 - https://avatars.githubusercontent.com/u/520826?s=40&v=4 - gregmagolan - https://github.com/gregmagolan + https://avatars.githubusercontent.com/u/283886?s=40&v=4 + keith + https://github.com/keith - https://avatars.githubusercontent.com/u/47395?s=40&v=4 - alexeagle - https://github.com/alexeagle + https://avatars.githubusercontent.com/u/689198?s=40&v=4 + sergiocampama + https://github.com/sergiocampama - https://avatars.githubusercontent.com/u/89246?s=40&v=4 - jbedard - https://github.com/jbedard + https://avatars.githubusercontent.com/u/5279928?s=40&v=4 + thomasvl + https://github.com/thomasvl - https://avatars.githubusercontent.com/u/8799?s=40&v=4 - kylecordes - https://github.com/kylecordes + https://avatars.githubusercontent.com/u/1062427?s=40&v=4 + allevato + https://github.com/allevato + + + https://avatars.githubusercontent.com/u/158658?s=40&v=4 + brentleyjones + https://github.com/brentleyjones @@ -555,40 +555,40 @@ - bazel-contrib/rules_jvm_external - https://github.com/bazel-contrib/rules_jvm_external - Bazel rules to resolve, fetch and export Maven artifacts - https://github.com/bazel-contrib/rules_jvm_external + bazelbuild/rules_rust + https://github.com/bazelbuild/rules_rust + Rust rules for Bazel + https://github.com/bazelbuild/rules_rust Starlark #76d275 - 337 - 256 - 6 + 671 + 434 + 11 - https://avatars.githubusercontent.com/u/347918?s=40&v=4 - jin - https://github.com/jin + https://avatars.githubusercontent.com/u/26427366?s=40&v=4 + UebelAndre + https://github.com/UebelAndre - https://avatars.githubusercontent.com/u/28229?s=40&v=4 - shs96c - https://github.com/shs96c + https://avatars.githubusercontent.com/u/1131704?s=40&v=4 + illicitonion + https://github.com/illicitonion - https://avatars.githubusercontent.com/u/399602?s=40&v=4 - cheister - https://github.com/cheister + https://avatars.githubusercontent.com/u/814566?s=40&v=4 + hlopko + https://github.com/hlopko - https://avatars.githubusercontent.com/u/4312191?s=40&v=4 - fmeum - https://github.com/fmeum + https://avatars.githubusercontent.com/u/3721087?s=40&v=4 + damienmg + https://github.com/damienmg - https://avatars.githubusercontent.com/u/43091780?s=40&v=4 - utzcoz - https://github.com/utzcoz + https://avatars.githubusercontent.com/u/11149636?s=40&v=4 + scentini + https://github.com/scentini @@ -631,106 +631,40 @@ - rules-proto-grpc/rules_proto_grpc - https://github.com/rules-proto-grpc/rules_proto_grpc - Bazel rules for building Protobuf and gRPC code and libraries from proto_library targets - https://github.com/rules-proto-grpc/rules_proto_grpc + 0xPolygon/kurtosis-cdk + https://github.com/0xPolygon/kurtosis-cdk + A Kurtosis package that deploys a private, portable, and modular Polygon CDK devnet + https://github.com/0xPolygon/kurtosis-cdk Starlark #76d275 - 256 - 159 - 3 + 53 + 76 + 9 - https://avatars.githubusercontent.com/u/9061354?s=40&v=4 - aaliddell - https://github.com/aaliddell - - - https://avatars.githubusercontent.com/u/50580?s=40&v=4 - pcj - https://github.com/pcj - - - https://avatars.githubusercontent.com/u/5622403?s=40&v=4 - purkhusid - https://github.com/purkhusid + https://avatars.githubusercontent.com/u/429588?s=40&v=4 + praetoriansentry + https://github.com/praetoriansentry - https://avatars.githubusercontent.com/u/126021?s=40&v=4 - ash2k - https://github.com/ash2k - - - - - bazelbuild/rules_rust - https://github.com/bazelbuild/rules_rust - Rust rules for Bazel - https://github.com/bazelbuild/rules_rust - Starlark - #76d275 - 671 - 434 - 11 - - - https://avatars.githubusercontent.com/u/26427366?s=40&v=4 - UebelAndre - https://github.com/UebelAndre - - - https://avatars.githubusercontent.com/u/1131704?s=40&v=4 - illicitonion - https://github.com/illicitonion - - - https://avatars.githubusercontent.com/u/814566?s=40&v=4 - hlopko - https://github.com/hlopko - - - https://avatars.githubusercontent.com/u/3721087?s=40&v=4 - damienmg - https://github.com/damienmg - - - https://avatars.githubusercontent.com/u/11149636?s=40&v=4 - scentini - https://github.com/scentini - - - - - googleapis/googleapis - https://github.com/googleapis/googleapis - Public interface definitions of Google APIs. - https://github.com/googleapis/googleapis - Starlark - #76d275 - 7,637 - 2,339 - 104 - - - https://avatars.githubusercontent.com/u/21183283?s=40&v=4 - googleapis-publisher - https://github.com/googleapis-publisher + https://avatars.githubusercontent.com/u/28714795?s=40&v=4 + leovct + https://github.com/leovct - https://avatars.githubusercontent.com/u/1617025?s=40&v=4 - pongad - https://github.com/pongad + https://avatars.githubusercontent.com/u/100106211?s=40&v=4 + rebelArtists + https://github.com/rebelArtists - https://avatars.githubusercontent.com/u/25352356?s=40&v=4 - neozwu - https://github.com/neozwu + https://avatars.githubusercontent.com/u/125336262?s=40&v=4 + jhkimqd + https://github.com/jhkimqd - https://avatars.githubusercontent.com/u/14846209?s=40&v=4 - michaelbausor - https://github.com/michaelbausor + https://avatars.githubusercontent.com/u/47026?s=40&v=4 + vcastellm + https://github.com/vcastellm diff --git a/data/monthly/stata.json b/data/monthly/stata.json index f1362eca32f4..ce90ae49504e 100644 --- a/data/monthly/stata.json +++ b/data/monthly/stata.json @@ -2,6 +2,6 @@ "title": "GitHub Stata Languages Monthly Trending", "description": "Monthly Trending of Stata Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/stata.xml b/data/monthly/stata.xml index 5b413a7519ca..f4edbe4696ab 100644 --- a/data/monthly/stata.xml +++ b/data/monthly/stata.xml @@ -3,6 +3,6 @@ GitHub Stata Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Stata Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/stl.json b/data/monthly/stl.json index 09cceb4d82b8..448d91131d87 100644 --- a/data/monthly/stl.json +++ b/data/monthly/stl.json @@ -2,6 +2,6 @@ "title": "GitHub Stl Languages Monthly Trending", "description": "Monthly Trending of Stl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/stl.xml b/data/monthly/stl.xml index be230b0cde0f..669cb5a53a43 100644 --- a/data/monthly/stl.xml +++ b/data/monthly/stl.xml @@ -3,6 +3,6 @@ GitHub Stl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Stl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/ston.json b/data/monthly/ston.json index 53399a59b6b5..7eda8b333c9c 100644 --- a/data/monthly/ston.json +++ b/data/monthly/ston.json @@ -2,6 +2,6 @@ "title": "GitHub Ston Languages Monthly Trending", "description": "Monthly Trending of Ston Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ston.xml b/data/monthly/ston.xml index 86e4de6b630e..fd8508c4c74a 100644 --- a/data/monthly/ston.xml +++ b/data/monthly/ston.xml @@ -3,6 +3,6 @@ GitHub Ston Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ston Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/stringtemplate.json b/data/monthly/stringtemplate.json index 72931c2aba15..027b599438ac 100644 --- a/data/monthly/stringtemplate.json +++ b/data/monthly/stringtemplate.json @@ -2,6 +2,6 @@ "title": "GitHub Stringtemplate Languages Monthly Trending", "description": "Monthly Trending of Stringtemplate Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/stringtemplate.xml b/data/monthly/stringtemplate.xml index 5712adf42c08..674391e0a37c 100644 --- a/data/monthly/stringtemplate.xml +++ b/data/monthly/stringtemplate.xml @@ -3,6 +3,6 @@ GitHub Stringtemplate Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Stringtemplate Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/stylus.json b/data/monthly/stylus.json index aee608351808..65de7cdd0bd3 100644 --- a/data/monthly/stylus.json +++ b/data/monthly/stylus.json @@ -2,7 +2,7 @@ "title": "GitHub Stylus Languages Monthly Trending", "description": "Monthly Trending of Stylus Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "anzhiyu-c/hexo-theme-anzhiyu", @@ -10,8 +10,8 @@ "description": "安知鱼主题,这是一个简洁美丽的hexo主题。", "language": "Stylus", "languageColor": "#ff6347", - "stars": "1,553", - "forks": "229", + "stars": "1,554", + "forks": "230", "addStars": "164", "contributors": [ { @@ -47,7 +47,7 @@ "description": "🌵 A responsive, clean and simple theme for Hexo.", "language": "Stylus", "languageColor": "#ff6347", - "stars": "3,211", + "stars": "3,213", "forks": "785", "addStars": "44", "contributors": [ @@ -84,7 +84,7 @@ "description": "Elegant and powerful theme for Hexo.", "language": "Stylus", "languageColor": "#ff6347", - "stars": "8,169", + "stars": "8,170", "forks": "2,051", "addStars": "20", "contributors": [ diff --git a/data/monthly/stylus.xml b/data/monthly/stylus.xml index 3d872ca3c37c..6af2d275d718 100644 --- a/data/monthly/stylus.xml +++ b/data/monthly/stylus.xml @@ -3,7 +3,7 @@ GitHub Stylus Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Stylus Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT anzhiyu-c/hexo-theme-anzhiyu https://github.com/anzhiyu-c/hexo-theme-anzhiyu @@ -11,8 +11,8 @@ https://github.com/anzhiyu-c/hexo-theme-anzhiyu Stylus #ff6347 - 1,553 - 229 + 1,554 + 230 164 @@ -49,7 +49,7 @@ https://github.com/probberechts/hexo-theme-cactus Stylus #ff6347 - 3,211 + 3,213 785 44 @@ -87,7 +87,7 @@ https://github.com/theme-next/hexo-theme-next Stylus #ff6347 - 8,169 + 8,170 2,051 20 diff --git a/data/monthly/subrip-text.json b/data/monthly/subrip-text.json index 16d4b385b5f9..68e27a0432b6 100644 --- a/data/monthly/subrip-text.json +++ b/data/monthly/subrip-text.json @@ -2,6 +2,6 @@ "title": "GitHub Subrip-text Languages Monthly Trending", "description": "Monthly Trending of Subrip-text Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/subrip-text.xml b/data/monthly/subrip-text.xml index 9bc58eced29c..40d890eae23b 100644 --- a/data/monthly/subrip-text.xml +++ b/data/monthly/subrip-text.xml @@ -3,6 +3,6 @@ GitHub Subrip-text Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Subrip-text Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sugarss.json b/data/monthly/sugarss.json index 3065d29f134e..a88c18fe795d 100644 --- a/data/monthly/sugarss.json +++ b/data/monthly/sugarss.json @@ -2,6 +2,6 @@ "title": "GitHub Sugarss Languages Monthly Trending", "description": "Monthly Trending of Sugarss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sugarss.xml b/data/monthly/sugarss.xml index e440770de385..79a34a51442d 100644 --- a/data/monthly/sugarss.xml +++ b/data/monthly/sugarss.xml @@ -3,6 +3,6 @@ GitHub Sugarss Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sugarss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/supercollider.json b/data/monthly/supercollider.json index 408663741382..854e4fa8a1bf 100644 --- a/data/monthly/supercollider.json +++ b/data/monthly/supercollider.json @@ -2,6 +2,6 @@ "title": "GitHub Supercollider Languages Monthly Trending", "description": "Monthly Trending of Supercollider Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/supercollider.xml b/data/monthly/supercollider.xml index 83d440d0055a..e8e32e56f3c7 100644 --- a/data/monthly/supercollider.xml +++ b/data/monthly/supercollider.xml @@ -3,6 +3,6 @@ GitHub Supercollider Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Supercollider Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/svelte.json b/data/monthly/svelte.json index 7c81d225a6ac..974f86464b86 100644 --- a/data/monthly/svelte.json +++ b/data/monthly/svelte.json @@ -2,7 +2,7 @@ "title": "GitHub Svelte Languages Monthly Trending", "description": "Monthly Trending of Svelte Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "imputnet/cobalt", @@ -10,8 +10,8 @@ "description": "best way to save what you love", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "17,394", - "forks": "1,424", + "stars": "17,403", + "forks": "1,425", "addStars": "1,837", "contributors": [ { @@ -79,8 +79,8 @@ "description": "Virtual Machine for the Web", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "10,328", - "forks": "1,546", + "stars": "10,345", + "forks": "1,552", "addStars": "5,458", "contributors": [ { @@ -116,7 +116,7 @@ "description": "A complete design system and component solution, built on Tailwind.", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "5,154", + "stars": "5,156", "forks": "322", "addStars": "209", "contributors": [ @@ -153,7 +153,7 @@ "description": "🌼 🌼 🌼 🌼 🌼  The most popular, free and open-source Tailwind CSS component library", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "34,174", + "stars": "34,179", "forks": "1,306", "addStars": "517", "contributors": [ @@ -221,6 +221,33 @@ } ] }, + { + "title": "sbondCo/Watcharr", + "url": "https://github.com/sbondCo/Watcharr", + "description": "Open source, self-hostable watched list for all your content (movies, tv series, anime, games) with user authentication, modern and clean UI and a very simple setup.", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "532", + "forks": "22", + "addStars": "84", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/37304121?s=40&v=4", + "name": "IRHM", + "url": "https://github.com/IRHM" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/64165327?s=40&v=4", + "name": "iamericfletcher", + "url": "https://github.com/iamericfletcher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/57157278?s=40&v=4", + "name": "stephaje", + "url": "https://github.com/stephaje" + } + ] + }, { "title": "sveltejs/svelte.dev", "url": "https://github.com/sveltejs/svelte.dev", @@ -228,7 +255,7 @@ "language": "Svelte", "languageColor": "#ff3e00", "stars": "111", - "forks": "68", + "forks": "69", "addStars": "45", "contributors": [ { @@ -253,33 +280,6 @@ } ] }, - { - "title": "sbondCo/Watcharr", - "url": "https://github.com/sbondCo/Watcharr", - "description": "Open source, self-hostable watched list for all your content (movies, tv series, anime, games) with user authentication, modern and clean UI and a very simple setup.", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "532", - "forks": "22", - "addStars": "84", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/37304121?s=40&v=4", - "name": "IRHM", - "url": "https://github.com/IRHM" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/64165327?s=40&v=4", - "name": "iamericfletcher", - "url": "https://github.com/iamericfletcher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/57157278?s=40&v=4", - "name": "stephaje", - "url": "https://github.com/stephaje" - } - ] - }, { "title": "svecosystem/runed", "url": "https://github.com/svecosystem/runed", @@ -312,6 +312,38 @@ } ] }, + { + "title": "sveltejs/realworld", + "url": "https://github.com/sveltejs/realworld", + "description": "SvelteKit implementation of the RealWorld app", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "2,256", + "forks": "351", + "addStars": "21", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1162160?s=40&v=4", + "name": "Rich-Harris", + "url": "https://github.com/Rich-Harris" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/322311?s=40&v=4", + "name": "benmccann", + "url": "https://github.com/benmccann" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/556934?s=40&v=4", + "name": "EricSimons", + "url": "https://github.com/EricSimons" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12937446?s=40&v=4", + "name": "pngwn", + "url": "https://github.com/pngwn" + } + ] + }, { "title": "snoww/loa-logs", "url": "https://github.com/snoww/loa-logs", @@ -350,39 +382,39 @@ ] }, { - "title": "themesberg/flowbite-svelte", - "url": "https://github.com/themesberg/flowbite-svelte", - "description": "Official Svelte components built for Flowbite and Tailwind CSS", + "title": "taikoxyz/taiko-mono", + "url": "https://github.com/taikoxyz/taiko-mono", + "description": "A based rollup. 🥁 🌸", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "2,239", - "forks": "273", - "addStars": "72", + "stars": "4,543", + "forks": "2,176", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/147320?s=40&v=4", - "name": "shinokada", - "url": "https://github.com/shinokada" + "avatar": "https://avatars.githubusercontent.com/u/99078276?s=40&v=4", + "name": "dantaik", + "url": "https://github.com/dantaik" }, { - "avatar": "https://avatars.githubusercontent.com/u/6282254?s=40&v=4", - "name": "jjagielka", - "url": "https://github.com/jjagielka" + "avatar": "https://avatars.githubusercontent.com/u/104078303?s=40&v=4", + "name": "davidtaikocha", + "url": "https://github.com/davidtaikocha" }, { - "avatar": "https://avatars.githubusercontent.com/u/8052108?s=40&v=4", - "name": "zoltanszogyenyi", - "url": "https://github.com/zoltanszogyenyi" + "avatar": "https://avatars.githubusercontent.com/u/13951458?s=40&v=4", + "name": "dionysuzx", + "url": "https://github.com/dionysuzx" }, { - "avatar": "https://avatars.githubusercontent.com/u/52085?s=40&v=4", - "name": "orefalo", - "url": "https://github.com/orefalo" + "avatar": "https://avatars.githubusercontent.com/u/113397187?s=40&v=4", + "name": "cyberhorsey", + "url": "https://github.com/cyberhorsey" }, { - "avatar": "https://avatars.githubusercontent.com/u/25318236?s=40&v=4", - "name": "RyanZhiNie", - "url": "https://github.com/RyanZhiNie" + "avatar": "https://avatars.githubusercontent.com/u/5267230?s=40&v=4", + "name": "KorbinianK", + "url": "https://github.com/KorbinianK" } ] }, @@ -414,71 +446,108 @@ ] }, { - "title": "sveltejs/realworld", - "url": "https://github.com/sveltejs/realworld", - "description": "SvelteKit implementation of the RealWorld app", + "title": "dbgate/dbgate", + "url": "https://github.com/dbgate/dbgate", + "description": "Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "2,256", - "forks": "351", - "addStars": "21", + "stars": "5,478", + "forks": "321", + "addStars": "142", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1162160?s=40&v=4", - "name": "Rich-Harris", - "url": "https://github.com/Rich-Harris" + "avatar": "https://avatars.githubusercontent.com/u/2543891?s=40&v=4", + "name": "janproch", + "url": "https://github.com/janproch" }, { - "avatar": "https://avatars.githubusercontent.com/u/322311?s=40&v=4", - "name": "benmccann", - "url": "https://github.com/benmccann" + "avatar": "https://avatars.githubusercontent.com/u/593870?s=40&v=4", + "name": "ProjectInfinity", + "url": "https://github.com/ProjectInfinity" }, { - "avatar": "https://avatars.githubusercontent.com/u/556934?s=40&v=4", - "name": "EricSimons", - "url": "https://github.com/EricSimons" + "avatar": "https://avatars.githubusercontent.com/u/10557728?s=40&v=4", + "name": "Bare7a", + "url": "https://github.com/Bare7a" }, { - "avatar": "https://avatars.githubusercontent.com/u/12937446?s=40&v=4", - "name": "pngwn", - "url": "https://github.com/pngwn" + "avatar": "https://avatars.githubusercontent.com/u/40572953?s=40&v=4", + "name": "KKishikawa", + "url": "https://github.com/KKishikawa" } ] }, { - "title": "taikoxyz/taiko-mono", - "url": "https://github.com/taikoxyz/taiko-mono", - "description": "A based rollup. 🥁 🌸", + "title": "ReVanced/revanced-website", + "url": "https://github.com/ReVanced/revanced-website", + "description": "🌐 Website for ReVanced", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "4,542", - "forks": "2,175", - "addStars": "16", + "stars": "313", + "forks": "42", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/99078276?s=40&v=4", - "name": "dantaik", - "url": "https://github.com/dantaik" + "avatar": "https://avatars.githubusercontent.com/u/47723802?s=40&v=4", + "name": "xafn", + "url": "https://github.com/xafn" }, { - "avatar": "https://avatars.githubusercontent.com/u/104078303?s=40&v=4", - "name": "davidtaikocha", - "url": "https://github.com/davidtaikocha" + "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", + "name": "oSumAtrIX", + "url": "https://github.com/oSumAtrIX" }, { - "avatar": "https://avatars.githubusercontent.com/u/13951458?s=40&v=4", - "name": "dionysuzx", - "url": "https://github.com/dionysuzx" + "avatar": "https://avatars.githubusercontent.com/u/79272171?s=40&v=4", + "name": "Ushie", + "url": "https://github.com/Ushie" }, { - "avatar": "https://avatars.githubusercontent.com/u/113397187?s=40&v=4", - "name": "cyberhorsey", - "url": "https://github.com/cyberhorsey" + "avatar": "https://avatars.githubusercontent.com/u/28486288?s=40&v=4", + "name": "Axelen123", + "url": "https://github.com/Axelen123" }, { - "avatar": "https://avatars.githubusercontent.com/u/5267230?s=40&v=4", - "name": "KorbinianK", - "url": "https://github.com/KorbinianK" + "avatar": "https://avatars.githubusercontent.com/u/10727862?s=40&v=4", + "name": "KTibow", + "url": "https://github.com/KTibow" + } + ] + }, + { + "title": "themesberg/flowbite-svelte", + "url": "https://github.com/themesberg/flowbite-svelte", + "description": "Official Svelte components built for Flowbite and Tailwind CSS", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "2,240", + "forks": "273", + "addStars": "72", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/147320?s=40&v=4", + "name": "shinokada", + "url": "https://github.com/shinokada" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6282254?s=40&v=4", + "name": "jjagielka", + "url": "https://github.com/jjagielka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8052108?s=40&v=4", + "name": "zoltanszogyenyi", + "url": "https://github.com/zoltanszogyenyi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52085?s=40&v=4", + "name": "orefalo", + "url": "https://github.com/orefalo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25318236?s=40&v=4", + "name": "RyanZhiNie", + "url": "https://github.com/RyanZhiNie" } ] }, @@ -488,7 +557,7 @@ "description": "Web application providing an intuitive user experience to databases.", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "2,424", + "stars": "2,423", "forks": "336", "addStars": "43", "contributors": [ @@ -519,43 +588,6 @@ } ] }, - { - "title": "ReVanced/revanced-website", - "url": "https://github.com/ReVanced/revanced-website", - "description": "🌐 Website for ReVanced", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "313", - "forks": "42", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/47723802?s=40&v=4", - "name": "xafn", - "url": "https://github.com/xafn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", - "name": "oSumAtrIX", - "url": "https://github.com/oSumAtrIX" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79272171?s=40&v=4", - "name": "Ushie", - "url": "https://github.com/Ushie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28486288?s=40&v=4", - "name": "Axelen123", - "url": "https://github.com/Axelen123" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10727862?s=40&v=4", - "name": "KTibow", - "url": "https://github.com/KTibow" - } - ] - }, { "title": "PuruVJ/macos-web", "url": "https://github.com/PuruVJ/macos-web", @@ -593,38 +625,6 @@ } ] }, - { - "title": "dbgate/dbgate", - "url": "https://github.com/dbgate/dbgate", - "description": "Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "5,467", - "forks": "321", - "addStars": "142", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2543891?s=40&v=4", - "name": "janproch", - "url": "https://github.com/janproch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/593870?s=40&v=4", - "name": "ProjectInfinity", - "url": "https://github.com/ProjectInfinity" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10557728?s=40&v=4", - "name": "Bare7a", - "url": "https://github.com/Bare7a" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40572953?s=40&v=4", - "name": "KKishikawa", - "url": "https://github.com/KKishikawa" - } - ] - }, { "title": "Muhammed-Rahif/Notpad", "url": "https://github.com/Muhammed-Rahif/Notpad", @@ -657,6 +657,75 @@ } ] }, + { + "title": "SOH69/mm_radio", + "url": "https://github.com/SOH69/mm_radio", + "description": "A Radio Script for Fivem Server", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "114", + "forks": "44", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/70486873?s=40&v=4", + "name": "SOH69", + "url": "https://github.com/SOH69" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/55808925?s=40&v=4", + "name": "ARSSANTO", + "url": "https://github.com/ARSSANTO" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2725562?s=40&v=4", + "name": "d56Wr", + "url": "https://github.com/d56Wr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13854126?s=40&v=4", + "name": "1nexis", + "url": "https://github.com/1nexis" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16170502?s=40&v=4", + "name": "burnoutprojects", + "url": "https://github.com/burnoutprojects" + } + ] + }, + { + "title": "codicocodes/dotfyle", + "url": "https://github.com/codicocodes/dotfyle", + "description": "Find the best Neovim plugins", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "749", + "forks": "32", + "addStars": "42", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/76068197?s=40&v=4", + "name": "codicocodes", + "url": "https://github.com/codicocodes" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1466420?s=40&v=4", + "name": "bennypowers", + "url": "https://github.com/bennypowers" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24854248?s=40&v=4", + "name": "echasnovski", + "url": "https://github.com/echasnovski" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32055974?s=40&v=4", + "name": "neolooong", + "url": "https://github.com/neolooong" + } + ] + }, { "title": "appwrite/website", "url": "https://github.com/appwrite/website", @@ -693,43 +762,6 @@ "url": "https://github.com/LauraDuRy" } ] - }, - { - "title": "SOH69/mm_radio", - "url": "https://github.com/SOH69/mm_radio", - "description": "A Radio Script for Fivem Server", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "113", - "forks": "44", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/70486873?s=40&v=4", - "name": "SOH69", - "url": "https://github.com/SOH69" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55808925?s=40&v=4", - "name": "ARSSANTO", - "url": "https://github.com/ARSSANTO" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2725562?s=40&v=4", - "name": "d56Wr", - "url": "https://github.com/d56Wr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13854126?s=40&v=4", - "name": "1nexis", - "url": "https://github.com/1nexis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16170502?s=40&v=4", - "name": "burnoutprojects", - "url": "https://github.com/burnoutprojects" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/svelte.xml b/data/monthly/svelte.xml index fa87bff246d2..16ca0b3f4dd7 100644 --- a/data/monthly/svelte.xml +++ b/data/monthly/svelte.xml @@ -3,7 +3,7 @@ GitHub Svelte Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Svelte Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT imputnet/cobalt https://github.com/imputnet/cobalt @@ -11,8 +11,8 @@ https://github.com/imputnet/cobalt Svelte #ff3e00 - 17,394 - 1,424 + 17,403 + 1,425 1,837 @@ -82,8 +82,8 @@ https://github.com/leaningtech/webvm Svelte #ff3e00 - 10,328 - 1,546 + 10,345 + 1,552 5,458 @@ -120,7 +120,7 @@ https://github.com/skeletonlabs/skeleton Svelte #ff3e00 - 5,154 + 5,156 322 209 @@ -158,7 +158,7 @@ https://github.com/saadeghi/daisyui Svelte #ff3e00 - 34,174 + 34,179 1,306 517 @@ -227,6 +227,34 @@ + + sbondCo/Watcharr + https://github.com/sbondCo/Watcharr + Open source, self-hostable watched list for all your content (movies, tv series, anime, games) with user authentication, modern and clean UI and a very simple setup. + https://github.com/sbondCo/Watcharr + Svelte + #ff3e00 + 532 + 22 + 84 + + + https://avatars.githubusercontent.com/u/37304121?s=40&v=4 + IRHM + https://github.com/IRHM + + + https://avatars.githubusercontent.com/u/64165327?s=40&v=4 + iamericfletcher + https://github.com/iamericfletcher + + + https://avatars.githubusercontent.com/u/57157278?s=40&v=4 + stephaje + https://github.com/stephaje + + + sveltejs/svelte.dev https://github.com/sveltejs/svelte.dev @@ -235,7 +263,7 @@ Svelte #ff3e00 111 - 68 + 69 45 @@ -260,34 +288,6 @@ - - sbondCo/Watcharr - https://github.com/sbondCo/Watcharr - Open source, self-hostable watched list for all your content (movies, tv series, anime, games) with user authentication, modern and clean UI and a very simple setup. - https://github.com/sbondCo/Watcharr - Svelte - #ff3e00 - 532 - 22 - 84 - - - https://avatars.githubusercontent.com/u/37304121?s=40&v=4 - IRHM - https://github.com/IRHM - - - https://avatars.githubusercontent.com/u/64165327?s=40&v=4 - iamericfletcher - https://github.com/iamericfletcher - - - https://avatars.githubusercontent.com/u/57157278?s=40&v=4 - stephaje - https://github.com/stephaje - - - svecosystem/runed https://github.com/svecosystem/runed @@ -321,6 +321,39 @@ + + sveltejs/realworld + https://github.com/sveltejs/realworld + SvelteKit implementation of the RealWorld app + https://github.com/sveltejs/realworld + Svelte + #ff3e00 + 2,256 + 351 + 21 + + + https://avatars.githubusercontent.com/u/1162160?s=40&v=4 + Rich-Harris + https://github.com/Rich-Harris + + + https://avatars.githubusercontent.com/u/322311?s=40&v=4 + benmccann + https://github.com/benmccann + + + https://avatars.githubusercontent.com/u/556934?s=40&v=4 + EricSimons + https://github.com/EricSimons + + + https://avatars.githubusercontent.com/u/12937446?s=40&v=4 + pngwn + https://github.com/pngwn + + + snoww/loa-logs https://github.com/snoww/loa-logs @@ -360,40 +393,40 @@ - themesberg/flowbite-svelte - https://github.com/themesberg/flowbite-svelte - Official Svelte components built for Flowbite and Tailwind CSS - https://github.com/themesberg/flowbite-svelte + taikoxyz/taiko-mono + https://github.com/taikoxyz/taiko-mono + A based rollup. 🥁 🌸 + https://github.com/taikoxyz/taiko-mono Svelte #ff3e00 - 2,239 - 273 - 72 + 4,543 + 2,176 + 16 - https://avatars.githubusercontent.com/u/147320?s=40&v=4 - shinokada - https://github.com/shinokada + https://avatars.githubusercontent.com/u/99078276?s=40&v=4 + dantaik + https://github.com/dantaik - https://avatars.githubusercontent.com/u/6282254?s=40&v=4 - jjagielka - https://github.com/jjagielka + https://avatars.githubusercontent.com/u/104078303?s=40&v=4 + davidtaikocha + https://github.com/davidtaikocha - https://avatars.githubusercontent.com/u/8052108?s=40&v=4 - zoltanszogyenyi - https://github.com/zoltanszogyenyi + https://avatars.githubusercontent.com/u/13951458?s=40&v=4 + dionysuzx + https://github.com/dionysuzx - https://avatars.githubusercontent.com/u/52085?s=40&v=4 - orefalo - https://github.com/orefalo + https://avatars.githubusercontent.com/u/113397187?s=40&v=4 + cyberhorsey + https://github.com/cyberhorsey - https://avatars.githubusercontent.com/u/25318236?s=40&v=4 - RyanZhiNie - https://github.com/RyanZhiNie + https://avatars.githubusercontent.com/u/5267230?s=40&v=4 + KorbinianK + https://github.com/KorbinianK @@ -426,73 +459,111 @@ - sveltejs/realworld - https://github.com/sveltejs/realworld - SvelteKit implementation of the RealWorld app - https://github.com/sveltejs/realworld + dbgate/dbgate + https://github.com/dbgate/dbgate + Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application + https://github.com/dbgate/dbgate Svelte #ff3e00 - 2,256 - 351 - 21 + 5,478 + 321 + 142 - https://avatars.githubusercontent.com/u/1162160?s=40&v=4 - Rich-Harris - https://github.com/Rich-Harris + https://avatars.githubusercontent.com/u/2543891?s=40&v=4 + janproch + https://github.com/janproch - https://avatars.githubusercontent.com/u/322311?s=40&v=4 - benmccann - https://github.com/benmccann + https://avatars.githubusercontent.com/u/593870?s=40&v=4 + ProjectInfinity + https://github.com/ProjectInfinity - https://avatars.githubusercontent.com/u/556934?s=40&v=4 - EricSimons - https://github.com/EricSimons + https://avatars.githubusercontent.com/u/10557728?s=40&v=4 + Bare7a + https://github.com/Bare7a - https://avatars.githubusercontent.com/u/12937446?s=40&v=4 - pngwn - https://github.com/pngwn + https://avatars.githubusercontent.com/u/40572953?s=40&v=4 + KKishikawa + https://github.com/KKishikawa - taikoxyz/taiko-mono - https://github.com/taikoxyz/taiko-mono - A based rollup. 🥁 🌸 - https://github.com/taikoxyz/taiko-mono + ReVanced/revanced-website + https://github.com/ReVanced/revanced-website + 🌐 Website for ReVanced + https://github.com/ReVanced/revanced-website Svelte #ff3e00 - 4,542 - 2,175 - 16 + 313 + 42 + 5 - https://avatars.githubusercontent.com/u/99078276?s=40&v=4 - dantaik - https://github.com/dantaik + https://avatars.githubusercontent.com/u/47723802?s=40&v=4 + xafn + https://github.com/xafn - https://avatars.githubusercontent.com/u/104078303?s=40&v=4 - davidtaikocha - https://github.com/davidtaikocha + https://avatars.githubusercontent.com/u/13122796?s=40&v=4 + oSumAtrIX + https://github.com/oSumAtrIX - https://avatars.githubusercontent.com/u/13951458?s=40&v=4 - dionysuzx - https://github.com/dionysuzx + https://avatars.githubusercontent.com/u/79272171?s=40&v=4 + Ushie + https://github.com/Ushie - https://avatars.githubusercontent.com/u/113397187?s=40&v=4 - cyberhorsey - https://github.com/cyberhorsey + https://avatars.githubusercontent.com/u/28486288?s=40&v=4 + Axelen123 + https://github.com/Axelen123 - https://avatars.githubusercontent.com/u/5267230?s=40&v=4 - KorbinianK - https://github.com/KorbinianK + https://avatars.githubusercontent.com/u/10727862?s=40&v=4 + KTibow + https://github.com/KTibow + + + + + themesberg/flowbite-svelte + https://github.com/themesberg/flowbite-svelte + Official Svelte components built for Flowbite and Tailwind CSS + https://github.com/themesberg/flowbite-svelte + Svelte + #ff3e00 + 2,240 + 273 + 72 + + + https://avatars.githubusercontent.com/u/147320?s=40&v=4 + shinokada + https://github.com/shinokada + + + https://avatars.githubusercontent.com/u/6282254?s=40&v=4 + jjagielka + https://github.com/jjagielka + + + https://avatars.githubusercontent.com/u/8052108?s=40&v=4 + zoltanszogyenyi + https://github.com/zoltanszogyenyi + + + https://avatars.githubusercontent.com/u/52085?s=40&v=4 + orefalo + https://github.com/orefalo + + + https://avatars.githubusercontent.com/u/25318236?s=40&v=4 + RyanZhiNie + https://github.com/RyanZhiNie @@ -503,7 +574,7 @@ https://github.com/mathesar-foundation/mathesar Svelte #ff3e00 - 2,424 + 2,423 336 43 @@ -534,44 +605,6 @@ - - ReVanced/revanced-website - https://github.com/ReVanced/revanced-website - 🌐 Website for ReVanced - https://github.com/ReVanced/revanced-website - Svelte - #ff3e00 - 313 - 42 - 5 - - - https://avatars.githubusercontent.com/u/47723802?s=40&v=4 - xafn - https://github.com/xafn - - - https://avatars.githubusercontent.com/u/13122796?s=40&v=4 - oSumAtrIX - https://github.com/oSumAtrIX - - - https://avatars.githubusercontent.com/u/79272171?s=40&v=4 - Ushie - https://github.com/Ushie - - - https://avatars.githubusercontent.com/u/28486288?s=40&v=4 - Axelen123 - https://github.com/Axelen123 - - - https://avatars.githubusercontent.com/u/10727862?s=40&v=4 - KTibow - https://github.com/KTibow - - - PuruVJ/macos-web https://github.com/PuruVJ/macos-web @@ -610,39 +643,6 @@ - - dbgate/dbgate - https://github.com/dbgate/dbgate - Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application - https://github.com/dbgate/dbgate - Svelte - #ff3e00 - 5,467 - 321 - 142 - - - https://avatars.githubusercontent.com/u/2543891?s=40&v=4 - janproch - https://github.com/janproch - - - https://avatars.githubusercontent.com/u/593870?s=40&v=4 - ProjectInfinity - https://github.com/ProjectInfinity - - - https://avatars.githubusercontent.com/u/10557728?s=40&v=4 - Bare7a - https://github.com/Bare7a - - - https://avatars.githubusercontent.com/u/40572953?s=40&v=4 - KKishikawa - https://github.com/KKishikawa - - - Muhammed-Rahif/Notpad https://github.com/Muhammed-Rahif/Notpad @@ -676,6 +676,77 @@ + + SOH69/mm_radio + https://github.com/SOH69/mm_radio + A Radio Script for Fivem Server + https://github.com/SOH69/mm_radio + Svelte + #ff3e00 + 114 + 44 + 3 + + + https://avatars.githubusercontent.com/u/70486873?s=40&v=4 + SOH69 + https://github.com/SOH69 + + + https://avatars.githubusercontent.com/u/55808925?s=40&v=4 + ARSSANTO + https://github.com/ARSSANTO + + + https://avatars.githubusercontent.com/u/2725562?s=40&v=4 + d56Wr + https://github.com/d56Wr + + + https://avatars.githubusercontent.com/u/13854126?s=40&v=4 + 1nexis + https://github.com/1nexis + + + https://avatars.githubusercontent.com/u/16170502?s=40&v=4 + burnoutprojects + https://github.com/burnoutprojects + + + + + codicocodes/dotfyle + https://github.com/codicocodes/dotfyle + Find the best Neovim plugins + https://github.com/codicocodes/dotfyle + Svelte + #ff3e00 + 749 + 32 + 42 + + + https://avatars.githubusercontent.com/u/76068197?s=40&v=4 + codicocodes + https://github.com/codicocodes + + + https://avatars.githubusercontent.com/u/1466420?s=40&v=4 + bennypowers + https://github.com/bennypowers + + + https://avatars.githubusercontent.com/u/24854248?s=40&v=4 + echasnovski + https://github.com/echasnovski + + + https://avatars.githubusercontent.com/u/32055974?s=40&v=4 + neolooong + https://github.com/neolooong + + + appwrite/website https://github.com/appwrite/website @@ -714,43 +785,5 @@ - - SOH69/mm_radio - https://github.com/SOH69/mm_radio - A Radio Script for Fivem Server - https://github.com/SOH69/mm_radio - Svelte - #ff3e00 - 113 - 44 - 3 - - - https://avatars.githubusercontent.com/u/70486873?s=40&v=4 - SOH69 - https://github.com/SOH69 - - - https://avatars.githubusercontent.com/u/55808925?s=40&v=4 - ARSSANTO - https://github.com/ARSSANTO - - - https://avatars.githubusercontent.com/u/2725562?s=40&v=4 - d56Wr - https://github.com/d56Wr - - - https://avatars.githubusercontent.com/u/13854126?s=40&v=4 - 1nexis - https://github.com/1nexis - - - https://avatars.githubusercontent.com/u/16170502?s=40&v=4 - burnoutprojects - https://github.com/burnoutprojects - - - \ No newline at end of file diff --git a/data/monthly/svg.json b/data/monthly/svg.json index ae6d8b8df183..59327e31ab39 100644 --- a/data/monthly/svg.json +++ b/data/monthly/svg.json @@ -2,7 +2,7 @@ "title": "GitHub Svg Languages Monthly Trending", "description": "Monthly Trending of Svg Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tandpfun/skill-icons", @@ -10,8 +10,8 @@ "description": "Showcase your skills on your Github readme or resumé with ease ✨", "language": "SVG", "languageColor": "#ff9900", - "stars": "7,856", - "forks": "1,088", + "stars": "7,858", + "forks": "1,089", "addStars": "357", "contributors": [ { @@ -40,6 +40,33 @@ "url": "https://github.com/Muh-Hamza-99" } ] + }, + { + "title": "n3r4zzurr0/svg-spinners", + "url": "https://github.com/n3r4zzurr0/svg-spinners", + "description": "A collection of 24 x 24 dp SVG spinners! (CSS & SMIL)", + "language": "SVG", + "languageColor": "#ff9900", + "stars": "6,167", + "forks": "831", + "addStars": "57", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/15423974?s=40&v=4", + "name": "n3r4zzurr0", + "url": "https://github.com/n3r4zzurr0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16227832?s=40&v=4", + "name": "claviering", + "url": "https://github.com/claviering" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/55143799?s=40&v=4", + "name": "ephraimduncan", + "url": "https://github.com/ephraimduncan" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/svg.xml b/data/monthly/svg.xml index 8de385fb1468..db3272311bcb 100644 --- a/data/monthly/svg.xml +++ b/data/monthly/svg.xml @@ -3,7 +3,7 @@ GitHub Svg Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Svg Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tandpfun/skill-icons https://github.com/tandpfun/skill-icons @@ -11,8 +11,8 @@ https://github.com/tandpfun/skill-icons SVG #ff9900 - 7,856 - 1,088 + 7,858 + 1,089 357 @@ -42,5 +42,33 @@ + + n3r4zzurr0/svg-spinners + https://github.com/n3r4zzurr0/svg-spinners + A collection of 24 x 24 dp SVG spinners! (CSS & SMIL) + https://github.com/n3r4zzurr0/svg-spinners + SVG + #ff9900 + 6,167 + 831 + 57 + + + https://avatars.githubusercontent.com/u/15423974?s=40&v=4 + n3r4zzurr0 + https://github.com/n3r4zzurr0 + + + https://avatars.githubusercontent.com/u/16227832?s=40&v=4 + claviering + https://github.com/claviering + + + https://avatars.githubusercontent.com/u/55143799?s=40&v=4 + ephraimduncan + https://github.com/ephraimduncan + + + \ No newline at end of file diff --git a/data/monthly/sway.json b/data/monthly/sway.json index 5c077230211f..b87e8aa2af88 100644 --- a/data/monthly/sway.json +++ b/data/monthly/sway.json @@ -2,6 +2,6 @@ "title": "GitHub Sway Languages Monthly Trending", "description": "Monthly Trending of Sway Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sway.xml b/data/monthly/sway.xml index 5f4fcd7609a9..cfb54f865058 100644 --- a/data/monthly/sway.xml +++ b/data/monthly/sway.xml @@ -3,6 +3,6 @@ GitHub Sway Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sway Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/sweave.json b/data/monthly/sweave.json index f373f690de59..b50c68af22ed 100644 --- a/data/monthly/sweave.json +++ b/data/monthly/sweave.json @@ -2,6 +2,6 @@ "title": "GitHub Sweave Languages Monthly Trending", "description": "Monthly Trending of Sweave Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/sweave.xml b/data/monthly/sweave.xml index 7f052121f472..5fb9e4a862d0 100644 --- a/data/monthly/sweave.xml +++ b/data/monthly/sweave.xml @@ -3,6 +3,6 @@ GitHub Sweave Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Sweave Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/swift.json b/data/monthly/swift.json index 2009331cd340..4e17eef56032 100644 --- a/data/monthly/swift.json +++ b/data/monthly/swift.json @@ -2,7 +2,7 @@ "title": "GitHub Swift Languages Monthly Trending", "description": "Monthly Trending of Swift Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nikitabobko/AeroSpace", @@ -10,7 +10,7 @@ "description": "AeroSpace is an i3-like tiling window manager for macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "7,919", + "stars": "7,946", "forks": "129", "addStars": "1,237", "contributors": [ @@ -47,8 +47,8 @@ "description": "macOS system monitor in your menu bar", "language": "Swift", "languageColor": "#F05138", - "stars": "26,095", - "forks": "861", + "stars": "26,101", + "forks": "860", "addStars": "716", "contributors": [ { @@ -84,8 +84,8 @@ "description": "Powerful menu bar manager for macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "14,188", - "forks": "254", + "stars": "14,198", + "forks": "255", "addStars": "1,038", "contributors": [ { @@ -115,50 +115,13 @@ } ] }, - { - "title": "Dimillian/IceCubesApp", - "url": "https://github.com/Dimillian/IceCubesApp", - "description": "A SwiftUI Mastodon client", - "language": "Swift", - "languageColor": "#F05138", - "stars": "5,572", - "forks": "539", - "addStars": "165", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/535509?s=40&v=4", - "name": "Dimillian", - "url": "https://github.com/Dimillian" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/125629?s=40&v=4", - "name": "dertuxmalwieder", - "url": "https://github.com/dertuxmalwieder" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/888924?s=40&v=4", - "name": "xabirequejo", - "url": "https://github.com/xabirequejo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89069957?s=40&v=4", - "name": "Jerry23011", - "url": "https://github.com/Jerry23011" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/108506642?s=40&v=4", - "name": "mofmofmofneko", - "url": "https://github.com/mofmofmofneko" - } - ] - }, { "title": "Finb/Bark", "url": "https://github.com/Finb/Bark", "description": "Bark is an iOS App which allows you to push custom notifications to your iPhone", "language": "Swift", "languageColor": "#F05138", - "stars": "5,616", + "stars": "5,618", "forks": "461", "addStars": "176", "contributors": [ @@ -226,13 +189,87 @@ } ] }, + { + "title": "Dimillian/IceCubesApp", + "url": "https://github.com/Dimillian/IceCubesApp", + "description": "A SwiftUI Mastodon client", + "language": "Swift", + "languageColor": "#F05138", + "stars": "5,572", + "forks": "539", + "addStars": "165", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/535509?s=40&v=4", + "name": "Dimillian", + "url": "https://github.com/Dimillian" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/125629?s=40&v=4", + "name": "dertuxmalwieder", + "url": "https://github.com/dertuxmalwieder" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/888924?s=40&v=4", + "name": "xabirequejo", + "url": "https://github.com/xabirequejo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/89069957?s=40&v=4", + "name": "Jerry23011", + "url": "https://github.com/Jerry23011" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/108506642?s=40&v=4", + "name": "mofmofmofneko", + "url": "https://github.com/mofmofmofneko" + } + ] + }, + { + "title": "gonzalezreal/swift-markdown-ui", + "url": "https://github.com/gonzalezreal/swift-markdown-ui", + "description": "Display and customize Markdown text in SwiftUI", + "language": "Swift", + "languageColor": "#F05138", + "stars": "2,609", + "forks": "329", + "addStars": "118", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/373190?s=40&v=4", + "name": "gonzalezreal", + "url": "https://github.com/gonzalezreal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15649873?s=40&v=4", + "name": "MojtabaHs", + "url": "https://github.com/MojtabaHs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/103068565?s=40&v=4", + "name": "kirkbig", + "url": "https://github.com/kirkbig" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21435?s=40&v=4", + "name": "mikelikespie", + "url": "https://github.com/mikelikespie" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/76906?s=40&v=4", + "name": "tapsandswipes", + "url": "https://github.com/tapsandswipes" + } + ] + }, { "title": "intitni/CopilotForXcode", "url": "https://github.com/intitni/CopilotForXcode", "description": "The first GitHub Copilot, Codeium and ChatGPT Xcode Source Editor Extension", "language": "Swift", "languageColor": "#F05138", - "stars": "7,835", + "stars": "7,836", "forks": "386", "addStars": "181", "contributors": [ @@ -295,50 +332,13 @@ } ] }, - { - "title": "gonzalezreal/swift-markdown-ui", - "url": "https://github.com/gonzalezreal/swift-markdown-ui", - "description": "Display and customize Markdown text in SwiftUI", - "language": "Swift", - "languageColor": "#F05138", - "stars": "2,606", - "forks": "329", - "addStars": "118", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/373190?s=40&v=4", - "name": "gonzalezreal", - "url": "https://github.com/gonzalezreal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15649873?s=40&v=4", - "name": "MojtabaHs", - "url": "https://github.com/MojtabaHs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/103068565?s=40&v=4", - "name": "kirkbig", - "url": "https://github.com/kirkbig" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21435?s=40&v=4", - "name": "mikelikespie", - "url": "https://github.com/mikelikespie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/76906?s=40&v=4", - "name": "tapsandswipes", - "url": "https://github.com/tapsandswipes" - } - ] - }, { "title": "XcodesOrg/xcodes", "url": "https://github.com/XcodesOrg/xcodes", "description": "The best command-line tool to install and switch between multiple versions of Xcode.", "language": "Swift", "languageColor": "#F05138", - "stars": "3,854", + "stars": "3,856", "forks": "133", "addStars": "85", "contributors": [ @@ -364,13 +364,50 @@ } ] }, + { + "title": "iina/iina", + "url": "https://github.com/iina/iina", + "description": "The modern video player for macOS.", + "language": "Swift", + "languageColor": "#F05138", + "stars": "38,388", + "forks": "2,576", + "addStars": "382", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8478049?s=40&v=4", + "name": "lhc70000", + "url": "https://github.com/lhc70000" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20237141?s=40&v=4", + "name": "uiryuu", + "url": "https://github.com/uiryuu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/86170219?s=40&v=4", + "name": "low-batt", + "url": "https://github.com/low-batt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13786931?s=40&v=4", + "name": "saagarjha", + "url": "https://github.com/saagarjha" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2213815?s=40&v=4", + "name": "svobs", + "url": "https://github.com/svobs" + } + ] + }, { "title": "apple/swift-async-algorithms", "url": "https://github.com/apple/swift-async-algorithms", "description": "Async Algorithms for Swift", "language": "Swift", "languageColor": "#F05138", - "stars": "3,064", + "stars": "3,066", "forks": "151", "addStars": "67", "contributors": [ @@ -402,39 +439,39 @@ ] }, { - "title": "swiftlang/swift-format", - "url": "https://github.com/swiftlang/swift-format", - "description": "Formatting technology for Swift source code", + "title": "utmapp/UTM", + "url": "https://github.com/utmapp/UTM", + "description": "Virtual machines for iOS and macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "2,544", - "forks": "231", - "addStars": "59", + "stars": "27,173", + "forks": "1,344", + "addStars": "489", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1062427?s=40&v=4", - "name": "allevato", - "url": "https://github.com/allevato" + "avatar": "https://avatars.githubusercontent.com/u/50960678?s=40&v=4", + "name": "osy", + "url": "https://github.com/osy" }, { - "avatar": "https://avatars.githubusercontent.com/u/4062178?s=40&v=4", - "name": "ahoppen", - "url": "https://github.com/ahoppen" + "avatar": "https://avatars.githubusercontent.com/u/76401173?s=40&v=4", + "name": "osy86", + "url": "https://github.com/osy86" }, { - "avatar": "https://avatars.githubusercontent.com/u/2292946?s=40&v=4", - "name": "dylansturg", - "url": "https://github.com/dylansturg" + "avatar": "https://avatars.githubusercontent.com/u/28616020?s=40&v=4", + "name": "MMP0", + "url": "https://github.com/MMP0" }, { - "avatar": "https://avatars.githubusercontent.com/u/21240?s=40&v=4", - "name": "xedin", - "url": "https://github.com/xedin" + "avatar": "https://avatars.githubusercontent.com/u/46277006?s=40&v=4", + "name": "changanmoon", + "url": "https://github.com/changanmoon" }, { - "avatar": "https://avatars.githubusercontent.com/u/53374?s=40&v=4", - "name": "shawnhyam", - "url": "https://github.com/shawnhyam" + "avatar": "https://avatars.githubusercontent.com/u/12073163?s=40&v=4", + "name": "conath", + "url": "https://github.com/conath" } ] }, @@ -444,7 +481,7 @@ "description": "A modern Wine wrapper for macOS built with SwiftUI", "language": "Swift", "languageColor": "#F05138", - "stars": "12,806", + "stars": "12,811", "forks": "272", "addStars": "498", "contributors": [ @@ -476,76 +513,76 @@ ] }, { - "title": "iina/iina", - "url": "https://github.com/iina/iina", - "description": "The modern video player for macOS.", + "title": "swiftlang/swift-format", + "url": "https://github.com/swiftlang/swift-format", + "description": "Formatting technology for Swift source code", "language": "Swift", "languageColor": "#F05138", - "stars": "38,384", - "forks": "2,575", - "addStars": "382", + "stars": "2,545", + "forks": "231", + "addStars": "59", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8478049?s=40&v=4", - "name": "lhc70000", - "url": "https://github.com/lhc70000" + "avatar": "https://avatars.githubusercontent.com/u/1062427?s=40&v=4", + "name": "allevato", + "url": "https://github.com/allevato" }, { - "avatar": "https://avatars.githubusercontent.com/u/20237141?s=40&v=4", - "name": "uiryuu", - "url": "https://github.com/uiryuu" + "avatar": "https://avatars.githubusercontent.com/u/4062178?s=40&v=4", + "name": "ahoppen", + "url": "https://github.com/ahoppen" }, { - "avatar": "https://avatars.githubusercontent.com/u/86170219?s=40&v=4", - "name": "low-batt", - "url": "https://github.com/low-batt" + "avatar": "https://avatars.githubusercontent.com/u/2292946?s=40&v=4", + "name": "dylansturg", + "url": "https://github.com/dylansturg" }, { - "avatar": "https://avatars.githubusercontent.com/u/13786931?s=40&v=4", - "name": "saagarjha", - "url": "https://github.com/saagarjha" + "avatar": "https://avatars.githubusercontent.com/u/21240?s=40&v=4", + "name": "xedin", + "url": "https://github.com/xedin" }, { - "avatar": "https://avatars.githubusercontent.com/u/2213815?s=40&v=4", - "name": "svobs", - "url": "https://github.com/svobs" + "avatar": "https://avatars.githubusercontent.com/u/53374?s=40&v=4", + "name": "shawnhyam", + "url": "https://github.com/shawnhyam" } ] }, { - "title": "Alamofire/Alamofire", - "url": "https://github.com/Alamofire/Alamofire", - "description": "Elegant HTTP Networking in Swift", + "title": "Caldis/Mos", + "url": "https://github.com/Caldis/Mos", + "description": "一个用于在 macOS 上平滑你的鼠标滚动效果或单独设置滚动方向的小工具, 让你的滚轮爽如触控板 | A lightweight tool used to smooth scrolling and set scroll direction independently for your mouse on macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "41,179", - "forks": "7,550", - "addStars": "133", + "stars": "14,770", + "forks": "520", + "addStars": "274", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/169110?s=40&v=4", - "name": "cnoon", - "url": "https://github.com/cnoon" + "avatar": "https://avatars.githubusercontent.com/u/3529490?s=40&v=4", + "name": "Caldis", + "url": "https://github.com/Caldis" }, { - "avatar": "https://avatars.githubusercontent.com/u/51020?s=40&v=4", - "name": "jshier", - "url": "https://github.com/jshier" + "avatar": "https://avatars.githubusercontent.com/u/40006037?s=40&v=4", + "name": "mclvren", + "url": "https://github.com/mclvren" }, { - "avatar": "https://avatars.githubusercontent.com/u/7659?s=40&v=4", - "name": "mattt", - "url": "https://github.com/mattt" + "avatar": "https://avatars.githubusercontent.com/u/47898885?s=40&v=4", + "name": "lima0", + "url": "https://github.com/lima0" }, { - "avatar": "https://avatars.githubusercontent.com/u/44164?s=40&v=4", - "name": "kylef", - "url": "https://github.com/kylef" + "avatar": "https://avatars.githubusercontent.com/u/868863?s=40&v=4", + "name": "jakecast", + "url": "https://github.com/jakecast" }, { - "avatar": "https://avatars.githubusercontent.com/u/198768?s=40&v=4", - "name": "kcharwood", - "url": "https://github.com/kcharwood" + "avatar": "https://avatars.githubusercontent.com/u/1341760?s=40&v=4", + "name": "godly-devotion", + "url": "https://github.com/godly-devotion" } ] }, @@ -555,7 +592,7 @@ "description": "An unofficial E-Hentai App for iOS built with SwiftUI & TCA.", "language": "Swift", "languageColor": "#F05138", - "stars": "3,218", + "stars": "3,219", "forks": "211", "addStars": "91", "contributors": [ @@ -582,113 +619,76 @@ ] }, { - "title": "Caldis/Mos", - "url": "https://github.com/Caldis/Mos", - "description": "一个用于在 macOS 上平滑你的鼠标滚动效果或单独设置滚动方向的小工具, 让你的滚轮爽如触控板 | A lightweight tool used to smooth scrolling and set scroll direction independently for your mouse on macOS", - "language": "Swift", - "languageColor": "#F05138", - "stars": "14,766", - "forks": "521", - "addStars": "274", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3529490?s=40&v=4", - "name": "Caldis", - "url": "https://github.com/Caldis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40006037?s=40&v=4", - "name": "mclvren", - "url": "https://github.com/mclvren" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47898885?s=40&v=4", - "name": "lima0", - "url": "https://github.com/lima0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/868863?s=40&v=4", - "name": "jakecast", - "url": "https://github.com/jakecast" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1341760?s=40&v=4", - "name": "godly-devotion", - "url": "https://github.com/godly-devotion" - } - ] - }, - { - "title": "whoeevee/EeveeSpotify", - "url": "https://github.com/whoeevee/EeveeSpotify", - "description": "A tweak to get Spotify Premium for free, just like Spotilife", + "title": "Alamofire/Alamofire", + "url": "https://github.com/Alamofire/Alamofire", + "description": "Elegant HTTP Networking in Swift", "language": "Swift", "languageColor": "#F05138", - "stars": "3,012", - "forks": "221", - "addStars": "362", + "stars": "41,180", + "forks": "7,550", + "addStars": "133", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/94960726?s=40&v=4", - "name": "whoeevee", - "url": "https://github.com/whoeevee" + "avatar": "https://avatars.githubusercontent.com/u/169110?s=40&v=4", + "name": "cnoon", + "url": "https://github.com/cnoon" }, { - "avatar": "https://avatars.githubusercontent.com/u/109937991?s=40&v=4", - "name": "asdfzxcvbn", - "url": "https://github.com/asdfzxcvbn" + "avatar": "https://avatars.githubusercontent.com/u/51020?s=40&v=4", + "name": "jshier", + "url": "https://github.com/jshier" }, { - "avatar": "https://avatars.githubusercontent.com/u/109361374?s=40&v=4", - "name": "ElliotCHEN37", - "url": "https://github.com/ElliotCHEN37" + "avatar": "https://avatars.githubusercontent.com/u/7659?s=40&v=4", + "name": "mattt", + "url": "https://github.com/mattt" }, { - "avatar": "https://avatars.githubusercontent.com/u/178366594?s=40&v=4", - "name": "Richard-NDC", - "url": "https://github.com/Richard-NDC" + "avatar": "https://avatars.githubusercontent.com/u/44164?s=40&v=4", + "name": "kylef", + "url": "https://github.com/kylef" }, { - "avatar": "https://avatars.githubusercontent.com/u/54180773?s=40&v=4", - "name": "LIKVIDATOR1337", - "url": "https://github.com/LIKVIDATOR1337" + "avatar": "https://avatars.githubusercontent.com/u/198768?s=40&v=4", + "name": "kcharwood", + "url": "https://github.com/kcharwood" } ] }, { - "title": "stephencelis/SQLite.swift", - "url": "https://github.com/stephencelis/SQLite.swift", - "description": "A type-safe, Swift-language layer over SQLite3.", + "title": "apple/swift-log", + "url": "https://github.com/apple/swift-log", + "description": "A Logging API for Swift", "language": "Swift", "languageColor": "#F05138", - "stars": "9,740", - "forks": "1,571", - "addStars": "32", + "stars": "3,578", + "forks": "299", + "addStars": "49", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18891?s=40&v=4", - "name": "jberkel", - "url": "https://github.com/jberkel" + "avatar": "https://avatars.githubusercontent.com/u/624238?s=40&v=4", + "name": "weissi", + "url": "https://github.com/weissi" }, { - "avatar": "https://avatars.githubusercontent.com/u/658?s=40&v=4", - "name": "stephencelis", - "url": "https://github.com/stephencelis" + "avatar": "https://avatars.githubusercontent.com/u/147247?s=40&v=4", + "name": "tomerd", + "url": "https://github.com/tomerd" }, { - "avatar": "https://avatars.githubusercontent.com/u/30439790?s=40&v=4", - "name": "nathanfallet", - "url": "https://github.com/nathanfallet" + "avatar": "https://avatars.githubusercontent.com/u/120979?s=40&v=4", + "name": "ktoso", + "url": "https://github.com/ktoso" }, { - "avatar": "https://avatars.githubusercontent.com/u/419427?s=40&v=4", - "name": "nickmshelley", - "url": "https://github.com/nickmshelley" + "avatar": "https://avatars.githubusercontent.com/u/12647725?s=40&v=4", + "name": "yim-lee", + "url": "https://github.com/yim-lee" }, { - "avatar": "https://avatars.githubusercontent.com/u/49003548?s=40&v=4", - "name": "sburlewapg", - "url": "https://github.com/sburlewapg" + "avatar": "https://avatars.githubusercontent.com/u/3491887?s=40&v=4", + "name": "FranzBusch", + "url": "https://github.com/FranzBusch" } ] }, @@ -698,7 +698,7 @@ "description": "A set of Swift libraries for parsing, inspecting, generating, and transforming Swift source code.", "language": "Swift", "languageColor": "#F05138", - "stars": "3,256", + "stars": "3,259", "forks": "416", "addStars": "33", "contributors": [ @@ -730,76 +730,76 @@ ] }, { - "title": "apple/swift-collections", - "url": "https://github.com/apple/swift-collections", - "description": "Commonly used data structures for Swift", + "title": "stephencelis/SQLite.swift", + "url": "https://github.com/stephencelis/SQLite.swift", + "description": "A type-safe, Swift-language layer over SQLite3.", "language": "Swift", "languageColor": "#F05138", - "stars": "3,778", - "forks": "297", - "addStars": "54", + "stars": "9,740", + "forks": "1,571", + "addStars": "32", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/608696?s=40&v=4", - "name": "lorentey", - "url": "https://github.com/lorentey" + "avatar": "https://avatars.githubusercontent.com/u/18891?s=40&v=4", + "name": "jberkel", + "url": "https://github.com/jberkel" }, { - "avatar": "https://avatars.githubusercontent.com/u/1998004?s=40&v=4", - "name": "msteindorfer", - "url": "https://github.com/msteindorfer" + "avatar": "https://avatars.githubusercontent.com/u/658?s=40&v=4", + "name": "stephencelis", + "url": "https://github.com/stephencelis" }, { - "avatar": "https://avatars.githubusercontent.com/u/7544575?s=40&v=4", - "name": "vanvoorden", - "url": "https://github.com/vanvoorden" + "avatar": "https://avatars.githubusercontent.com/u/30439790?s=40&v=4", + "name": "nathanfallet", + "url": "https://github.com/nathanfallet" }, { - "avatar": "https://avatars.githubusercontent.com/u/2727770?s=40&v=4", - "name": "shahmishal", - "url": "https://github.com/shahmishal" + "avatar": "https://avatars.githubusercontent.com/u/419427?s=40&v=4", + "name": "nickmshelley", + "url": "https://github.com/nickmshelley" }, { - "avatar": "https://avatars.githubusercontent.com/u/63311?s=40&v=4", - "name": "compnerd", - "url": "https://github.com/compnerd" + "avatar": "https://avatars.githubusercontent.com/u/49003548?s=40&v=4", + "name": "sburlewapg", + "url": "https://github.com/sburlewapg" } ] }, { - "title": "apple/swift-log", - "url": "https://github.com/apple/swift-log", - "description": "A Logging API for Swift", + "title": "lwouis/alt-tab-macos", + "url": "https://github.com/lwouis/alt-tab-macos", + "description": "Windows alt-tab on macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "3,574", - "forks": "299", - "addStars": "49", + "stars": "11,092", + "forks": "331", + "addStars": "286", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/624238?s=40&v=4", - "name": "weissi", - "url": "https://github.com/weissi" + "avatar": "https://avatars.githubusercontent.com/u/106195?s=40&v=4", + "name": "lwouis", + "url": "https://github.com/lwouis" }, { - "avatar": "https://avatars.githubusercontent.com/u/147247?s=40&v=4", - "name": "tomerd", - "url": "https://github.com/tomerd" + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/120979?s=40&v=4", - "name": "ktoso", - "url": "https://github.com/ktoso" + "avatar": "https://avatars.githubusercontent.com/u/77468771?s=40&v=4", + "name": "decodism", + "url": "https://github.com/decodism" }, { - "avatar": "https://avatars.githubusercontent.com/u/12647725?s=40&v=4", - "name": "yim-lee", - "url": "https://github.com/yim-lee" + "avatar": "https://avatars.githubusercontent.com/u/5805484?s=40&v=4", + "name": "Allsochen", + "url": "https://github.com/Allsochen" }, { - "avatar": "https://avatars.githubusercontent.com/u/3491887?s=40&v=4", - "name": "FranzBusch", - "url": "https://github.com/FranzBusch" + "avatar": "https://avatars.githubusercontent.com/u/13162840?s=40&v=4", + "name": "gingerr", + "url": "https://github.com/gingerr" } ] } diff --git a/data/monthly/swift.xml b/data/monthly/swift.xml index 19a4b06aa7e5..93271fbb56f0 100644 --- a/data/monthly/swift.xml +++ b/data/monthly/swift.xml @@ -3,7 +3,7 @@ GitHub Swift Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Swift Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nikitabobko/AeroSpace https://github.com/nikitabobko/AeroSpace @@ -11,7 +11,7 @@ https://github.com/nikitabobko/AeroSpace Swift #F05138 - 7,919 + 7,946 129 1,237 @@ -49,8 +49,8 @@ https://github.com/exelban/stats Swift #F05138 - 26,095 - 861 + 26,101 + 860 716 @@ -87,8 +87,8 @@ https://github.com/jordanbaird/Ice Swift #F05138 - 14,188 - 254 + 14,198 + 255 1,038 @@ -118,44 +118,6 @@ - - Dimillian/IceCubesApp - https://github.com/Dimillian/IceCubesApp - A SwiftUI Mastodon client - https://github.com/Dimillian/IceCubesApp - Swift - #F05138 - 5,572 - 539 - 165 - - - https://avatars.githubusercontent.com/u/535509?s=40&v=4 - Dimillian - https://github.com/Dimillian - - - https://avatars.githubusercontent.com/u/125629?s=40&v=4 - dertuxmalwieder - https://github.com/dertuxmalwieder - - - https://avatars.githubusercontent.com/u/888924?s=40&v=4 - xabirequejo - https://github.com/xabirequejo - - - https://avatars.githubusercontent.com/u/89069957?s=40&v=4 - Jerry23011 - https://github.com/Jerry23011 - - - https://avatars.githubusercontent.com/u/108506642?s=40&v=4 - mofmofmofneko - https://github.com/mofmofmofneko - - - Finb/Bark https://github.com/Finb/Bark @@ -163,7 +125,7 @@ https://github.com/Finb/Bark Swift #F05138 - 5,616 + 5,618 461 176 @@ -232,6 +194,82 @@ + + Dimillian/IceCubesApp + https://github.com/Dimillian/IceCubesApp + A SwiftUI Mastodon client + https://github.com/Dimillian/IceCubesApp + Swift + #F05138 + 5,572 + 539 + 165 + + + https://avatars.githubusercontent.com/u/535509?s=40&v=4 + Dimillian + https://github.com/Dimillian + + + https://avatars.githubusercontent.com/u/125629?s=40&v=4 + dertuxmalwieder + https://github.com/dertuxmalwieder + + + https://avatars.githubusercontent.com/u/888924?s=40&v=4 + xabirequejo + https://github.com/xabirequejo + + + https://avatars.githubusercontent.com/u/89069957?s=40&v=4 + Jerry23011 + https://github.com/Jerry23011 + + + https://avatars.githubusercontent.com/u/108506642?s=40&v=4 + mofmofmofneko + https://github.com/mofmofmofneko + + + + + gonzalezreal/swift-markdown-ui + https://github.com/gonzalezreal/swift-markdown-ui + Display and customize Markdown text in SwiftUI + https://github.com/gonzalezreal/swift-markdown-ui + Swift + #F05138 + 2,609 + 329 + 118 + + + https://avatars.githubusercontent.com/u/373190?s=40&v=4 + gonzalezreal + https://github.com/gonzalezreal + + + https://avatars.githubusercontent.com/u/15649873?s=40&v=4 + MojtabaHs + https://github.com/MojtabaHs + + + https://avatars.githubusercontent.com/u/103068565?s=40&v=4 + kirkbig + https://github.com/kirkbig + + + https://avatars.githubusercontent.com/u/21435?s=40&v=4 + mikelikespie + https://github.com/mikelikespie + + + https://avatars.githubusercontent.com/u/76906?s=40&v=4 + tapsandswipes + https://github.com/tapsandswipes + + + intitni/CopilotForXcode https://github.com/intitni/CopilotForXcode @@ -239,7 +277,7 @@ https://github.com/intitni/CopilotForXcode Swift #F05138 - 7,835 + 7,836 386 181 @@ -303,44 +341,6 @@ - - gonzalezreal/swift-markdown-ui - https://github.com/gonzalezreal/swift-markdown-ui - Display and customize Markdown text in SwiftUI - https://github.com/gonzalezreal/swift-markdown-ui - Swift - #F05138 - 2,606 - 329 - 118 - - - https://avatars.githubusercontent.com/u/373190?s=40&v=4 - gonzalezreal - https://github.com/gonzalezreal - - - https://avatars.githubusercontent.com/u/15649873?s=40&v=4 - MojtabaHs - https://github.com/MojtabaHs - - - https://avatars.githubusercontent.com/u/103068565?s=40&v=4 - kirkbig - https://github.com/kirkbig - - - https://avatars.githubusercontent.com/u/21435?s=40&v=4 - mikelikespie - https://github.com/mikelikespie - - - https://avatars.githubusercontent.com/u/76906?s=40&v=4 - tapsandswipes - https://github.com/tapsandswipes - - - XcodesOrg/xcodes https://github.com/XcodesOrg/xcodes @@ -348,7 +348,7 @@ https://github.com/XcodesOrg/xcodes Swift #F05138 - 3,854 + 3,856 133 85 @@ -374,6 +374,44 @@ + + iina/iina + https://github.com/iina/iina + The modern video player for macOS. + https://github.com/iina/iina + Swift + #F05138 + 38,388 + 2,576 + 382 + + + https://avatars.githubusercontent.com/u/8478049?s=40&v=4 + lhc70000 + https://github.com/lhc70000 + + + https://avatars.githubusercontent.com/u/20237141?s=40&v=4 + uiryuu + https://github.com/uiryuu + + + https://avatars.githubusercontent.com/u/86170219?s=40&v=4 + low-batt + https://github.com/low-batt + + + https://avatars.githubusercontent.com/u/13786931?s=40&v=4 + saagarjha + https://github.com/saagarjha + + + https://avatars.githubusercontent.com/u/2213815?s=40&v=4 + svobs + https://github.com/svobs + + + apple/swift-async-algorithms https://github.com/apple/swift-async-algorithms @@ -381,7 +419,7 @@ https://github.com/apple/swift-async-algorithms Swift #F05138 - 3,064 + 3,066 151 67 @@ -413,40 +451,40 @@ - swiftlang/swift-format - https://github.com/swiftlang/swift-format - Formatting technology for Swift source code - https://github.com/swiftlang/swift-format + utmapp/UTM + https://github.com/utmapp/UTM + Virtual machines for iOS and macOS + https://github.com/utmapp/UTM Swift #F05138 - 2,544 - 231 - 59 + 27,173 + 1,344 + 489 - https://avatars.githubusercontent.com/u/1062427?s=40&v=4 - allevato - https://github.com/allevato + https://avatars.githubusercontent.com/u/50960678?s=40&v=4 + osy + https://github.com/osy - https://avatars.githubusercontent.com/u/4062178?s=40&v=4 - ahoppen - https://github.com/ahoppen + https://avatars.githubusercontent.com/u/76401173?s=40&v=4 + osy86 + https://github.com/osy86 - https://avatars.githubusercontent.com/u/2292946?s=40&v=4 - dylansturg - https://github.com/dylansturg + https://avatars.githubusercontent.com/u/28616020?s=40&v=4 + MMP0 + https://github.com/MMP0 - https://avatars.githubusercontent.com/u/21240?s=40&v=4 - xedin - https://github.com/xedin + https://avatars.githubusercontent.com/u/46277006?s=40&v=4 + changanmoon + https://github.com/changanmoon - https://avatars.githubusercontent.com/u/53374?s=40&v=4 - shawnhyam - https://github.com/shawnhyam + https://avatars.githubusercontent.com/u/12073163?s=40&v=4 + conath + https://github.com/conath @@ -457,7 +495,7 @@ https://github.com/Whisky-App/Whisky Swift #F05138 - 12,806 + 12,811 272 498 @@ -489,78 +527,78 @@ - iina/iina - https://github.com/iina/iina - The modern video player for macOS. - https://github.com/iina/iina + swiftlang/swift-format + https://github.com/swiftlang/swift-format + Formatting technology for Swift source code + https://github.com/swiftlang/swift-format Swift #F05138 - 38,384 - 2,575 - 382 + 2,545 + 231 + 59 - https://avatars.githubusercontent.com/u/8478049?s=40&v=4 - lhc70000 - https://github.com/lhc70000 + https://avatars.githubusercontent.com/u/1062427?s=40&v=4 + allevato + https://github.com/allevato - https://avatars.githubusercontent.com/u/20237141?s=40&v=4 - uiryuu - https://github.com/uiryuu + https://avatars.githubusercontent.com/u/4062178?s=40&v=4 + ahoppen + https://github.com/ahoppen - https://avatars.githubusercontent.com/u/86170219?s=40&v=4 - low-batt - https://github.com/low-batt + https://avatars.githubusercontent.com/u/2292946?s=40&v=4 + dylansturg + https://github.com/dylansturg - https://avatars.githubusercontent.com/u/13786931?s=40&v=4 - saagarjha - https://github.com/saagarjha + https://avatars.githubusercontent.com/u/21240?s=40&v=4 + xedin + https://github.com/xedin - https://avatars.githubusercontent.com/u/2213815?s=40&v=4 - svobs - https://github.com/svobs + https://avatars.githubusercontent.com/u/53374?s=40&v=4 + shawnhyam + https://github.com/shawnhyam - Alamofire/Alamofire - https://github.com/Alamofire/Alamofire - Elegant HTTP Networking in Swift - https://github.com/Alamofire/Alamofire + Caldis/Mos + https://github.com/Caldis/Mos + 一个用于在 macOS 上平滑你的鼠标滚动效果或单独设置滚动方向的小工具, 让你的滚轮爽如触控板 | A lightweight tool used to smooth scrolling and set scroll direction independently for your mouse on macOS + https://github.com/Caldis/Mos Swift #F05138 - 41,179 - 7,550 - 133 + 14,770 + 520 + 274 - https://avatars.githubusercontent.com/u/169110?s=40&v=4 - cnoon - https://github.com/cnoon + https://avatars.githubusercontent.com/u/3529490?s=40&v=4 + Caldis + https://github.com/Caldis - https://avatars.githubusercontent.com/u/51020?s=40&v=4 - jshier - https://github.com/jshier + https://avatars.githubusercontent.com/u/40006037?s=40&v=4 + mclvren + https://github.com/mclvren - https://avatars.githubusercontent.com/u/7659?s=40&v=4 - mattt - https://github.com/mattt + https://avatars.githubusercontent.com/u/47898885?s=40&v=4 + lima0 + https://github.com/lima0 - https://avatars.githubusercontent.com/u/44164?s=40&v=4 - kylef - https://github.com/kylef + https://avatars.githubusercontent.com/u/868863?s=40&v=4 + jakecast + https://github.com/jakecast - https://avatars.githubusercontent.com/u/198768?s=40&v=4 - kcharwood - https://github.com/kcharwood + https://avatars.githubusercontent.com/u/1341760?s=40&v=4 + godly-devotion + https://github.com/godly-devotion @@ -571,7 +609,7 @@ https://github.com/EhPanda-Team/EhPanda Swift #F05138 - 3,218 + 3,219 211 91 @@ -598,116 +636,78 @@ - Caldis/Mos - https://github.com/Caldis/Mos - 一个用于在 macOS 上平滑你的鼠标滚动效果或单独设置滚动方向的小工具, 让你的滚轮爽如触控板 | A lightweight tool used to smooth scrolling and set scroll direction independently for your mouse on macOS - https://github.com/Caldis/Mos - Swift - #F05138 - 14,766 - 521 - 274 - - - https://avatars.githubusercontent.com/u/3529490?s=40&v=4 - Caldis - https://github.com/Caldis - - - https://avatars.githubusercontent.com/u/40006037?s=40&v=4 - mclvren - https://github.com/mclvren - - - https://avatars.githubusercontent.com/u/47898885?s=40&v=4 - lima0 - https://github.com/lima0 - - - https://avatars.githubusercontent.com/u/868863?s=40&v=4 - jakecast - https://github.com/jakecast - - - https://avatars.githubusercontent.com/u/1341760?s=40&v=4 - godly-devotion - https://github.com/godly-devotion - - - - - whoeevee/EeveeSpotify - https://github.com/whoeevee/EeveeSpotify - A tweak to get Spotify Premium for free, just like Spotilife - https://github.com/whoeevee/EeveeSpotify + Alamofire/Alamofire + https://github.com/Alamofire/Alamofire + Elegant HTTP Networking in Swift + https://github.com/Alamofire/Alamofire Swift #F05138 - 3,012 - 221 - 362 + 41,180 + 7,550 + 133 - https://avatars.githubusercontent.com/u/94960726?s=40&v=4 - whoeevee - https://github.com/whoeevee + https://avatars.githubusercontent.com/u/169110?s=40&v=4 + cnoon + https://github.com/cnoon - https://avatars.githubusercontent.com/u/109937991?s=40&v=4 - asdfzxcvbn - https://github.com/asdfzxcvbn + https://avatars.githubusercontent.com/u/51020?s=40&v=4 + jshier + https://github.com/jshier - https://avatars.githubusercontent.com/u/109361374?s=40&v=4 - ElliotCHEN37 - https://github.com/ElliotCHEN37 + https://avatars.githubusercontent.com/u/7659?s=40&v=4 + mattt + https://github.com/mattt - https://avatars.githubusercontent.com/u/178366594?s=40&v=4 - Richard-NDC - https://github.com/Richard-NDC + https://avatars.githubusercontent.com/u/44164?s=40&v=4 + kylef + https://github.com/kylef - https://avatars.githubusercontent.com/u/54180773?s=40&v=4 - LIKVIDATOR1337 - https://github.com/LIKVIDATOR1337 + https://avatars.githubusercontent.com/u/198768?s=40&v=4 + kcharwood + https://github.com/kcharwood - stephencelis/SQLite.swift - https://github.com/stephencelis/SQLite.swift - A type-safe, Swift-language layer over SQLite3. - https://github.com/stephencelis/SQLite.swift + apple/swift-log + https://github.com/apple/swift-log + A Logging API for Swift + https://github.com/apple/swift-log Swift #F05138 - 9,740 - 1,571 - 32 + 3,578 + 299 + 49 - https://avatars.githubusercontent.com/u/18891?s=40&v=4 - jberkel - https://github.com/jberkel + https://avatars.githubusercontent.com/u/624238?s=40&v=4 + weissi + https://github.com/weissi - https://avatars.githubusercontent.com/u/658?s=40&v=4 - stephencelis - https://github.com/stephencelis + https://avatars.githubusercontent.com/u/147247?s=40&v=4 + tomerd + https://github.com/tomerd - https://avatars.githubusercontent.com/u/30439790?s=40&v=4 - nathanfallet - https://github.com/nathanfallet + https://avatars.githubusercontent.com/u/120979?s=40&v=4 + ktoso + https://github.com/ktoso - https://avatars.githubusercontent.com/u/419427?s=40&v=4 - nickmshelley - https://github.com/nickmshelley + https://avatars.githubusercontent.com/u/12647725?s=40&v=4 + yim-lee + https://github.com/yim-lee - https://avatars.githubusercontent.com/u/49003548?s=40&v=4 - sburlewapg - https://github.com/sburlewapg + https://avatars.githubusercontent.com/u/3491887?s=40&v=4 + FranzBusch + https://github.com/FranzBusch @@ -718,7 +718,7 @@ https://github.com/swiftlang/swift-syntax Swift #F05138 - 3,256 + 3,259 416 33 @@ -750,78 +750,78 @@ - apple/swift-collections - https://github.com/apple/swift-collections - Commonly used data structures for Swift - https://github.com/apple/swift-collections + stephencelis/SQLite.swift + https://github.com/stephencelis/SQLite.swift + A type-safe, Swift-language layer over SQLite3. + https://github.com/stephencelis/SQLite.swift Swift #F05138 - 3,778 - 297 - 54 + 9,740 + 1,571 + 32 - https://avatars.githubusercontent.com/u/608696?s=40&v=4 - lorentey - https://github.com/lorentey + https://avatars.githubusercontent.com/u/18891?s=40&v=4 + jberkel + https://github.com/jberkel - https://avatars.githubusercontent.com/u/1998004?s=40&v=4 - msteindorfer - https://github.com/msteindorfer + https://avatars.githubusercontent.com/u/658?s=40&v=4 + stephencelis + https://github.com/stephencelis - https://avatars.githubusercontent.com/u/7544575?s=40&v=4 - vanvoorden - https://github.com/vanvoorden + https://avatars.githubusercontent.com/u/30439790?s=40&v=4 + nathanfallet + https://github.com/nathanfallet - https://avatars.githubusercontent.com/u/2727770?s=40&v=4 - shahmishal - https://github.com/shahmishal + https://avatars.githubusercontent.com/u/419427?s=40&v=4 + nickmshelley + https://github.com/nickmshelley - https://avatars.githubusercontent.com/u/63311?s=40&v=4 - compnerd - https://github.com/compnerd + https://avatars.githubusercontent.com/u/49003548?s=40&v=4 + sburlewapg + https://github.com/sburlewapg - apple/swift-log - https://github.com/apple/swift-log - A Logging API for Swift - https://github.com/apple/swift-log + lwouis/alt-tab-macos + https://github.com/lwouis/alt-tab-macos + Windows alt-tab on macOS + https://github.com/lwouis/alt-tab-macos Swift #F05138 - 3,574 - 299 - 49 + 11,092 + 331 + 286 - https://avatars.githubusercontent.com/u/624238?s=40&v=4 - weissi - https://github.com/weissi + https://avatars.githubusercontent.com/u/106195?s=40&v=4 + lwouis + https://github.com/lwouis - https://avatars.githubusercontent.com/u/147247?s=40&v=4 - tomerd - https://github.com/tomerd + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot - https://avatars.githubusercontent.com/u/120979?s=40&v=4 - ktoso - https://github.com/ktoso + https://avatars.githubusercontent.com/u/77468771?s=40&v=4 + decodism + https://github.com/decodism - https://avatars.githubusercontent.com/u/12647725?s=40&v=4 - yim-lee - https://github.com/yim-lee + https://avatars.githubusercontent.com/u/5805484?s=40&v=4 + Allsochen + https://github.com/Allsochen - https://avatars.githubusercontent.com/u/3491887?s=40&v=4 - FranzBusch - https://github.com/FranzBusch + https://avatars.githubusercontent.com/u/13162840?s=40&v=4 + gingerr + https://github.com/gingerr diff --git a/data/monthly/swig.json b/data/monthly/swig.json index 78c875ac6421..40043c919659 100644 --- a/data/monthly/swig.json +++ b/data/monthly/swig.json @@ -2,7 +2,7 @@ "title": "GitHub Swig Languages Monthly Trending", "description": "Monthly Trending of Swig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tpaviot/pythonocc-core", diff --git a/data/monthly/swig.xml b/data/monthly/swig.xml index 0dc5573a99d9..9e7a4ee61d73 100644 --- a/data/monthly/swig.xml +++ b/data/monthly/swig.xml @@ -3,7 +3,7 @@ GitHub Swig Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Swig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tpaviot/pythonocc-core https://github.com/tpaviot/pythonocc-core diff --git a/data/monthly/systemverilog.json b/data/monthly/systemverilog.json index 00dcd52dcaeb..7125686815c5 100644 --- a/data/monthly/systemverilog.json +++ b/data/monthly/systemverilog.json @@ -2,7 +2,7 @@ "title": "GitHub Systemverilog Languages Monthly Trending", "description": "Monthly Trending of Systemverilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pulp-platform/riscv-dbg", @@ -42,76 +42,113 @@ ] }, { - "title": "bespoke-silicon-group/basejump_stl", - "url": "https://github.com/bespoke-silicon-group/basejump_stl", - "description": "BaseJump STL: A Standard Template Library for SystemVerilog", + "title": "pulp-platform/axi", + "url": "https://github.com/pulp-platform/axi", + "description": "AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication", "language": "SystemVerilog", "languageColor": "#DAE1C2", - "stars": "527", - "forks": "99", - "addStars": "11", + "stars": "1,115", + "forks": "267", + "addStars": "34", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17460864?s=40&v=4", - "name": "taylor-bsg", - "url": "https://github.com/taylor-bsg" + "avatar": "https://avatars.githubusercontent.com/u/3583291?s=40&v=4", + "name": "andreaskurth", + "url": "https://github.com/andreaskurth" }, { - "avatar": "https://avatars.githubusercontent.com/u/46542701?s=40&v=4", - "name": "tommydcjung", - "url": "https://github.com/tommydcjung" + "avatar": "https://avatars.githubusercontent.com/u/65011851?s=40&v=4", + "name": "thommythomaso", + "url": "https://github.com/thommythomaso" }, { - "avatar": "https://avatars.githubusercontent.com/u/2791860?s=40&v=4", - "name": "ShawnLess", - "url": "https://github.com/ShawnLess" + "avatar": "https://avatars.githubusercontent.com/u/6088584?s=40&v=4", + "name": "micprog", + "url": "https://github.com/micprog" }, { - "avatar": "https://avatars.githubusercontent.com/u/2322266?s=40&v=4", - "name": "dpetrisko", - "url": "https://github.com/dpetrisko" + "avatar": "https://avatars.githubusercontent.com/u/6261373?s=40&v=4", + "name": "suehtamacv", + "url": "https://github.com/suehtamacv" }, { - "avatar": "https://avatars.githubusercontent.com/u/11407587?s=40&v=4", - "name": "gaozihou", - "url": "https://github.com/gaozihou" + "avatar": "https://avatars.githubusercontent.com/u/199415?s=40&v=4", + "name": "zarubaf", + "url": "https://github.com/zarubaf" } ] }, { - "title": "bespoke-silicon-group/bsg_manycore", - "url": "https://github.com/bespoke-silicon-group/bsg_manycore", - "description": "Tile based architecture designed for computing efficiency, scalability and generality", + "title": "lowRISC/opentitan", + "url": "https://github.com/lowRISC/opentitan", + "description": "OpenTitan: Open source silicon root of trust", "language": "SystemVerilog", "languageColor": "#DAE1C2", - "stars": "230", - "forks": "59", - "addStars": "3", + "stars": "2,590", + "forks": "781", + "addStars": "37", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2791860?s=40&v=4", - "name": "ShawnLess", - "url": "https://github.com/ShawnLess" + "avatar": "https://avatars.githubusercontent.com/u/104845?s=40&v=4", + "name": "rswarbrick", + "url": "https://github.com/rswarbrick" }, { - "avatar": "https://avatars.githubusercontent.com/u/46542701?s=40&v=4", - "name": "tommydcjung", - "url": "https://github.com/tommydcjung" + "avatar": "https://avatars.githubusercontent.com/u/41358501?s=40&v=4", + "name": "msfschaffner", + "url": "https://github.com/msfschaffner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11466553?s=40&v=4", + "name": "cindychip", + "url": "https://github.com/cindychip" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5633066?s=40&v=4", + "name": "timothytrippel", + "url": "https://github.com/timothytrippel" }, + { + "avatar": "https://avatars.githubusercontent.com/u/20307557?s=40&v=4", + "name": "vogelpi", + "url": "https://github.com/vogelpi" + } + ] + }, + { + "title": "bespoke-silicon-group/basejump_stl", + "url": "https://github.com/bespoke-silicon-group/basejump_stl", + "description": "BaseJump STL: A Standard Template Library for SystemVerilog", + "language": "SystemVerilog", + "languageColor": "#DAE1C2", + "stars": "527", + "forks": "99", + "addStars": "11", + "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/17460864?s=40&v=4", "name": "taylor-bsg", "url": "https://github.com/taylor-bsg" }, { - "avatar": "https://avatars.githubusercontent.com/u/16723254?s=40&v=4", - "name": "vb000", - "url": "https://github.com/vb000" + "avatar": "https://avatars.githubusercontent.com/u/46542701?s=40&v=4", + "name": "tommydcjung", + "url": "https://github.com/tommydcjung" }, { - "avatar": "https://avatars.githubusercontent.com/u/43658540?s=40&v=4", - "name": "bornaehsani", - "url": "https://github.com/bornaehsani" + "avatar": "https://avatars.githubusercontent.com/u/2322266?s=40&v=4", + "name": "dpetrisko", + "url": "https://github.com/dpetrisko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2791860?s=40&v=4", + "name": "ShawnLess", + "url": "https://github.com/ShawnLess" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11407587?s=40&v=4", + "name": "gaozihou", + "url": "https://github.com/gaozihou" } ] }, @@ -152,43 +189,6 @@ } ] }, - { - "title": "pulp-platform/axi", - "url": "https://github.com/pulp-platform/axi", - "description": "AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication", - "language": "SystemVerilog", - "languageColor": "#DAE1C2", - "stars": "1,115", - "forks": "267", - "addStars": "34", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3583291?s=40&v=4", - "name": "andreaskurth", - "url": "https://github.com/andreaskurth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65011851?s=40&v=4", - "name": "thommythomaso", - "url": "https://github.com/thommythomaso" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6088584?s=40&v=4", - "name": "micprog", - "url": "https://github.com/micprog" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6261373?s=40&v=4", - "name": "suehtamacv", - "url": "https://github.com/suehtamacv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/199415?s=40&v=4", - "name": "zarubaf", - "url": "https://github.com/zarubaf" - } - ] - }, { "title": "openhwgroup/cvfpu", "url": "https://github.com/openhwgroup/cvfpu", @@ -227,76 +227,39 @@ ] }, { - "title": "lowRISC/opentitan", - "url": "https://github.com/lowRISC/opentitan", - "description": "OpenTitan: Open source silicon root of trust", - "language": "SystemVerilog", - "languageColor": "#DAE1C2", - "stars": "2,590", - "forks": "780", - "addStars": "37", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/104845?s=40&v=4", - "name": "rswarbrick", - "url": "https://github.com/rswarbrick" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41358501?s=40&v=4", - "name": "msfschaffner", - "url": "https://github.com/msfschaffner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11466553?s=40&v=4", - "name": "cindychip", - "url": "https://github.com/cindychip" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5633066?s=40&v=4", - "name": "timothytrippel", - "url": "https://github.com/timothytrippel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20307557?s=40&v=4", - "name": "vogelpi", - "url": "https://github.com/vogelpi" - } - ] - }, - { - "title": "lowRISC/ibex", - "url": "https://github.com/lowRISC/ibex", - "description": "Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.", + "title": "bespoke-silicon-group/bsg_manycore", + "url": "https://github.com/bespoke-silicon-group/bsg_manycore", + "description": "Tile based architecture designed for computing efficiency, scalability and generality", "language": "SystemVerilog", "languageColor": "#DAE1C2", - "stars": "1,384", - "forks": "547", - "addStars": "19", + "stars": "230", + "forks": "59", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/471032?s=40&v=4", - "name": "GregAC", - "url": "https://github.com/GregAC" + "avatar": "https://avatars.githubusercontent.com/u/2791860?s=40&v=4", + "name": "ShawnLess", + "url": "https://github.com/ShawnLess" }, { - "avatar": "https://avatars.githubusercontent.com/u/104845?s=40&v=4", - "name": "rswarbrick", - "url": "https://github.com/rswarbrick" + "avatar": "https://avatars.githubusercontent.com/u/46542701?s=40&v=4", + "name": "tommydcjung", + "url": "https://github.com/tommydcjung" }, { - "avatar": "https://avatars.githubusercontent.com/u/1159506?s=40&v=4", - "name": "Atokulus", - "url": "https://github.com/Atokulus" + "avatar": "https://avatars.githubusercontent.com/u/17460864?s=40&v=4", + "name": "taylor-bsg", + "url": "https://github.com/taylor-bsg" }, { - "avatar": "https://avatars.githubusercontent.com/u/20307557?s=40&v=4", - "name": "vogelpi", - "url": "https://github.com/vogelpi" + "avatar": "https://avatars.githubusercontent.com/u/16723254?s=40&v=4", + "name": "vb000", + "url": "https://github.com/vb000" }, { - "avatar": "https://avatars.githubusercontent.com/u/2758621?s=40&v=4", - "name": "atraber", - "url": "https://github.com/atraber" + "avatar": "https://avatars.githubusercontent.com/u/43658540?s=40&v=4", + "name": "bornaehsani", + "url": "https://github.com/bornaehsani" } ] }, @@ -337,6 +300,43 @@ } ] }, + { + "title": "lowRISC/ibex", + "url": "https://github.com/lowRISC/ibex", + "description": "Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.", + "language": "SystemVerilog", + "languageColor": "#DAE1C2", + "stars": "1,386", + "forks": "547", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/471032?s=40&v=4", + "name": "GregAC", + "url": "https://github.com/GregAC" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/104845?s=40&v=4", + "name": "rswarbrick", + "url": "https://github.com/rswarbrick" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1159506?s=40&v=4", + "name": "Atokulus", + "url": "https://github.com/Atokulus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20307557?s=40&v=4", + "name": "vogelpi", + "url": "https://github.com/vogelpi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2758621?s=40&v=4", + "name": "atraber", + "url": "https://github.com/atraber" + } + ] + }, { "title": "pulp-platform/tech_cells_generic", "url": "https://github.com/pulp-platform/tech_cells_generic", @@ -380,7 +380,7 @@ "description": "A minimal GPU design in Verilog to learn how GPUs work from the ground up", "language": "SystemVerilog", "languageColor": "#DAE1C2", - "stars": "7,100", + "stars": "7,101", "forks": "536", "addStars": "89", "contributors": [ diff --git a/data/monthly/systemverilog.xml b/data/monthly/systemverilog.xml index b8838e305a5a..270612b07f71 100644 --- a/data/monthly/systemverilog.xml +++ b/data/monthly/systemverilog.xml @@ -3,7 +3,7 @@ GitHub Systemverilog Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Systemverilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pulp-platform/riscv-dbg https://github.com/pulp-platform/riscv-dbg @@ -43,78 +43,116 @@ - bespoke-silicon-group/basejump_stl - https://github.com/bespoke-silicon-group/basejump_stl - BaseJump STL: A Standard Template Library for SystemVerilog - https://github.com/bespoke-silicon-group/basejump_stl + pulp-platform/axi + https://github.com/pulp-platform/axi + AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication + https://github.com/pulp-platform/axi SystemVerilog #DAE1C2 - 527 - 99 - 11 + 1,115 + 267 + 34 - https://avatars.githubusercontent.com/u/17460864?s=40&v=4 - taylor-bsg - https://github.com/taylor-bsg + https://avatars.githubusercontent.com/u/3583291?s=40&v=4 + andreaskurth + https://github.com/andreaskurth - https://avatars.githubusercontent.com/u/46542701?s=40&v=4 - tommydcjung - https://github.com/tommydcjung + https://avatars.githubusercontent.com/u/65011851?s=40&v=4 + thommythomaso + https://github.com/thommythomaso - https://avatars.githubusercontent.com/u/2791860?s=40&v=4 - ShawnLess - https://github.com/ShawnLess + https://avatars.githubusercontent.com/u/6088584?s=40&v=4 + micprog + https://github.com/micprog - https://avatars.githubusercontent.com/u/2322266?s=40&v=4 - dpetrisko - https://github.com/dpetrisko + https://avatars.githubusercontent.com/u/6261373?s=40&v=4 + suehtamacv + https://github.com/suehtamacv - https://avatars.githubusercontent.com/u/11407587?s=40&v=4 - gaozihou - https://github.com/gaozihou + https://avatars.githubusercontent.com/u/199415?s=40&v=4 + zarubaf + https://github.com/zarubaf - bespoke-silicon-group/bsg_manycore - https://github.com/bespoke-silicon-group/bsg_manycore - Tile based architecture designed for computing efficiency, scalability and generality - https://github.com/bespoke-silicon-group/bsg_manycore + lowRISC/opentitan + https://github.com/lowRISC/opentitan + OpenTitan: Open source silicon root of trust + https://github.com/lowRISC/opentitan SystemVerilog #DAE1C2 - 230 - 59 - 3 + 2,590 + 781 + 37 - https://avatars.githubusercontent.com/u/2791860?s=40&v=4 - ShawnLess - https://github.com/ShawnLess + https://avatars.githubusercontent.com/u/104845?s=40&v=4 + rswarbrick + https://github.com/rswarbrick - https://avatars.githubusercontent.com/u/46542701?s=40&v=4 - tommydcjung - https://github.com/tommydcjung + https://avatars.githubusercontent.com/u/41358501?s=40&v=4 + msfschaffner + https://github.com/msfschaffner + + https://avatars.githubusercontent.com/u/11466553?s=40&v=4 + cindychip + https://github.com/cindychip + + + https://avatars.githubusercontent.com/u/5633066?s=40&v=4 + timothytrippel + https://github.com/timothytrippel + + + https://avatars.githubusercontent.com/u/20307557?s=40&v=4 + vogelpi + https://github.com/vogelpi + + + + + bespoke-silicon-group/basejump_stl + https://github.com/bespoke-silicon-group/basejump_stl + BaseJump STL: A Standard Template Library for SystemVerilog + https://github.com/bespoke-silicon-group/basejump_stl + SystemVerilog + #DAE1C2 + 527 + 99 + 11 + https://avatars.githubusercontent.com/u/17460864?s=40&v=4 taylor-bsg https://github.com/taylor-bsg - https://avatars.githubusercontent.com/u/16723254?s=40&v=4 - vb000 - https://github.com/vb000 + https://avatars.githubusercontent.com/u/46542701?s=40&v=4 + tommydcjung + https://github.com/tommydcjung - https://avatars.githubusercontent.com/u/43658540?s=40&v=4 - bornaehsani - https://github.com/bornaehsani + https://avatars.githubusercontent.com/u/2322266?s=40&v=4 + dpetrisko + https://github.com/dpetrisko + + + https://avatars.githubusercontent.com/u/2791860?s=40&v=4 + ShawnLess + https://github.com/ShawnLess + + + https://avatars.githubusercontent.com/u/11407587?s=40&v=4 + gaozihou + https://github.com/gaozihou @@ -156,44 +194,6 @@ - - pulp-platform/axi - https://github.com/pulp-platform/axi - AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication - https://github.com/pulp-platform/axi - SystemVerilog - #DAE1C2 - 1,115 - 267 - 34 - - - https://avatars.githubusercontent.com/u/3583291?s=40&v=4 - andreaskurth - https://github.com/andreaskurth - - - https://avatars.githubusercontent.com/u/65011851?s=40&v=4 - thommythomaso - https://github.com/thommythomaso - - - https://avatars.githubusercontent.com/u/6088584?s=40&v=4 - micprog - https://github.com/micprog - - - https://avatars.githubusercontent.com/u/6261373?s=40&v=4 - suehtamacv - https://github.com/suehtamacv - - - https://avatars.githubusercontent.com/u/199415?s=40&v=4 - zarubaf - https://github.com/zarubaf - - - openhwgroup/cvfpu https://github.com/openhwgroup/cvfpu @@ -233,78 +233,40 @@ - lowRISC/opentitan - https://github.com/lowRISC/opentitan - OpenTitan: Open source silicon root of trust - https://github.com/lowRISC/opentitan - SystemVerilog - #DAE1C2 - 2,590 - 780 - 37 - - - https://avatars.githubusercontent.com/u/104845?s=40&v=4 - rswarbrick - https://github.com/rswarbrick - - - https://avatars.githubusercontent.com/u/41358501?s=40&v=4 - msfschaffner - https://github.com/msfschaffner - - - https://avatars.githubusercontent.com/u/11466553?s=40&v=4 - cindychip - https://github.com/cindychip - - - https://avatars.githubusercontent.com/u/5633066?s=40&v=4 - timothytrippel - https://github.com/timothytrippel - - - https://avatars.githubusercontent.com/u/20307557?s=40&v=4 - vogelpi - https://github.com/vogelpi - - - - - lowRISC/ibex - https://github.com/lowRISC/ibex - Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy. - https://github.com/lowRISC/ibex + bespoke-silicon-group/bsg_manycore + https://github.com/bespoke-silicon-group/bsg_manycore + Tile based architecture designed for computing efficiency, scalability and generality + https://github.com/bespoke-silicon-group/bsg_manycore SystemVerilog #DAE1C2 - 1,384 - 547 - 19 + 230 + 59 + 3 - https://avatars.githubusercontent.com/u/471032?s=40&v=4 - GregAC - https://github.com/GregAC + https://avatars.githubusercontent.com/u/2791860?s=40&v=4 + ShawnLess + https://github.com/ShawnLess - https://avatars.githubusercontent.com/u/104845?s=40&v=4 - rswarbrick - https://github.com/rswarbrick + https://avatars.githubusercontent.com/u/46542701?s=40&v=4 + tommydcjung + https://github.com/tommydcjung - https://avatars.githubusercontent.com/u/1159506?s=40&v=4 - Atokulus - https://github.com/Atokulus + https://avatars.githubusercontent.com/u/17460864?s=40&v=4 + taylor-bsg + https://github.com/taylor-bsg - https://avatars.githubusercontent.com/u/20307557?s=40&v=4 - vogelpi - https://github.com/vogelpi + https://avatars.githubusercontent.com/u/16723254?s=40&v=4 + vb000 + https://github.com/vb000 - https://avatars.githubusercontent.com/u/2758621?s=40&v=4 - atraber - https://github.com/atraber + https://avatars.githubusercontent.com/u/43658540?s=40&v=4 + bornaehsani + https://github.com/bornaehsani @@ -346,6 +308,44 @@ + + lowRISC/ibex + https://github.com/lowRISC/ibex + Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy. + https://github.com/lowRISC/ibex + SystemVerilog + #DAE1C2 + 1,386 + 547 + 19 + + + https://avatars.githubusercontent.com/u/471032?s=40&v=4 + GregAC + https://github.com/GregAC + + + https://avatars.githubusercontent.com/u/104845?s=40&v=4 + rswarbrick + https://github.com/rswarbrick + + + https://avatars.githubusercontent.com/u/1159506?s=40&v=4 + Atokulus + https://github.com/Atokulus + + + https://avatars.githubusercontent.com/u/20307557?s=40&v=4 + vogelpi + https://github.com/vogelpi + + + https://avatars.githubusercontent.com/u/2758621?s=40&v=4 + atraber + https://github.com/atraber + + + pulp-platform/tech_cells_generic https://github.com/pulp-platform/tech_cells_generic @@ -391,7 +391,7 @@ https://github.com/adam-maj/tiny-gpu SystemVerilog #DAE1C2 - 7,100 + 7,101 536 89 diff --git a/data/monthly/talon.json b/data/monthly/talon.json index 0ea7f650cbc7..965b0edebc5a 100644 --- a/data/monthly/talon.json +++ b/data/monthly/talon.json @@ -2,6 +2,6 @@ "title": "GitHub Talon Languages Monthly Trending", "description": "Monthly Trending of Talon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/talon.xml b/data/monthly/talon.xml index 70946c3f7e68..e38a85c79195 100644 --- a/data/monthly/talon.xml +++ b/data/monthly/talon.xml @@ -3,6 +3,6 @@ GitHub Talon Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Talon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/tcl.json b/data/monthly/tcl.json index 0d127cc36302..0dc5407cdeac 100644 --- a/data/monthly/tcl.json +++ b/data/monthly/tcl.json @@ -2,7 +2,7 @@ "title": "GitHub Tcl Languages Monthly Trending", "description": "Monthly Trending of Tcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "rdbende/Sun-Valley-ttk-theme", @@ -84,8 +84,8 @@ "description": "The MacPorts ports tree", "language": "Tcl", "languageColor": "#e4cc98", - "stars": "1,538", - "forks": "1,315", + "stars": "1,539", + "forks": "1,316", "addStars": "18", "contributors": [ { @@ -159,7 +159,7 @@ "language": "Tcl", "languageColor": "#e4cc98", "stars": "742", - "forks": "148", + "forks": "149", "addStars": "26", "contributors": [ { diff --git a/data/monthly/tcl.xml b/data/monthly/tcl.xml index f18b77d1b1f8..962b0c49db72 100644 --- a/data/monthly/tcl.xml +++ b/data/monthly/tcl.xml @@ -3,7 +3,7 @@ GitHub Tcl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT rdbende/Sun-Valley-ttk-theme https://github.com/rdbende/Sun-Valley-ttk-theme @@ -87,8 +87,8 @@ https://github.com/macports/macports-ports Tcl #e4cc98 - 1,538 - 1,315 + 1,539 + 1,316 18 @@ -164,7 +164,7 @@ Tcl #e4cc98 742 - 148 + 149 26 diff --git a/data/monthly/tcsh.json b/data/monthly/tcsh.json index e10f838028b7..355765ec39c1 100644 --- a/data/monthly/tcsh.json +++ b/data/monthly/tcsh.json @@ -2,6 +2,6 @@ "title": "GitHub Tcsh Languages Monthly Trending", "description": "Monthly Trending of Tcsh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/tcsh.xml b/data/monthly/tcsh.xml index 60f3d6f71b42..d5400611b0d6 100644 --- a/data/monthly/tcsh.xml +++ b/data/monthly/tcsh.xml @@ -3,6 +3,6 @@ GitHub Tcsh Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tcsh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/tea.json b/data/monthly/tea.json index 9590548b3bb7..cbea5a1def5b 100644 --- a/data/monthly/tea.json +++ b/data/monthly/tea.json @@ -2,6 +2,6 @@ "title": "GitHub Tea Languages Monthly Trending", "description": "Monthly Trending of Tea Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/tea.xml b/data/monthly/tea.xml index 2758e6570772..e310bd07f60a 100644 --- a/data/monthly/tea.xml +++ b/data/monthly/tea.xml @@ -3,6 +3,6 @@ GitHub Tea Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tea Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/templ.json b/data/monthly/templ.json index cee890e66f0d..d024118e093b 100644 --- a/data/monthly/templ.json +++ b/data/monthly/templ.json @@ -2,6 +2,6 @@ "title": "GitHub Templ Languages Monthly Trending", "description": "Monthly Trending of Templ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/templ.xml b/data/monthly/templ.xml index ab7d647f2e10..cf96d4eba6b8 100644 --- a/data/monthly/templ.xml +++ b/data/monthly/templ.xml @@ -3,6 +3,6 @@ GitHub Templ Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Templ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/terra.json b/data/monthly/terra.json index e6360dd5a73f..06d7852de0ed 100644 --- a/data/monthly/terra.json +++ b/data/monthly/terra.json @@ -2,6 +2,6 @@ "title": "GitHub Terra Languages Monthly Trending", "description": "Monthly Trending of Terra Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/terra.xml b/data/monthly/terra.xml index 35ad45112d48..74ba5c05c336 100644 --- a/data/monthly/terra.xml +++ b/data/monthly/terra.xml @@ -3,6 +3,6 @@ GitHub Terra Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Terra Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/terraform-template.json b/data/monthly/terraform-template.json index 0272d77b4672..bf549894349d 100644 --- a/data/monthly/terraform-template.json +++ b/data/monthly/terraform-template.json @@ -2,6 +2,6 @@ "title": "GitHub Terraform-template Languages Monthly Trending", "description": "Monthly Trending of Terraform-template Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/terraform-template.xml b/data/monthly/terraform-template.xml index bba1dd27418a..b56d76a742d2 100644 --- a/data/monthly/terraform-template.xml +++ b/data/monthly/terraform-template.xml @@ -3,6 +3,6 @@ GitHub Terraform-template Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Terraform-template Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/tex.json b/data/monthly/tex.json index 76cdbd3ba42d..3243a08d0a64 100644 --- a/data/monthly/tex.json +++ b/data/monthly/tex.json @@ -2,7 +2,7 @@ "title": "GitHub Tex Languages Monthly Trending", "description": "Monthly Trending of Tex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "HarisIqbal88/PlotNeuralNet", @@ -10,7 +10,7 @@ "description": "Latex code for making neural networks diagrams", "language": "TeX", "languageColor": "#3D6117", - "stars": "22,217", + "stars": "22,218", "forks": "2,884", "addStars": "198", "contributors": [ @@ -47,7 +47,7 @@ "description": "Zhejiang University Graduation Thesis LaTeX Template", "language": "TeX", "languageColor": "#3D6117", - "stars": "2,683", + "stars": "2,686", "forks": "637", "addStars": "91", "contributors": [ @@ -84,7 +84,7 @@ "description": "Introduction to Machine Learning Systems", "language": "TeX", "languageColor": "#3D6117", - "stars": "1,188", + "stars": "1,190", "forks": "153", "addStars": "156", "contributors": [ @@ -184,28 +184,6 @@ } ] }, - { - "title": "vdumoulin/conv_arithmetic", - "url": "https://github.com/vdumoulin/conv_arithmetic", - "description": "A technical report on convolution arithmetic in the context of deep learning", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "14,079", - "forks": "2,289", - "addStars": "69", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2034067?s=40&v=4", - "name": "vdumoulin", - "url": "https://github.com/vdumoulin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4639261?s=40&v=4", - "name": "fvisin", - "url": "https://github.com/fvisin" - } - ] - }, { "title": "sysprog21/lkmpg", "url": "https://github.com/sysprog21/lkmpg", @@ -244,39 +222,61 @@ ] }, { - "title": "matze/mtheme", - "url": "https://github.com/matze/mtheme", - "description": "A modern LaTeX Beamer theme", + "title": "vdumoulin/conv_arithmetic", + "url": "https://github.com/vdumoulin/conv_arithmetic", + "description": "A technical report on convolution arithmetic in the context of deep learning", "language": "TeX", "languageColor": "#3D6117", - "stars": "6,436", - "forks": "846", - "addStars": "35", + "stars": "14,081", + "forks": "2,289", + "addStars": "69", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/115270?s=40&v=4", - "name": "matze", - "url": "https://github.com/matze" + "avatar": "https://avatars.githubusercontent.com/u/2034067?s=40&v=4", + "name": "vdumoulin", + "url": "https://github.com/vdumoulin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1131743?s=40&v=4", - "name": "rchurchley", - "url": "https://github.com/rchurchley" + "avatar": "https://avatars.githubusercontent.com/u/4639261?s=40&v=4", + "name": "fvisin", + "url": "https://github.com/fvisin" + } + ] + }, + { + "title": "exacity/deeplearningbook-chinese", + "url": "https://github.com/exacity/deeplearningbook-chinese", + "description": "Deep Learning Book Chinese Translation", + "language": "TeX", + "languageColor": "#3D6117", + "stars": "35,831", + "forks": "9,114", + "addStars": "137", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1974742?s=40&v=4", + "name": "SwordYork", + "url": "https://github.com/SwordYork" }, { - "avatar": "https://avatars.githubusercontent.com/u/9158719?s=40&v=4", - "name": "benjamin-weiss", - "url": "https://github.com/benjamin-weiss" + "avatar": "https://avatars.githubusercontent.com/u/6804598?s=40&v=4", + "name": "liber145", + "url": "https://github.com/liber145" }, { - "avatar": "https://avatars.githubusercontent.com/u/4878624?s=40&v=4", - "name": "tmgriffiths", - "url": "https://github.com/tmgriffiths" + "avatar": "https://avatars.githubusercontent.com/u/5087210?s=40&v=4", + "name": "futianfan", + "url": "https://github.com/futianfan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1829933?s=40&v=4", - "name": "awalterschulze", - "url": "https://github.com/awalterschulze" + "avatar": "https://avatars.githubusercontent.com/u/24370741?s=40&v=4", + "name": "KevinLee1110", + "url": "https://github.com/KevinLee1110" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1014132?s=40&v=4", + "name": "zhoupeng", + "url": "https://github.com/zhoupeng" } ] }, @@ -318,39 +318,39 @@ ] }, { - "title": "exacity/deeplearningbook-chinese", - "url": "https://github.com/exacity/deeplearningbook-chinese", - "description": "Deep Learning Book Chinese Translation", + "title": "matze/mtheme", + "url": "https://github.com/matze/mtheme", + "description": "A modern LaTeX Beamer theme", "language": "TeX", "languageColor": "#3D6117", - "stars": "35,830", - "forks": "9,114", - "addStars": "137", + "stars": "6,436", + "forks": "846", + "addStars": "35", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1974742?s=40&v=4", - "name": "SwordYork", - "url": "https://github.com/SwordYork" + "avatar": "https://avatars.githubusercontent.com/u/115270?s=40&v=4", + "name": "matze", + "url": "https://github.com/matze" }, { - "avatar": "https://avatars.githubusercontent.com/u/6804598?s=40&v=4", - "name": "liber145", - "url": "https://github.com/liber145" + "avatar": "https://avatars.githubusercontent.com/u/1131743?s=40&v=4", + "name": "rchurchley", + "url": "https://github.com/rchurchley" }, { - "avatar": "https://avatars.githubusercontent.com/u/5087210?s=40&v=4", - "name": "futianfan", - "url": "https://github.com/futianfan" + "avatar": "https://avatars.githubusercontent.com/u/9158719?s=40&v=4", + "name": "benjamin-weiss", + "url": "https://github.com/benjamin-weiss" }, { - "avatar": "https://avatars.githubusercontent.com/u/24370741?s=40&v=4", - "name": "KevinLee1110", - "url": "https://github.com/KevinLee1110" + "avatar": "https://avatars.githubusercontent.com/u/4878624?s=40&v=4", + "name": "tmgriffiths", + "url": "https://github.com/tmgriffiths" }, { - "avatar": "https://avatars.githubusercontent.com/u/1014132?s=40&v=4", - "name": "zhoupeng", - "url": "https://github.com/zhoupeng" + "avatar": "https://avatars.githubusercontent.com/u/1829933?s=40&v=4", + "name": "awalterschulze", + "url": "https://github.com/awalterschulze" } ] }, @@ -360,7 +360,7 @@ "description": "Classical equations and diagrams in machine learning", "language": "TeX", "languageColor": "#3D6117", - "stars": "7,490", + "stars": "7,492", "forks": "1,268", "addStars": "89", "contributors": [ @@ -392,34 +392,39 @@ ] }, { - "title": "posquit0/Awesome-CV", - "url": "https://github.com/posquit0/Awesome-CV", - "description": "📄 Awesome CV is LaTeX template for your outstanding job application", + "title": "riscv/riscv-isa-manual", + "url": "https://github.com/riscv/riscv-isa-manual", + "description": "RISC-V Instruction Set Manual", "language": "TeX", "languageColor": "#3D6117", - "stars": "23,271", - "forks": "4,812", - "addStars": "228", + "stars": "3,704", + "forks": "644", + "addStars": "58", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1484002?s=40&v=4", - "name": "posquit0", - "url": "https://github.com/posquit0" + "avatar": "https://avatars.githubusercontent.com/u/57188?s=40&v=4", + "name": "wmat", + "url": "https://github.com/wmat" }, { - "avatar": "https://avatars.githubusercontent.com/u/690051?s=40&v=4", - "name": "nitrogl", - "url": "https://github.com/nitrogl" + "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", + "name": "aswaterman", + "url": "https://github.com/aswaterman" }, { - "avatar": "https://avatars.githubusercontent.com/u/3946014?s=40&v=4", - "name": "OJFord", - "url": "https://github.com/OJFord" + "avatar": "https://avatars.githubusercontent.com/u/786505?s=40&v=4", + "name": "kasanovic", + "url": "https://github.com/kasanovic" }, { - "avatar": "https://avatars.githubusercontent.com/u/8258702?s=40&v=4", - "name": "johannesbottcher", - "url": "https://github.com/johannesbottcher" + "avatar": "https://avatars.githubusercontent.com/u/5017946?s=40&v=4", + "name": "kersten1", + "url": "https://github.com/kersten1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31252952?s=40&v=4", + "name": "jhauser-us", + "url": "https://github.com/jhauser-us" } ] }, @@ -460,33 +465,6 @@ } ] }, - { - "title": "sanjib-sen/WebLaTex", - "url": "https://github.com/sanjib-sen/WebLaTex", - "description": "A complete alternative for Overleaf with VSCode + Web + Git Integration + Copilot + Grammar & Spell Checker + Live Collaboration Support. Based on GitHub Codespace and Dev container.", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "1,045", - "forks": "297", - "addStars": "32", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/54777542?s=40&v=4", - "name": "sanjib-sen", - "url": "https://github.com/sanjib-sen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1331872?s=40&v=4", - "name": "Mearman", - "url": "https://github.com/Mearman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34148978?s=40&v=4", - "name": "thodson-usgs", - "url": "https://github.com/thodson-usgs" - } - ] - }, { "title": "sb2nov/resume", "url": "https://github.com/sb2nov/resume", @@ -525,39 +503,34 @@ ] }, { - "title": "riscv/riscv-isa-manual", - "url": "https://github.com/riscv/riscv-isa-manual", - "description": "RISC-V Instruction Set Manual", + "title": "posquit0/Awesome-CV", + "url": "https://github.com/posquit0/Awesome-CV", + "description": "📄 Awesome CV is LaTeX template for your outstanding job application", "language": "TeX", "languageColor": "#3D6117", - "stars": "3,704", - "forks": "645", - "addStars": "58", + "stars": "23,271", + "forks": "4,814", + "addStars": "228", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/57188?s=40&v=4", - "name": "wmat", - "url": "https://github.com/wmat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", - "name": "aswaterman", - "url": "https://github.com/aswaterman" + "avatar": "https://avatars.githubusercontent.com/u/1484002?s=40&v=4", + "name": "posquit0", + "url": "https://github.com/posquit0" }, { - "avatar": "https://avatars.githubusercontent.com/u/786505?s=40&v=4", - "name": "kasanovic", - "url": "https://github.com/kasanovic" + "avatar": "https://avatars.githubusercontent.com/u/690051?s=40&v=4", + "name": "nitrogl", + "url": "https://github.com/nitrogl" }, { - "avatar": "https://avatars.githubusercontent.com/u/5017946?s=40&v=4", - "name": "kersten1", - "url": "https://github.com/kersten1" + "avatar": "https://avatars.githubusercontent.com/u/3946014?s=40&v=4", + "name": "OJFord", + "url": "https://github.com/OJFord" }, { - "avatar": "https://avatars.githubusercontent.com/u/31252952?s=40&v=4", - "name": "jhauser-us", - "url": "https://github.com/jhauser-us" + "avatar": "https://avatars.githubusercontent.com/u/8258702?s=40&v=4", + "name": "johannesbottcher", + "url": "https://github.com/johannesbottcher" } ] } diff --git a/data/monthly/tex.xml b/data/monthly/tex.xml index aca702a85d95..e9074e6db3e0 100644 --- a/data/monthly/tex.xml +++ b/data/monthly/tex.xml @@ -3,7 +3,7 @@ GitHub Tex Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT HarisIqbal88/PlotNeuralNet https://github.com/HarisIqbal88/PlotNeuralNet @@ -11,7 +11,7 @@ https://github.com/HarisIqbal88/PlotNeuralNet TeX #3D6117 - 22,217 + 22,218 2,884 198 @@ -49,7 +49,7 @@ https://github.com/TheNetAdmin/zjuthesis TeX #3D6117 - 2,683 + 2,686 637 91 @@ -87,7 +87,7 @@ https://github.com/harvard-edge/cs249r_book TeX #3D6117 - 1,188 + 1,190 153 156 @@ -189,29 +189,6 @@ - - vdumoulin/conv_arithmetic - https://github.com/vdumoulin/conv_arithmetic - A technical report on convolution arithmetic in the context of deep learning - https://github.com/vdumoulin/conv_arithmetic - TeX - #3D6117 - 14,079 - 2,289 - 69 - - - https://avatars.githubusercontent.com/u/2034067?s=40&v=4 - vdumoulin - https://github.com/vdumoulin - - - https://avatars.githubusercontent.com/u/4639261?s=40&v=4 - fvisin - https://github.com/fvisin - - - sysprog21/lkmpg https://github.com/sysprog21/lkmpg @@ -251,40 +228,63 @@ - matze/mtheme - https://github.com/matze/mtheme - A modern LaTeX Beamer theme - https://github.com/matze/mtheme + vdumoulin/conv_arithmetic + https://github.com/vdumoulin/conv_arithmetic + A technical report on convolution arithmetic in the context of deep learning + https://github.com/vdumoulin/conv_arithmetic TeX #3D6117 - 6,436 - 846 - 35 + 14,081 + 2,289 + 69 - https://avatars.githubusercontent.com/u/115270?s=40&v=4 - matze - https://github.com/matze + https://avatars.githubusercontent.com/u/2034067?s=40&v=4 + vdumoulin + https://github.com/vdumoulin - https://avatars.githubusercontent.com/u/1131743?s=40&v=4 - rchurchley - https://github.com/rchurchley + https://avatars.githubusercontent.com/u/4639261?s=40&v=4 + fvisin + https://github.com/fvisin + + + + + exacity/deeplearningbook-chinese + https://github.com/exacity/deeplearningbook-chinese + Deep Learning Book Chinese Translation + https://github.com/exacity/deeplearningbook-chinese + TeX + #3D6117 + 35,831 + 9,114 + 137 + + + https://avatars.githubusercontent.com/u/1974742?s=40&v=4 + SwordYork + https://github.com/SwordYork - https://avatars.githubusercontent.com/u/9158719?s=40&v=4 - benjamin-weiss - https://github.com/benjamin-weiss + https://avatars.githubusercontent.com/u/6804598?s=40&v=4 + liber145 + https://github.com/liber145 - https://avatars.githubusercontent.com/u/4878624?s=40&v=4 - tmgriffiths - https://github.com/tmgriffiths + https://avatars.githubusercontent.com/u/5087210?s=40&v=4 + futianfan + https://github.com/futianfan - https://avatars.githubusercontent.com/u/1829933?s=40&v=4 - awalterschulze - https://github.com/awalterschulze + https://avatars.githubusercontent.com/u/24370741?s=40&v=4 + KevinLee1110 + https://github.com/KevinLee1110 + + + https://avatars.githubusercontent.com/u/1014132?s=40&v=4 + zhoupeng + https://github.com/zhoupeng @@ -327,40 +327,40 @@ - exacity/deeplearningbook-chinese - https://github.com/exacity/deeplearningbook-chinese - Deep Learning Book Chinese Translation - https://github.com/exacity/deeplearningbook-chinese + matze/mtheme + https://github.com/matze/mtheme + A modern LaTeX Beamer theme + https://github.com/matze/mtheme TeX #3D6117 - 35,830 - 9,114 - 137 + 6,436 + 846 + 35 - https://avatars.githubusercontent.com/u/1974742?s=40&v=4 - SwordYork - https://github.com/SwordYork + https://avatars.githubusercontent.com/u/115270?s=40&v=4 + matze + https://github.com/matze - https://avatars.githubusercontent.com/u/6804598?s=40&v=4 - liber145 - https://github.com/liber145 + https://avatars.githubusercontent.com/u/1131743?s=40&v=4 + rchurchley + https://github.com/rchurchley - https://avatars.githubusercontent.com/u/5087210?s=40&v=4 - futianfan - https://github.com/futianfan + https://avatars.githubusercontent.com/u/9158719?s=40&v=4 + benjamin-weiss + https://github.com/benjamin-weiss - https://avatars.githubusercontent.com/u/24370741?s=40&v=4 - KevinLee1110 - https://github.com/KevinLee1110 + https://avatars.githubusercontent.com/u/4878624?s=40&v=4 + tmgriffiths + https://github.com/tmgriffiths - https://avatars.githubusercontent.com/u/1014132?s=40&v=4 - zhoupeng - https://github.com/zhoupeng + https://avatars.githubusercontent.com/u/1829933?s=40&v=4 + awalterschulze + https://github.com/awalterschulze @@ -371,7 +371,7 @@ https://github.com/soulmachine/machine-learning-cheat-sheet TeX #3D6117 - 7,490 + 7,492 1,268 89 @@ -403,35 +403,40 @@ - posquit0/Awesome-CV - https://github.com/posquit0/Awesome-CV - 📄 Awesome CV is LaTeX template for your outstanding job application - https://github.com/posquit0/Awesome-CV + riscv/riscv-isa-manual + https://github.com/riscv/riscv-isa-manual + RISC-V Instruction Set Manual + https://github.com/riscv/riscv-isa-manual TeX #3D6117 - 23,271 - 4,812 - 228 + 3,704 + 644 + 58 - https://avatars.githubusercontent.com/u/1484002?s=40&v=4 - posquit0 - https://github.com/posquit0 + https://avatars.githubusercontent.com/u/57188?s=40&v=4 + wmat + https://github.com/wmat - https://avatars.githubusercontent.com/u/690051?s=40&v=4 - nitrogl - https://github.com/nitrogl + https://avatars.githubusercontent.com/u/1031106?s=40&v=4 + aswaterman + https://github.com/aswaterman - https://avatars.githubusercontent.com/u/3946014?s=40&v=4 - OJFord - https://github.com/OJFord + https://avatars.githubusercontent.com/u/786505?s=40&v=4 + kasanovic + https://github.com/kasanovic - https://avatars.githubusercontent.com/u/8258702?s=40&v=4 - johannesbottcher - https://github.com/johannesbottcher + https://avatars.githubusercontent.com/u/5017946?s=40&v=4 + kersten1 + https://github.com/kersten1 + + + https://avatars.githubusercontent.com/u/31252952?s=40&v=4 + jhauser-us + https://github.com/jhauser-us @@ -473,34 +478,6 @@ - - sanjib-sen/WebLaTex - https://github.com/sanjib-sen/WebLaTex - A complete alternative for Overleaf with VSCode + Web + Git Integration + Copilot + Grammar & Spell Checker + Live Collaboration Support. Based on GitHub Codespace and Dev container. - https://github.com/sanjib-sen/WebLaTex - TeX - #3D6117 - 1,045 - 297 - 32 - - - https://avatars.githubusercontent.com/u/54777542?s=40&v=4 - sanjib-sen - https://github.com/sanjib-sen - - - https://avatars.githubusercontent.com/u/1331872?s=40&v=4 - Mearman - https://github.com/Mearman - - - https://avatars.githubusercontent.com/u/34148978?s=40&v=4 - thodson-usgs - https://github.com/thodson-usgs - - - sb2nov/resume https://github.com/sb2nov/resume @@ -540,40 +517,35 @@ - riscv/riscv-isa-manual - https://github.com/riscv/riscv-isa-manual - RISC-V Instruction Set Manual - https://github.com/riscv/riscv-isa-manual + posquit0/Awesome-CV + https://github.com/posquit0/Awesome-CV + 📄 Awesome CV is LaTeX template for your outstanding job application + https://github.com/posquit0/Awesome-CV TeX #3D6117 - 3,704 - 645 - 58 + 23,271 + 4,814 + 228 - https://avatars.githubusercontent.com/u/57188?s=40&v=4 - wmat - https://github.com/wmat - - - https://avatars.githubusercontent.com/u/1031106?s=40&v=4 - aswaterman - https://github.com/aswaterman + https://avatars.githubusercontent.com/u/1484002?s=40&v=4 + posquit0 + https://github.com/posquit0 - https://avatars.githubusercontent.com/u/786505?s=40&v=4 - kasanovic - https://github.com/kasanovic + https://avatars.githubusercontent.com/u/690051?s=40&v=4 + nitrogl + https://github.com/nitrogl - https://avatars.githubusercontent.com/u/5017946?s=40&v=4 - kersten1 - https://github.com/kersten1 + https://avatars.githubusercontent.com/u/3946014?s=40&v=4 + OJFord + https://github.com/OJFord - https://avatars.githubusercontent.com/u/31252952?s=40&v=4 - jhauser-us - https://github.com/jhauser-us + https://avatars.githubusercontent.com/u/8258702?s=40&v=4 + johannesbottcher + https://github.com/johannesbottcher diff --git a/data/monthly/texinfo.json b/data/monthly/texinfo.json index 8675d4f80d1c..40c075bb6912 100644 --- a/data/monthly/texinfo.json +++ b/data/monthly/texinfo.json @@ -2,6 +2,6 @@ "title": "GitHub Texinfo Languages Monthly Trending", "description": "Monthly Trending of Texinfo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/texinfo.xml b/data/monthly/texinfo.xml index d5ad5ba58d1f..8d1069b2b3a3 100644 --- a/data/monthly/texinfo.xml +++ b/data/monthly/texinfo.xml @@ -3,6 +3,6 @@ GitHub Texinfo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Texinfo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/text.json b/data/monthly/text.json index 04758dadb2cd..1ce1a8cf5f17 100644 --- a/data/monthly/text.json +++ b/data/monthly/text.json @@ -2,7 +2,7 @@ "title": "GitHub Text Languages Monthly Trending", "description": "Monthly Trending of Text Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "DSPBluePrints/FactoryBluePrints", @@ -10,7 +10,7 @@ "description": "游戏戴森球计划的**工厂**蓝图仓库", "language": "Text", "languageColor": "#ccc", - "stars": "1,254", + "stars": "1,255", "forks": "248", "addStars": "58", "contributors": [ diff --git a/data/monthly/text.xml b/data/monthly/text.xml index a2cae341b60e..8e6df4989759 100644 --- a/data/monthly/text.xml +++ b/data/monthly/text.xml @@ -3,7 +3,7 @@ GitHub Text Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Text Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT DSPBluePrints/FactoryBluePrints https://github.com/DSPBluePrints/FactoryBluePrints @@ -11,7 +11,7 @@ https://github.com/DSPBluePrints/FactoryBluePrints Text #ccc - 1,254 + 1,255 248 58 diff --git a/data/monthly/textgrid.json b/data/monthly/textgrid.json index 9d9b8092a2e6..99eb2e4b945c 100644 --- a/data/monthly/textgrid.json +++ b/data/monthly/textgrid.json @@ -2,6 +2,6 @@ "title": "GitHub Textgrid Languages Monthly Trending", "description": "Monthly Trending of Textgrid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/textgrid.xml b/data/monthly/textgrid.xml index b3e806c8b5c6..f0800659e8fe 100644 --- a/data/monthly/textgrid.xml +++ b/data/monthly/textgrid.xml @@ -3,6 +3,6 @@ GitHub Textgrid Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Textgrid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/textile.json b/data/monthly/textile.json index a0bf9bdb0dc1..8ecca6460903 100644 --- a/data/monthly/textile.json +++ b/data/monthly/textile.json @@ -2,6 +2,6 @@ "title": "GitHub Textile Languages Monthly Trending", "description": "Monthly Trending of Textile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/textile.xml b/data/monthly/textile.xml index 8b75bd3dbaa7..6e1ce59ab938 100644 --- a/data/monthly/textile.xml +++ b/data/monthly/textile.xml @@ -3,6 +3,6 @@ GitHub Textile Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Textile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/textmate-properties.json b/data/monthly/textmate-properties.json index f5aaeeefb534..d206be48b75c 100644 --- a/data/monthly/textmate-properties.json +++ b/data/monthly/textmate-properties.json @@ -2,6 +2,6 @@ "title": "GitHub Textmate-properties Languages Monthly Trending", "description": "Monthly Trending of Textmate-properties Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/textmate-properties.xml b/data/monthly/textmate-properties.xml index 90a0da1a20e1..a34039c4ce7f 100644 --- a/data/monthly/textmate-properties.xml +++ b/data/monthly/textmate-properties.xml @@ -3,6 +3,6 @@ GitHub Textmate-properties Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Textmate-properties Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/thrift.json b/data/monthly/thrift.json index a41ae698b298..d8e97f7c09a5 100644 --- a/data/monthly/thrift.json +++ b/data/monthly/thrift.json @@ -2,7 +2,7 @@ "title": "GitHub Thrift Languages Monthly Trending", "description": "Monthly Trending of Thrift Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "apache/parquet-format", diff --git a/data/monthly/thrift.xml b/data/monthly/thrift.xml index 31645f9738dd..a65c5155a174 100644 --- a/data/monthly/thrift.xml +++ b/data/monthly/thrift.xml @@ -3,7 +3,7 @@ GitHub Thrift Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Thrift Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT apache/parquet-format https://github.com/apache/parquet-format diff --git a/data/monthly/ti-program.json b/data/monthly/ti-program.json index 9fc59693894d..3337d5d8d934 100644 --- a/data/monthly/ti-program.json +++ b/data/monthly/ti-program.json @@ -2,6 +2,6 @@ "title": "GitHub Ti-program Languages Monthly Trending", "description": "Monthly Trending of Ti-program Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/ti-program.xml b/data/monthly/ti-program.xml index c6d0f429da52..bb2e70145042 100644 --- a/data/monthly/ti-program.xml +++ b/data/monthly/ti-program.xml @@ -3,6 +3,6 @@ GitHub Ti-program Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Ti-program Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/tl-verilog.json b/data/monthly/tl-verilog.json index 745fe685a18a..caf0b7789a74 100644 --- a/data/monthly/tl-verilog.json +++ b/data/monthly/tl-verilog.json @@ -2,6 +2,6 @@ "title": "GitHub Tl-verilog Languages Monthly Trending", "description": "Monthly Trending of Tl-verilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/tl-verilog.xml b/data/monthly/tl-verilog.xml index 2aaac637d3fd..7d9fe4a99af1 100644 --- a/data/monthly/tl-verilog.xml +++ b/data/monthly/tl-verilog.xml @@ -3,6 +3,6 @@ GitHub Tl-verilog Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tl-verilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/tla.json b/data/monthly/tla.json index 5885933c404c..2c1c82d90baf 100644 --- a/data/monthly/tla.json +++ b/data/monthly/tla.json @@ -2,6 +2,6 @@ "title": "GitHub Tla Languages Monthly Trending", "description": "Monthly Trending of Tla Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/tla.xml b/data/monthly/tla.xml index bcf4b76e4c50..f9f3c2d14d77 100644 --- a/data/monthly/tla.xml +++ b/data/monthly/tla.xml @@ -3,6 +3,6 @@ GitHub Tla Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tla Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/toit.json b/data/monthly/toit.json index 7bca3884fbfb..1599ad34ac6f 100644 --- a/data/monthly/toit.json +++ b/data/monthly/toit.json @@ -2,6 +2,6 @@ "title": "GitHub Toit Languages Monthly Trending", "description": "Monthly Trending of Toit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/toit.xml b/data/monthly/toit.xml index 0bfc961dc718..2a57e284a46a 100644 --- a/data/monthly/toit.xml +++ b/data/monthly/toit.xml @@ -3,6 +3,6 @@ GitHub Toit Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Toit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/toml.json b/data/monthly/toml.json index 865c7205069a..70b98f458107 100644 --- a/data/monthly/toml.json +++ b/data/monthly/toml.json @@ -2,6 +2,6 @@ "title": "GitHub Toml Languages Monthly Trending", "description": "Monthly Trending of Toml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/toml.xml b/data/monthly/toml.xml index 61208e44584a..9b35740305fb 100644 --- a/data/monthly/toml.xml +++ b/data/monthly/toml.xml @@ -3,6 +3,6 @@ GitHub Toml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Toml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/tsql.json b/data/monthly/tsql.json index f4255c06c26b..9d417c29fabc 100644 --- a/data/monthly/tsql.json +++ b/data/monthly/tsql.json @@ -2,7 +2,7 @@ "title": "GitHub Tsql Languages Monthly Trending", "description": "Monthly Trending of Tsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "BrentOzarULTD/SQL-Server-First-Responder-Kit", @@ -10,7 +10,7 @@ "description": "sp_Blitz, sp_BlitzCache, sp_BlitzFirst, sp_BlitzIndex, and other SQL Server scripts for health checks and performance tuning.", "language": "TSQL", "languageColor": "#e38c00", - "stars": "3,380", + "stars": "3,381", "forks": "999", "addStars": "34", "contributors": [ @@ -48,7 +48,7 @@ "language": "TSQL", "languageColor": "#e38c00", "stars": "7,524", - "forks": "2,593", + "forks": "2,595", "addStars": "145", "contributors": [ { diff --git a/data/monthly/tsql.xml b/data/monthly/tsql.xml index 151b6d8b21ba..fbb4bcf6977b 100644 --- a/data/monthly/tsql.xml +++ b/data/monthly/tsql.xml @@ -3,7 +3,7 @@ GitHub Tsql Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT BrentOzarULTD/SQL-Server-First-Responder-Kit https://github.com/BrentOzarULTD/SQL-Server-First-Responder-Kit @@ -11,7 +11,7 @@ https://github.com/BrentOzarULTD/SQL-Server-First-Responder-Kit TSQL #e38c00 - 3,380 + 3,381 999 34 @@ -50,7 +50,7 @@ TSQL #e38c00 7,524 - 2,593 + 2,595 145 diff --git a/data/monthly/tsv.json b/data/monthly/tsv.json index aa6bc2e4e3ec..528eae94fa90 100644 --- a/data/monthly/tsv.json +++ b/data/monthly/tsv.json @@ -2,6 +2,6 @@ "title": "GitHub Tsv Languages Monthly Trending", "description": "Monthly Trending of Tsv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/tsv.xml b/data/monthly/tsv.xml index 6b07a4c529be..b5437d3afbff 100644 --- a/data/monthly/tsv.xml +++ b/data/monthly/tsv.xml @@ -3,6 +3,6 @@ GitHub Tsv Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tsv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/tsx.json b/data/monthly/tsx.json index 05d975fd0f8f..f2cb61e803f6 100644 --- a/data/monthly/tsx.json +++ b/data/monthly/tsx.json @@ -2,6 +2,6 @@ "title": "GitHub Tsx Languages Monthly Trending", "description": "Monthly Trending of Tsx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/tsx.xml b/data/monthly/tsx.xml index 0db85808031b..03ed8bc76e39 100644 --- a/data/monthly/tsx.xml +++ b/data/monthly/tsx.xml @@ -3,6 +3,6 @@ GitHub Tsx Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Tsx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/turing.json b/data/monthly/turing.json index c98f4da16979..34245b720df7 100644 --- a/data/monthly/turing.json +++ b/data/monthly/turing.json @@ -2,6 +2,6 @@ "title": "GitHub Turing Languages Monthly Trending", "description": "Monthly Trending of Turing Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/turing.xml b/data/monthly/turing.xml index d70f81fdf063..2146e2724a32 100644 --- a/data/monthly/turing.xml +++ b/data/monthly/turing.xml @@ -3,6 +3,6 @@ GitHub Turing Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Turing Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/turtle.json b/data/monthly/turtle.json index b4c5b8162f5a..ebef1bb950c5 100644 --- a/data/monthly/turtle.json +++ b/data/monthly/turtle.json @@ -2,6 +2,6 @@ "title": "GitHub Turtle Languages Monthly Trending", "description": "Monthly Trending of Turtle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/turtle.xml b/data/monthly/turtle.xml index 20258c935778..0fe5ca055f5b 100644 --- a/data/monthly/turtle.xml +++ b/data/monthly/turtle.xml @@ -3,6 +3,6 @@ GitHub Turtle Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Turtle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/twig.json b/data/monthly/twig.json index 1893e7b8b1f2..5c137ca8717c 100644 --- a/data/monthly/twig.json +++ b/data/monthly/twig.json @@ -2,7 +2,7 @@ "title": "GitHub Twig Languages Monthly Trending", "description": "Monthly Trending of Twig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "govCMS/GovCMS", diff --git a/data/monthly/twig.xml b/data/monthly/twig.xml index 6f1bd9790702..bfdf49a11fa2 100644 --- a/data/monthly/twig.xml +++ b/data/monthly/twig.xml @@ -3,7 +3,7 @@ GitHub Twig Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Twig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT govCMS/GovCMS https://github.com/govCMS/GovCMS diff --git a/data/monthly/txl.json b/data/monthly/txl.json index 0d4f3bf4aba1..c00bceefd83d 100644 --- a/data/monthly/txl.json +++ b/data/monthly/txl.json @@ -2,6 +2,6 @@ "title": "GitHub Txl Languages Monthly Trending", "description": "Monthly Trending of Txl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/txl.xml b/data/monthly/txl.xml index f785a0982275..2d67dc3d0979 100644 --- a/data/monthly/txl.xml +++ b/data/monthly/txl.xml @@ -3,6 +3,6 @@ GitHub Txl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Txl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/type-language.json b/data/monthly/type-language.json index fe0320ae6238..79c7e6cfa478 100644 --- a/data/monthly/type-language.json +++ b/data/monthly/type-language.json @@ -2,6 +2,6 @@ "title": "GitHub Type-language Languages Monthly Trending", "description": "Monthly Trending of Type-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/type-language.xml b/data/monthly/type-language.xml index 04bb4a939495..4059dc730332 100644 --- a/data/monthly/type-language.xml +++ b/data/monthly/type-language.xml @@ -3,6 +3,6 @@ GitHub Type-language Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Type-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/typescript.json b/data/monthly/typescript.json index ade8dd039467..b946949a7fda 100644 --- a/data/monthly/typescript.json +++ b/data/monthly/typescript.json @@ -2,16 +2,53 @@ "title": "GitHub Typescript Languages Monthly Trending", "description": "Monthly Trending of Typescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "vercel/ai-chatbot", + "url": "https://github.com/vercel/ai-chatbot", + "description": "A full-featured, hackable Next.js AI chatbot built by Vercel", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "9,770", + "forks": "2,464", + "addStars": "3,260", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4060187?s=40&v=4", + "name": "jaredpalmer", + "url": "https://github.com/jaredpalmer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/124599?s=40&v=4", + "name": "shadcn", + "url": "https://github.com/shadcn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17938322?s=40&v=4", + "name": "jeremyphilemon", + "url": "https://github.com/jeremyphilemon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9113740?s=40&v=4", + "name": "leerob", + "url": "https://github.com/leerob" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", + "name": "shuding", + "url": "https://github.com/shuding" + } + ] + }, { "title": "twentyhq/twenty", "url": "https://github.com/twentyhq/twenty", "description": "Building a modern alternative to Salesforce, powered by the community.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "23,433", - "forks": "2,426", + "stars": "23,467", + "forks": "2,428", "addStars": "5,922", "contributors": [ { @@ -47,8 +84,8 @@ "description": "Payload is the open-source, fullstack Next.js framework, giving you instant backend superpowers. Get a full TypeScript backend and admin panel instantly. Use Payload as a headless CMS or for building powerful applications.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "28,106", - "forks": "1,741", + "stars": "28,144", + "forks": "1,743", "addStars": "3,494", "contributors": [ { @@ -84,8 +121,8 @@ "description": "The Bluesky Social application for Web, iOS, and Android", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "12,435", - "forks": "1,588", + "stars": "12,526", + "forks": "1,601", "addStars": "3,023", "contributors": [ { @@ -121,8 +158,8 @@ "description": "📦 Repomix (formerly Repopack) is a powerful tool that packs your entire repository into a single, AI-friendly file. Perfect for when you need to feed your codebase to Large Language Models (LLMs) or other AI tools like Claude, ChatGPT, and Gemini.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "4,324", - "forks": "200", + "stars": "4,335", + "forks": "201", "addStars": "2,456", "contributors": [ { @@ -153,8 +190,8 @@ "description": "Social networking technology created by Bluesky", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "7,070", - "forks": "505", + "stars": "7,122", + "forks": "509", "addStars": "691", "contributors": [ { @@ -185,7 +222,7 @@ "description": "Perplexica is an AI-powered search engine. It is an Open source alternative to Perplexity AI", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "16,337", + "stars": "16,347", "forks": "1,529", "addStars": "2,210", "contributors": [ @@ -222,8 +259,8 @@ "description": "A one-of-a-kind resume builder that keeps your privacy in mind. Completely secure, customizable, portable, open-source and free forever. Try it out today!", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "25,932", - "forks": "2,655", + "stars": "25,937", + "forks": "2,657", "addStars": "2,589", "contributors": [ { @@ -254,8 +291,8 @@ "description": "Autonomous coding agent right in your IDE, capable of creating/editing files, executing commands, using the browser, and more with your permission every step of the way.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "12,651", - "forks": "995", + "stars": "12,678", + "forks": "998", "addStars": "3,697", "contributors": [ { @@ -286,39 +323,34 @@ ] }, { - "title": "medusajs/medusa", - "url": "https://github.com/medusajs/medusa", - "description": "The world's most flexible commerce platform.", + "title": "udecode/plate", + "url": "https://github.com/udecode/plate", + "description": "A rich-text editor powered by AI", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "26,113", - "forks": "2,632", - "addStars": "948", + "stars": "12,044", + "forks": "735", + "addStars": "1,080", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27354907?s=40&v=4", - "name": "shahednasser", - "url": "https://github.com/shahednasser" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59018053?s=40&v=4", - "name": "olivermrbl", - "url": "https://github.com/olivermrbl" + "avatar": "https://avatars.githubusercontent.com/u/19695832?s=40&v=4", + "name": "zbeyens", + "url": "https://github.com/zbeyens" }, { - "avatar": "https://avatars.githubusercontent.com/u/7554214?s=40&v=4", - "name": "srindom", - "url": "https://github.com/srindom" + "avatar": "https://avatars.githubusercontent.com/u/164472012?s=40&v=4", + "name": "felixfeng33", + "url": "https://github.com/felixfeng33" }, { - "avatar": "https://avatars.githubusercontent.com/u/25098370?s=40&v=4", - "name": "adrien2p", - "url": "https://github.com/adrien2p" + "avatar": "https://avatars.githubusercontent.com/u/4272090?s=40&v=4", + "name": "12joan", + "url": "https://github.com/12joan" }, { - "avatar": "https://avatars.githubusercontent.com/u/88927411?s=40&v=4", - "name": "pKorsholm", - "url": "https://github.com/pKorsholm" + "avatar": "https://avatars.githubusercontent.com/u/97291?s=40&v=4", + "name": "dylans", + "url": "https://github.com/dylans" } ] }, @@ -328,8 +360,8 @@ "description": "✨ The Next Gen Airtable Alternative: No-Code Postgres", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "13,153", - "forks": "592", + "stars": "13,159", + "forks": "593", "addStars": "1,534", "contributors": [ { @@ -360,71 +392,34 @@ ] }, { - "title": "udecode/plate", - "url": "https://github.com/udecode/plate", - "description": "A rich-text editor powered by AI", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "12,040", - "forks": "735", - "addStars": "1,080", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/19695832?s=40&v=4", - "name": "zbeyens", - "url": "https://github.com/zbeyens" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/164472012?s=40&v=4", - "name": "felixfeng33", - "url": "https://github.com/felixfeng33" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4272090?s=40&v=4", - "name": "12joan", - "url": "https://github.com/12joan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/97291?s=40&v=4", - "name": "dylans", - "url": "https://github.com/dylans" - } - ] - }, - { - "title": "vercel/ai-chatbot", - "url": "https://github.com/vercel/ai-chatbot", - "description": "A full-featured, hackable Next.js AI chatbot built by Vercel", + "title": "ai16z/eliza", + "url": "https://github.com/ai16z/eliza", + "description": "Conversational Agent for Twitter and Discord", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "9,760", - "forks": "2,463", - "addStars": "3,260", + "stars": "2,124", + "forks": "575", + "addStars": "2,110", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4060187?s=40&v=4", - "name": "jaredpalmer", - "url": "https://github.com/jaredpalmer" + "avatar": "https://avatars.githubusercontent.com/u/18633264?s=40&v=4", + "name": "lalalune", + "url": "https://github.com/lalalune" }, { - "avatar": "https://avatars.githubusercontent.com/u/124599?s=40&v=4", - "name": "shadcn", - "url": "https://github.com/shadcn" + "avatar": "https://avatars.githubusercontent.com/u/90423308?s=40&v=4", + "name": "ponderingdemocritus", + "url": "https://github.com/ponderingdemocritus" }, { - "avatar": "https://avatars.githubusercontent.com/u/17938322?s=40&v=4", - "name": "jeremyphilemon", - "url": "https://github.com/jeremyphilemon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9113740?s=40&v=4", - "name": "leerob", - "url": "https://github.com/leerob" + "avatar": "https://avatars.githubusercontent.com/u/28543?s=40&v=4", + "name": "sirkitree", + "url": "https://github.com/sirkitree" }, { - "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", - "name": "shuding", - "url": "https://github.com/shuding" + "avatar": "https://avatars.githubusercontent.com/u/70625671?s=40&v=4", + "name": "MarcoMandar", + "url": "https://github.com/MarcoMandar" } ] }, @@ -434,8 +429,8 @@ "description": "Omnivore is a complete, open source read-it-later solution for people who like reading.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "13,947", - "forks": "997", + "stars": "13,951", + "forks": "998", "addStars": "1,248", "contributors": [ { @@ -460,46 +455,14 @@ } ] }, - { - "title": "chakra-ui/chakra-ui", - "url": "https://github.com/chakra-ui/chakra-ui", - "description": "⚡️ Simple, Modular & Accessible UI Components for your React Applications", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "38,006", - "forks": "3,279", - "addStars": "362", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6916170?s=40&v=4", - "name": "segunadebayo", - "url": "https://github.com/segunadebayo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1954752?s=40&v=4", - "name": "with-heart", - "url": "https://github.com/with-heart" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16899513?s=40&v=4", - "name": "TimKolberger", - "url": "https://github.com/TimKolberger" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29307652?s=40&v=4", - "name": "ljosberinn", - "url": "https://github.com/ljosberinn" - } - ] - }, { "title": "bluesky-social/feed-generator", "url": "https://github.com/bluesky-social/feed-generator", "description": "ATProto Feed Generator Starter Kit", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "1,354", - "forks": "486", + "stars": "1,363", + "forks": "487", "addStars": "311", "contributors": [ { @@ -535,8 +498,8 @@ "description": "FastGPT is a knowledge-based platform built on the LLMs, offers a comprehensive suite of out-of-the-box capabilities such as data processing, RAG retrieval, and visual AI workflow orchestration, letting you easily develop and deploy complex question-answering systems without the need for extensive setup or configuration.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "18,530", - "forks": "4,894", + "stars": "18,539", + "forks": "4,897", "addStars": "876", "contributors": [ { @@ -567,39 +530,71 @@ ] }, { - "title": "sst/sst", - "url": "https://github.com/sst/sst", - "description": "Build full-stack apps on your own infrastructure.", + "title": "dream-num/univer", + "url": "https://github.com/dream-num/univer", + "description": "Univer is an isomorphic full-stack framework for creating and editing spreadsheets, docs, and slides across client and server.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "22,122", - "forks": "1,675", - "addStars": "551", + "stars": "7,439", + "forks": "641", + "addStars": "552", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/826656?s=40&v=4", - "name": "thdxr", - "url": "https://github.com/thdxr" + "avatar": "https://avatars.githubusercontent.com/u/26371161?s=40&v=4", + "name": "Dushusir", + "url": "https://github.com/Dushusir" }, { - "avatar": "https://avatars.githubusercontent.com/u/83515?s=40&v=4", - "name": "fwang", - "url": "https://github.com/fwang" + "avatar": "https://avatars.githubusercontent.com/u/14025786?s=40&v=4", + "name": "jikkai", + "url": "https://github.com/jikkai" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12122021?s=40&v=4", + "name": "wzhudev", + "url": "https://github.com/wzhudev" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22467037?s=40&v=4", + "name": "jerry-TangHao", + "url": "https://github.com/jerry-TangHao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/68851825?s=40&v=4", + "name": "DR-Univer", + "url": "https://github.com/DR-Univer" + } + ] + }, + { + "title": "chakra-ui/chakra-ui", + "url": "https://github.com/chakra-ui/chakra-ui", + "description": "⚡️ Simple, Modular & Accessible UI Components for your React Applications", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "38,007", + "forks": "3,279", + "addStars": "362", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6916170?s=40&v=4", + "name": "segunadebayo", + "url": "https://github.com/segunadebayo" }, { - "avatar": "https://avatars.githubusercontent.com/u/53023?s=40&v=4", - "name": "jayair", - "url": "https://github.com/jayair" + "avatar": "https://avatars.githubusercontent.com/u/1954752?s=40&v=4", + "name": "with-heart", + "url": "https://github.com/with-heart" }, { - "avatar": "https://avatars.githubusercontent.com/u/127435?s=40&v=4", - "name": "brunocleite", - "url": "https://github.com/brunocleite" + "avatar": "https://avatars.githubusercontent.com/u/16899513?s=40&v=4", + "name": "TimKolberger", + "url": "https://github.com/TimKolberger" }, { - "avatar": "https://avatars.githubusercontent.com/u/32212214?s=40&v=4", - "name": "garretcharp", - "url": "https://github.com/garretcharp" + "avatar": "https://avatars.githubusercontent.com/u/29307652?s=40&v=4", + "name": "ljosberinn", + "url": "https://github.com/ljosberinn" } ] } diff --git a/data/monthly/typescript.xml b/data/monthly/typescript.xml index 18d3c41143de..c96c82c523c9 100644 --- a/data/monthly/typescript.xml +++ b/data/monthly/typescript.xml @@ -3,7 +3,45 @@ GitHub Typescript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Typescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + vercel/ai-chatbot + https://github.com/vercel/ai-chatbot + A full-featured, hackable Next.js AI chatbot built by Vercel + https://github.com/vercel/ai-chatbot + TypeScript + #3178c6 + 9,770 + 2,464 + 3,260 + + + https://avatars.githubusercontent.com/u/4060187?s=40&v=4 + jaredpalmer + https://github.com/jaredpalmer + + + https://avatars.githubusercontent.com/u/124599?s=40&v=4 + shadcn + https://github.com/shadcn + + + https://avatars.githubusercontent.com/u/17938322?s=40&v=4 + jeremyphilemon + https://github.com/jeremyphilemon + + + https://avatars.githubusercontent.com/u/9113740?s=40&v=4 + leerob + https://github.com/leerob + + + https://avatars.githubusercontent.com/u/3676859?s=40&v=4 + shuding + https://github.com/shuding + + + twentyhq/twenty https://github.com/twentyhq/twenty @@ -11,8 +49,8 @@ https://github.com/twentyhq/twenty TypeScript #3178c6 - 23,433 - 2,426 + 23,467 + 2,428 5,922 @@ -49,8 +87,8 @@ https://github.com/payloadcms/payload TypeScript #3178c6 - 28,106 - 1,741 + 28,144 + 1,743 3,494 @@ -87,8 +125,8 @@ https://github.com/bluesky-social/social-app TypeScript #3178c6 - 12,435 - 1,588 + 12,526 + 1,601 3,023 @@ -125,8 +163,8 @@ https://github.com/yamadashy/repomix TypeScript #3178c6 - 4,324 - 200 + 4,335 + 201 2,456 @@ -158,8 +196,8 @@ https://github.com/bluesky-social/atproto TypeScript #3178c6 - 7,070 - 505 + 7,122 + 509 691 @@ -191,7 +229,7 @@ https://github.com/ItzCrazyKns/Perplexica TypeScript #3178c6 - 16,337 + 16,347 1,529 2,210 @@ -229,8 +267,8 @@ https://github.com/AmruthPillai/Reactive-Resume TypeScript #3178c6 - 25,932 - 2,655 + 25,937 + 2,657 2,589 @@ -262,8 +300,8 @@ https://github.com/cline/cline TypeScript #3178c6 - 12,651 - 995 + 12,678 + 998 3,697 @@ -294,40 +332,35 @@ - medusajs/medusa - https://github.com/medusajs/medusa - The world's most flexible commerce platform. - https://github.com/medusajs/medusa + udecode/plate + https://github.com/udecode/plate + A rich-text editor powered by AI + https://github.com/udecode/plate TypeScript #3178c6 - 26,113 - 2,632 - 948 + 12,044 + 735 + 1,080 - https://avatars.githubusercontent.com/u/27354907?s=40&v=4 - shahednasser - https://github.com/shahednasser - - - https://avatars.githubusercontent.com/u/59018053?s=40&v=4 - olivermrbl - https://github.com/olivermrbl + https://avatars.githubusercontent.com/u/19695832?s=40&v=4 + zbeyens + https://github.com/zbeyens - https://avatars.githubusercontent.com/u/7554214?s=40&v=4 - srindom - https://github.com/srindom + https://avatars.githubusercontent.com/u/164472012?s=40&v=4 + felixfeng33 + https://github.com/felixfeng33 - https://avatars.githubusercontent.com/u/25098370?s=40&v=4 - adrien2p - https://github.com/adrien2p + https://avatars.githubusercontent.com/u/4272090?s=40&v=4 + 12joan + https://github.com/12joan - https://avatars.githubusercontent.com/u/88927411?s=40&v=4 - pKorsholm - https://github.com/pKorsholm + https://avatars.githubusercontent.com/u/97291?s=40&v=4 + dylans + https://github.com/dylans @@ -338,8 +371,8 @@ https://github.com/teableio/teable TypeScript #3178c6 - 13,153 - 592 + 13,159 + 593 1,534 @@ -370,73 +403,35 @@ - udecode/plate - https://github.com/udecode/plate - A rich-text editor powered by AI - https://github.com/udecode/plate - TypeScript - #3178c6 - 12,040 - 735 - 1,080 - - - https://avatars.githubusercontent.com/u/19695832?s=40&v=4 - zbeyens - https://github.com/zbeyens - - - https://avatars.githubusercontent.com/u/164472012?s=40&v=4 - felixfeng33 - https://github.com/felixfeng33 - - - https://avatars.githubusercontent.com/u/4272090?s=40&v=4 - 12joan - https://github.com/12joan - - - https://avatars.githubusercontent.com/u/97291?s=40&v=4 - dylans - https://github.com/dylans - - - - - vercel/ai-chatbot - https://github.com/vercel/ai-chatbot - A full-featured, hackable Next.js AI chatbot built by Vercel - https://github.com/vercel/ai-chatbot + ai16z/eliza + https://github.com/ai16z/eliza + Conversational Agent for Twitter and Discord + https://github.com/ai16z/eliza TypeScript #3178c6 - 9,760 - 2,463 - 3,260 + 2,124 + 575 + 2,110 - https://avatars.githubusercontent.com/u/4060187?s=40&v=4 - jaredpalmer - https://github.com/jaredpalmer - - - https://avatars.githubusercontent.com/u/124599?s=40&v=4 - shadcn - https://github.com/shadcn + https://avatars.githubusercontent.com/u/18633264?s=40&v=4 + lalalune + https://github.com/lalalune - https://avatars.githubusercontent.com/u/17938322?s=40&v=4 - jeremyphilemon - https://github.com/jeremyphilemon + https://avatars.githubusercontent.com/u/90423308?s=40&v=4 + ponderingdemocritus + https://github.com/ponderingdemocritus - https://avatars.githubusercontent.com/u/9113740?s=40&v=4 - leerob - https://github.com/leerob + https://avatars.githubusercontent.com/u/28543?s=40&v=4 + sirkitree + https://github.com/sirkitree - https://avatars.githubusercontent.com/u/3676859?s=40&v=4 - shuding - https://github.com/shuding + https://avatars.githubusercontent.com/u/70625671?s=40&v=4 + MarcoMandar + https://github.com/MarcoMandar @@ -447,8 +442,8 @@ https://github.com/omnivore-app/omnivore TypeScript #3178c6 - 13,947 - 997 + 13,951 + 998 1,248 @@ -473,39 +468,6 @@ - - chakra-ui/chakra-ui - https://github.com/chakra-ui/chakra-ui - ⚡️ Simple, Modular & Accessible UI Components for your React Applications - https://github.com/chakra-ui/chakra-ui - TypeScript - #3178c6 - 38,006 - 3,279 - 362 - - - https://avatars.githubusercontent.com/u/6916170?s=40&v=4 - segunadebayo - https://github.com/segunadebayo - - - https://avatars.githubusercontent.com/u/1954752?s=40&v=4 - with-heart - https://github.com/with-heart - - - https://avatars.githubusercontent.com/u/16899513?s=40&v=4 - TimKolberger - https://github.com/TimKolberger - - - https://avatars.githubusercontent.com/u/29307652?s=40&v=4 - ljosberinn - https://github.com/ljosberinn - - - bluesky-social/feed-generator https://github.com/bluesky-social/feed-generator @@ -513,8 +475,8 @@ https://github.com/bluesky-social/feed-generator TypeScript #3178c6 - 1,354 - 486 + 1,363 + 487 311 @@ -551,8 +513,8 @@ https://github.com/labring/FastGPT TypeScript #3178c6 - 18,530 - 4,894 + 18,539 + 4,897 876 @@ -583,40 +545,73 @@ - sst/sst - https://github.com/sst/sst - Build full-stack apps on your own infrastructure. - https://github.com/sst/sst + dream-num/univer + https://github.com/dream-num/univer + Univer is an isomorphic full-stack framework for creating and editing spreadsheets, docs, and slides across client and server. + https://github.com/dream-num/univer TypeScript #3178c6 - 22,122 - 1,675 - 551 + 7,439 + 641 + 552 - https://avatars.githubusercontent.com/u/826656?s=40&v=4 - thdxr - https://github.com/thdxr + https://avatars.githubusercontent.com/u/26371161?s=40&v=4 + Dushusir + https://github.com/Dushusir - https://avatars.githubusercontent.com/u/83515?s=40&v=4 - fwang - https://github.com/fwang + https://avatars.githubusercontent.com/u/14025786?s=40&v=4 + jikkai + https://github.com/jikkai - https://avatars.githubusercontent.com/u/53023?s=40&v=4 - jayair - https://github.com/jayair + https://avatars.githubusercontent.com/u/12122021?s=40&v=4 + wzhudev + https://github.com/wzhudev - https://avatars.githubusercontent.com/u/127435?s=40&v=4 - brunocleite - https://github.com/brunocleite + https://avatars.githubusercontent.com/u/22467037?s=40&v=4 + jerry-TangHao + https://github.com/jerry-TangHao - https://avatars.githubusercontent.com/u/32212214?s=40&v=4 - garretcharp - https://github.com/garretcharp + https://avatars.githubusercontent.com/u/68851825?s=40&v=4 + DR-Univer + https://github.com/DR-Univer + + + + + chakra-ui/chakra-ui + https://github.com/chakra-ui/chakra-ui + ⚡️ Simple, Modular & Accessible UI Components for your React Applications + https://github.com/chakra-ui/chakra-ui + TypeScript + #3178c6 + 38,007 + 3,279 + 362 + + + https://avatars.githubusercontent.com/u/6916170?s=40&v=4 + segunadebayo + https://github.com/segunadebayo + + + https://avatars.githubusercontent.com/u/1954752?s=40&v=4 + with-heart + https://github.com/with-heart + + + https://avatars.githubusercontent.com/u/16899513?s=40&v=4 + TimKolberger + https://github.com/TimKolberger + + + https://avatars.githubusercontent.com/u/29307652?s=40&v=4 + ljosberinn + https://github.com/ljosberinn diff --git a/data/monthly/typst.json b/data/monthly/typst.json index b3c0755c3e33..97c96eeee0a8 100644 --- a/data/monthly/typst.json +++ b/data/monthly/typst.json @@ -2,7 +2,7 @@ "title": "GitHub Typst Languages Monthly Trending", "description": "Monthly Trending of Typst Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "parallel101/cppguidebook", diff --git a/data/monthly/typst.xml b/data/monthly/typst.xml index 6730fd59668f..51d9d603a017 100644 --- a/data/monthly/typst.xml +++ b/data/monthly/typst.xml @@ -3,7 +3,7 @@ GitHub Typst Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Typst Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT parallel101/cppguidebook https://github.com/parallel101/cppguidebook diff --git a/data/monthly/unified-parallel-c.json b/data/monthly/unified-parallel-c.json index 49e2e10cc2d4..6c9397a90d56 100644 --- a/data/monthly/unified-parallel-c.json +++ b/data/monthly/unified-parallel-c.json @@ -2,6 +2,6 @@ "title": "GitHub Unified-parallel-c Languages Monthly Trending", "description": "Monthly Trending of Unified-parallel-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/unified-parallel-c.xml b/data/monthly/unified-parallel-c.xml index 1f541e8a6ccc..805c384629c5 100644 --- a/data/monthly/unified-parallel-c.xml +++ b/data/monthly/unified-parallel-c.xml @@ -3,6 +3,6 @@ GitHub Unified-parallel-c Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Unified-parallel-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/unity3d-asset.json b/data/monthly/unity3d-asset.json index 448fd4588857..0bd2af3b34c4 100644 --- a/data/monthly/unity3d-asset.json +++ b/data/monthly/unity3d-asset.json @@ -2,6 +2,6 @@ "title": "GitHub Unity3d-asset Languages Monthly Trending", "description": "Monthly Trending of Unity3d-asset Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/unity3d-asset.xml b/data/monthly/unity3d-asset.xml index 308172bc4728..a7eed162662d 100644 --- a/data/monthly/unity3d-asset.xml +++ b/data/monthly/unity3d-asset.xml @@ -3,6 +3,6 @@ GitHub Unity3d-asset Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Unity3d-asset Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/unix-assembly.json b/data/monthly/unix-assembly.json index 27922ae7324e..103b4244b4f5 100644 --- a/data/monthly/unix-assembly.json +++ b/data/monthly/unix-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Unix-assembly Languages Monthly Trending", "description": "Monthly Trending of Unix-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/unix-assembly.xml b/data/monthly/unix-assembly.xml index 3c5d3767da58..2d7ce340a9f6 100644 --- a/data/monthly/unix-assembly.xml +++ b/data/monthly/unix-assembly.xml @@ -3,6 +3,6 @@ GitHub Unix-assembly Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Unix-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/uno.json b/data/monthly/uno.json index da9099c6a750..d7cf0f841e7c 100644 --- a/data/monthly/uno.json +++ b/data/monthly/uno.json @@ -2,6 +2,6 @@ "title": "GitHub Uno Languages Monthly Trending", "description": "Monthly Trending of Uno Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/uno.xml b/data/monthly/uno.xml index 1a120a656958..ad13cf247052 100644 --- a/data/monthly/uno.xml +++ b/data/monthly/uno.xml @@ -3,6 +3,6 @@ GitHub Uno Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Uno Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/unrealscript.json b/data/monthly/unrealscript.json index c41ca3ddeb47..88b8d45397e2 100644 --- a/data/monthly/unrealscript.json +++ b/data/monthly/unrealscript.json @@ -2,6 +2,6 @@ "title": "GitHub Unrealscript Languages Monthly Trending", "description": "Monthly Trending of Unrealscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/unrealscript.xml b/data/monthly/unrealscript.xml index 1e3f1902872c..2cb7da70f62f 100644 --- a/data/monthly/unrealscript.xml +++ b/data/monthly/unrealscript.xml @@ -3,6 +3,6 @@ GitHub Unrealscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Unrealscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/urweb.json b/data/monthly/urweb.json index ce9568c29836..1b776505ea49 100644 --- a/data/monthly/urweb.json +++ b/data/monthly/urweb.json @@ -2,6 +2,6 @@ "title": "GitHub Urweb Languages Monthly Trending", "description": "Monthly Trending of Urweb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/urweb.xml b/data/monthly/urweb.xml index 744657422ec6..e711f50c5a64 100644 --- a/data/monthly/urweb.xml +++ b/data/monthly/urweb.xml @@ -3,6 +3,6 @@ GitHub Urweb Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Urweb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/v.json b/data/monthly/v.json index cbf575261860..b2c9f69a30d3 100644 --- a/data/monthly/v.json +++ b/data/monthly/v.json @@ -2,7 +2,7 @@ "title": "GitHub V Languages Monthly Trending", "description": "Monthly Trending of V Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "vlang/v", @@ -10,8 +10,8 @@ "description": "Simple, fast, safe, compiled language for developing maintainable software. Compiles itself in <1s with zero library dependencies. Supports automatic C => V translation. https://vlang.io", "language": "V", "languageColor": "#4f87c4", - "stars": "35,857", - "forks": "2,168", + "stars": "35,859", + "forks": "2,169", "addStars": "184", "contributors": [ { diff --git a/data/monthly/v.xml b/data/monthly/v.xml index aa568e39ae71..a6d195c915b1 100644 --- a/data/monthly/v.xml +++ b/data/monthly/v.xml @@ -3,7 +3,7 @@ GitHub V Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of V Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT vlang/v https://github.com/vlang/v @@ -11,8 +11,8 @@ https://github.com/vlang/v V #4f87c4 - 35,857 - 2,168 + 35,859 + 2,169 184 diff --git a/data/monthly/vala.json b/data/monthly/vala.json index 82aad49b97fa..c27f8af2a3d4 100644 --- a/data/monthly/vala.json +++ b/data/monthly/vala.json @@ -2,7 +2,7 @@ "title": "GitHub Vala Languages Monthly Trending", "description": "Monthly Trending of Vala Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "calo001/fondo", @@ -115,43 +115,6 @@ } ] }, - { - "title": "teejee2008/timeshift", - "url": "https://github.com/teejee2008/timeshift", - "description": "System restore tool for Linux. Creates filesystem snapshots using rsync+hardlinks, or BTRFS snapshots. Supports scheduled snapshots, multiple backup levels, and exclude filters. Snapshots can be restored while system is running or from Live CD/USB.", - "language": "Vala", - "languageColor": "#a56de2", - "stars": "4,693", - "forks": "282", - "addStars": "22", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12488854?s=40&v=4", - "name": "teejee2008", - "url": "https://github.com/teejee2008" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16755638?s=40&v=4", - "name": "kheyyam", - "url": "https://github.com/kheyyam" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1138515?s=40&v=4", - "name": "clefebvre", - "url": "https://github.com/clefebvre" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12208593?s=40&v=4", - "name": "p-bo", - "url": "https://github.com/p-bo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14265316?s=40&v=4", - "name": "TotalCaesar659", - "url": "https://github.com/TotalCaesar659" - } - ] - }, { "title": "linuxmint/timeshift", "url": "https://github.com/linuxmint/timeshift", @@ -225,6 +188,43 @@ "url": "https://github.com/Vistaus" } ] + }, + { + "title": "teejee2008/timeshift", + "url": "https://github.com/teejee2008/timeshift", + "description": "System restore tool for Linux. Creates filesystem snapshots using rsync+hardlinks, or BTRFS snapshots. Supports scheduled snapshots, multiple backup levels, and exclude filters. Snapshots can be restored while system is running or from Live CD/USB.", + "language": "Vala", + "languageColor": "#a56de2", + "stars": "4,693", + "forks": "282", + "addStars": "22", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12488854?s=40&v=4", + "name": "teejee2008", + "url": "https://github.com/teejee2008" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16755638?s=40&v=4", + "name": "kheyyam", + "url": "https://github.com/kheyyam" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1138515?s=40&v=4", + "name": "clefebvre", + "url": "https://github.com/clefebvre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12208593?s=40&v=4", + "name": "p-bo", + "url": "https://github.com/p-bo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14265316?s=40&v=4", + "name": "TotalCaesar659", + "url": "https://github.com/TotalCaesar659" + } + ] } ] } \ No newline at end of file diff --git a/data/monthly/vala.xml b/data/monthly/vala.xml index 10eb6c571b55..5fdd33187761 100644 --- a/data/monthly/vala.xml +++ b/data/monthly/vala.xml @@ -3,7 +3,7 @@ GitHub Vala Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vala Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT calo001/fondo https://github.com/calo001/fondo @@ -118,44 +118,6 @@ - - teejee2008/timeshift - https://github.com/teejee2008/timeshift - System restore tool for Linux. Creates filesystem snapshots using rsync+hardlinks, or BTRFS snapshots. Supports scheduled snapshots, multiple backup levels, and exclude filters. Snapshots can be restored while system is running or from Live CD/USB. - https://github.com/teejee2008/timeshift - Vala - #a56de2 - 4,693 - 282 - 22 - - - https://avatars.githubusercontent.com/u/12488854?s=40&v=4 - teejee2008 - https://github.com/teejee2008 - - - https://avatars.githubusercontent.com/u/16755638?s=40&v=4 - kheyyam - https://github.com/kheyyam - - - https://avatars.githubusercontent.com/u/1138515?s=40&v=4 - clefebvre - https://github.com/clefebvre - - - https://avatars.githubusercontent.com/u/12208593?s=40&v=4 - p-bo - https://github.com/p-bo - - - https://avatars.githubusercontent.com/u/14265316?s=40&v=4 - TotalCaesar659 - https://github.com/TotalCaesar659 - - - linuxmint/timeshift https://github.com/linuxmint/timeshift @@ -232,5 +194,43 @@ + + teejee2008/timeshift + https://github.com/teejee2008/timeshift + System restore tool for Linux. Creates filesystem snapshots using rsync+hardlinks, or BTRFS snapshots. Supports scheduled snapshots, multiple backup levels, and exclude filters. Snapshots can be restored while system is running or from Live CD/USB. + https://github.com/teejee2008/timeshift + Vala + #a56de2 + 4,693 + 282 + 22 + + + https://avatars.githubusercontent.com/u/12488854?s=40&v=4 + teejee2008 + https://github.com/teejee2008 + + + https://avatars.githubusercontent.com/u/16755638?s=40&v=4 + kheyyam + https://github.com/kheyyam + + + https://avatars.githubusercontent.com/u/1138515?s=40&v=4 + clefebvre + https://github.com/clefebvre + + + https://avatars.githubusercontent.com/u/12208593?s=40&v=4 + p-bo + https://github.com/p-bo + + + https://avatars.githubusercontent.com/u/14265316?s=40&v=4 + TotalCaesar659 + https://github.com/TotalCaesar659 + + + \ No newline at end of file diff --git a/data/monthly/valve-data-format.json b/data/monthly/valve-data-format.json index c886770098a1..8e5b037d3368 100644 --- a/data/monthly/valve-data-format.json +++ b/data/monthly/valve-data-format.json @@ -2,6 +2,6 @@ "title": "GitHub Valve-data-format Languages Monthly Trending", "description": "Monthly Trending of Valve-data-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/valve-data-format.xml b/data/monthly/valve-data-format.xml index d409b1dd7c92..c8483534e2ad 100644 --- a/data/monthly/valve-data-format.xml +++ b/data/monthly/valve-data-format.xml @@ -3,6 +3,6 @@ GitHub Valve-data-format Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Valve-data-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/vba.json b/data/monthly/vba.json index f2eda34a485a..87a10e4bf7ad 100644 --- a/data/monthly/vba.json +++ b/data/monthly/vba.json @@ -2,7 +2,7 @@ "title": "GitHub Vba Languages Monthly Trending", "description": "Monthly Trending of Vba Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "RelaxTools/RelaxTools-Addin", diff --git a/data/monthly/vba.xml b/data/monthly/vba.xml index 25ec9fa2ae19..68a78345d50b 100644 --- a/data/monthly/vba.xml +++ b/data/monthly/vba.xml @@ -3,7 +3,7 @@ GitHub Vba Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vba Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT RelaxTools/RelaxTools-Addin https://github.com/RelaxTools/RelaxTools-Addin diff --git a/data/monthly/vbscript.json b/data/monthly/vbscript.json index 01fe8dcb797b..c9582bb1aa25 100644 --- a/data/monthly/vbscript.json +++ b/data/monthly/vbscript.json @@ -2,15 +2,37 @@ "title": "GitHub Vbscript Languages Monthly Trending", "description": "Monthly Trending of Vbscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "Da2dalus/The-MALWARE-Repo", + "url": "https://github.com/Da2dalus/The-MALWARE-Repo", + "description": "A repository full of malware samples.", + "language": "VBScript", + "languageColor": "#15dcdc", + "stars": "1,081", + "forks": "249", + "addStars": "38", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/63458929?s=40&v=4", + "name": "Da2dalus", + "url": "https://github.com/Da2dalus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/123590232?s=40&v=4", + "name": "AymenAbb", + "url": "https://github.com/AymenAbb" + } + ] + }, { "title": "pyenv-win/pyenv-win", "url": "https://github.com/pyenv-win/pyenv-win", "description": "pyenv for Windows. pyenv is a simple python version management tool. It lets you easily switch between multiple versions of Python. It's simple, unobtrusive, and follows the UNIX tradition of single-purpose tools that do one thing well.", "language": "VBScript", "languageColor": "#15dcdc", - "stars": "5,036", + "stars": "5,037", "forks": "460", "addStars": "168", "contributors": [ @@ -62,28 +84,6 @@ "url": "https://github.com/nkh0472" } ] - }, - { - "title": "Da2dalus/The-MALWARE-Repo", - "url": "https://github.com/Da2dalus/The-MALWARE-Repo", - "description": "A repository full of malware samples.", - "language": "VBScript", - "languageColor": "#15dcdc", - "stars": "1,081", - "forks": "249", - "addStars": "38", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/63458929?s=40&v=4", - "name": "Da2dalus", - "url": "https://github.com/Da2dalus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/123590232?s=40&v=4", - "name": "AymenAbb", - "url": "https://github.com/AymenAbb" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/vbscript.xml b/data/monthly/vbscript.xml index 9ca52664553e..36f658dcaa0b 100644 --- a/data/monthly/vbscript.xml +++ b/data/monthly/vbscript.xml @@ -3,7 +3,30 @@ GitHub Vbscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vbscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + Da2dalus/The-MALWARE-Repo + https://github.com/Da2dalus/The-MALWARE-Repo + A repository full of malware samples. + https://github.com/Da2dalus/The-MALWARE-Repo + VBScript + #15dcdc + 1,081 + 249 + 38 + + + https://avatars.githubusercontent.com/u/63458929?s=40&v=4 + Da2dalus + https://github.com/Da2dalus + + + https://avatars.githubusercontent.com/u/123590232?s=40&v=4 + AymenAbb + https://github.com/AymenAbb + + + pyenv-win/pyenv-win https://github.com/pyenv-win/pyenv-win @@ -11,7 +34,7 @@ https://github.com/pyenv-win/pyenv-win VBScript #15dcdc - 5,036 + 5,037 460 168 @@ -65,28 +88,5 @@ - - Da2dalus/The-MALWARE-Repo - https://github.com/Da2dalus/The-MALWARE-Repo - A repository full of malware samples. - https://github.com/Da2dalus/The-MALWARE-Repo - VBScript - #15dcdc - 1,081 - 249 - 38 - - - https://avatars.githubusercontent.com/u/63458929?s=40&v=4 - Da2dalus - https://github.com/Da2dalus - - - https://avatars.githubusercontent.com/u/123590232?s=40&v=4 - AymenAbb - https://github.com/AymenAbb - - - \ No newline at end of file diff --git a/data/monthly/vcl.json b/data/monthly/vcl.json index 71e30747c419..dec9b693adb7 100644 --- a/data/monthly/vcl.json +++ b/data/monthly/vcl.json @@ -2,6 +2,6 @@ "title": "GitHub Vcl Languages Monthly Trending", "description": "Monthly Trending of Vcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/vcl.xml b/data/monthly/vcl.xml index e369dee78fa6..4e08ecb9442e 100644 --- a/data/monthly/vcl.xml +++ b/data/monthly/vcl.xml @@ -3,6 +3,6 @@ GitHub Vcl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/velocity-template-language.json b/data/monthly/velocity-template-language.json index 2e5e4236e49a..e97bf426bf22 100644 --- a/data/monthly/velocity-template-language.json +++ b/data/monthly/velocity-template-language.json @@ -2,6 +2,6 @@ "title": "GitHub Velocity-template-language Languages Monthly Trending", "description": "Monthly Trending of Velocity-template-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/velocity-template-language.xml b/data/monthly/velocity-template-language.xml index 8e29642e35fd..01c0e37e6966 100644 --- a/data/monthly/velocity-template-language.xml +++ b/data/monthly/velocity-template-language.xml @@ -3,6 +3,6 @@ GitHub Velocity-template-language Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Velocity-template-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/verilog.json b/data/monthly/verilog.json index c5c8122037e9..53e0b5053b0d 100644 --- a/data/monthly/verilog.json +++ b/data/monthly/verilog.json @@ -2,7 +2,7 @@ "title": "GitHub Verilog Languages Monthly Trending", "description": "Monthly Trending of Verilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "The-OpenROAD-Project/OpenROAD", @@ -10,8 +10,8 @@ "description": "OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,623", - "forks": "562", + "stars": "1,628", + "forks": "563", "addStars": "56", "contributors": [ { @@ -47,7 +47,7 @@ "description": "OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "346", + "stars": "349", "forks": "292", "addStars": "18", "contributors": [ @@ -78,43 +78,6 @@ } ] }, - { - "title": "EttusResearch/uhd", - "url": "https://github.com/EttusResearch/uhd", - "description": "The USRP™ Hardware Driver Repository", - "language": "Verilog", - "languageColor": "#b2b7f8", - "stars": "1,002", - "forks": "666", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/184117?s=40&v=4", - "name": "guruofquality", - "url": "https://github.com/guruofquality" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/508035?s=40&v=4", - "name": "mbr0wn", - "url": "https://github.com/mbr0wn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5640322?s=40&v=4", - "name": "michael-west", - "url": "https://github.com/michael-west" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32272501?s=40&v=4", - "name": "wordimont", - "url": "https://github.com/wordimont" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1406260?s=40&v=4", - "name": "ncorgan", - "url": "https://github.com/ncorgan" - } - ] - }, { "title": "YosysHQ/picorv32", "url": "https://github.com/YosysHQ/picorv32", @@ -158,7 +121,7 @@ "description": "HDL libraries and projects", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,533", + "stars": "1,534", "forks": "1,520", "addStars": "20", "contributors": [ @@ -190,39 +153,76 @@ ] }, { - "title": "corundum/corundum", - "url": "https://github.com/corundum/corundum", - "description": "Open source FPGA-based NIC and platform for in-network compute", + "title": "EttusResearch/uhd", + "url": "https://github.com/EttusResearch/uhd", + "description": "The USRP™ Hardware Driver Repository", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,719", - "forks": "419", - "addStars": "35", + "stars": "1,002", + "forks": "666", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/508807?s=40&v=4", - "name": "alexforencich", - "url": "https://github.com/alexforencich" + "avatar": "https://avatars.githubusercontent.com/u/184117?s=40&v=4", + "name": "guruofquality", + "url": "https://github.com/guruofquality" }, { - "avatar": "https://avatars.githubusercontent.com/u/56249772?s=40&v=4", - "name": "joft-mle", - "url": "https://github.com/joft-mle" + "avatar": "https://avatars.githubusercontent.com/u/508035?s=40&v=4", + "name": "mbr0wn", + "url": "https://github.com/mbr0wn" }, { - "avatar": "https://avatars.githubusercontent.com/u/10325586?s=40&v=4", - "name": "Basseuph", - "url": "https://github.com/Basseuph" + "avatar": "https://avatars.githubusercontent.com/u/5640322?s=40&v=4", + "name": "michael-west", + "url": "https://github.com/michael-west" }, { - "avatar": "https://avatars.githubusercontent.com/u/56433206?s=40&v=4", - "name": "andreasbraun90", - "url": "https://github.com/andreasbraun90" + "avatar": "https://avatars.githubusercontent.com/u/32272501?s=40&v=4", + "name": "wordimont", + "url": "https://github.com/wordimont" }, { - "avatar": "https://avatars.githubusercontent.com/u/37203263?s=40&v=4", - "name": "minseongg", - "url": "https://github.com/minseongg" + "avatar": "https://avatars.githubusercontent.com/u/1406260?s=40&v=4", + "name": "ncorgan", + "url": "https://github.com/ncorgan" + } + ] + }, + { + "title": "riscv-mcu/e203_hbirdv2", + "url": "https://github.com/riscv-mcu/e203_hbirdv2", + "description": "The Ultra-Low Power RISC-V Core", + "language": "Verilog", + "languageColor": "#b2b7f8", + "stars": "1,292", + "forks": "344", + "addStars": "40", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13828612?s=40&v=4", + "name": "hucan7", + "url": "https://github.com/hucan7" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1538922?s=40&v=4", + "name": "fanghuaqi", + "url": "https://github.com/fanghuaqi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/144345?s=40&v=4", + "name": "Carton", + "url": "https://github.com/Carton" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/766788?s=40&v=4", + "name": "howard0su", + "url": "https://github.com/howard0su" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1916518?s=40&v=4", + "name": "Icenowy", + "url": "https://github.com/Icenowy" } ] }, @@ -232,7 +232,7 @@ "description": "A minimal Linux-capable 64-bit RISC-V SoC built around CVA6", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "200", + "stars": "201", "forks": "48", "addStars": "6", "contributors": [ @@ -264,39 +264,39 @@ ] }, { - "title": "riscv-mcu/e203_hbirdv2", - "url": "https://github.com/riscv-mcu/e203_hbirdv2", - "description": "The Ultra-Low Power RISC-V Core", + "title": "corundum/corundum", + "url": "https://github.com/corundum/corundum", + "description": "Open source FPGA-based NIC and platform for in-network compute", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,291", - "forks": "344", - "addStars": "40", + "stars": "1,719", + "forks": "419", + "addStars": "35", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13828612?s=40&v=4", - "name": "hucan7", - "url": "https://github.com/hucan7" + "avatar": "https://avatars.githubusercontent.com/u/508807?s=40&v=4", + "name": "alexforencich", + "url": "https://github.com/alexforencich" }, { - "avatar": "https://avatars.githubusercontent.com/u/1538922?s=40&v=4", - "name": "fanghuaqi", - "url": "https://github.com/fanghuaqi" + "avatar": "https://avatars.githubusercontent.com/u/56249772?s=40&v=4", + "name": "joft-mle", + "url": "https://github.com/joft-mle" }, { - "avatar": "https://avatars.githubusercontent.com/u/144345?s=40&v=4", - "name": "Carton", - "url": "https://github.com/Carton" + "avatar": "https://avatars.githubusercontent.com/u/10325586?s=40&v=4", + "name": "Basseuph", + "url": "https://github.com/Basseuph" }, { - "avatar": "https://avatars.githubusercontent.com/u/766788?s=40&v=4", - "name": "howard0su", - "url": "https://github.com/howard0su" + "avatar": "https://avatars.githubusercontent.com/u/56433206?s=40&v=4", + "name": "andreasbraun90", + "url": "https://github.com/andreasbraun90" }, { - "avatar": "https://avatars.githubusercontent.com/u/1916518?s=40&v=4", - "name": "Icenowy", - "url": "https://github.com/Icenowy" + "avatar": "https://avatars.githubusercontent.com/u/37203263?s=40&v=4", + "name": "minseongg", + "url": "https://github.com/minseongg" } ] } diff --git a/data/monthly/verilog.xml b/data/monthly/verilog.xml index 09da800e8beb..4c656d227fb3 100644 --- a/data/monthly/verilog.xml +++ b/data/monthly/verilog.xml @@ -3,7 +3,7 @@ GitHub Verilog Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Verilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT The-OpenROAD-Project/OpenROAD https://github.com/The-OpenROAD-Project/OpenROAD @@ -11,8 +11,8 @@ https://github.com/The-OpenROAD-Project/OpenROAD Verilog #b2b7f8 - 1,623 - 562 + 1,628 + 563 56 @@ -49,7 +49,7 @@ https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts Verilog #b2b7f8 - 346 + 349 292 18 @@ -80,44 +80,6 @@ - - EttusResearch/uhd - https://github.com/EttusResearch/uhd - The USRP™ Hardware Driver Repository - https://github.com/EttusResearch/uhd - Verilog - #b2b7f8 - 1,002 - 666 - 14 - - - https://avatars.githubusercontent.com/u/184117?s=40&v=4 - guruofquality - https://github.com/guruofquality - - - https://avatars.githubusercontent.com/u/508035?s=40&v=4 - mbr0wn - https://github.com/mbr0wn - - - https://avatars.githubusercontent.com/u/5640322?s=40&v=4 - michael-west - https://github.com/michael-west - - - https://avatars.githubusercontent.com/u/32272501?s=40&v=4 - wordimont - https://github.com/wordimont - - - https://avatars.githubusercontent.com/u/1406260?s=40&v=4 - ncorgan - https://github.com/ncorgan - - - YosysHQ/picorv32 https://github.com/YosysHQ/picorv32 @@ -163,7 +125,7 @@ https://github.com/analogdevicesinc/hdl Verilog #b2b7f8 - 1,533 + 1,534 1,520 20 @@ -195,40 +157,78 @@ - corundum/corundum - https://github.com/corundum/corundum - Open source FPGA-based NIC and platform for in-network compute - https://github.com/corundum/corundum + EttusResearch/uhd + https://github.com/EttusResearch/uhd + The USRP™ Hardware Driver Repository + https://github.com/EttusResearch/uhd Verilog #b2b7f8 - 1,719 - 419 - 35 + 1,002 + 666 + 14 - https://avatars.githubusercontent.com/u/508807?s=40&v=4 - alexforencich - https://github.com/alexforencich + https://avatars.githubusercontent.com/u/184117?s=40&v=4 + guruofquality + https://github.com/guruofquality - https://avatars.githubusercontent.com/u/56249772?s=40&v=4 - joft-mle - https://github.com/joft-mle + https://avatars.githubusercontent.com/u/508035?s=40&v=4 + mbr0wn + https://github.com/mbr0wn - https://avatars.githubusercontent.com/u/10325586?s=40&v=4 - Basseuph - https://github.com/Basseuph + https://avatars.githubusercontent.com/u/5640322?s=40&v=4 + michael-west + https://github.com/michael-west - https://avatars.githubusercontent.com/u/56433206?s=40&v=4 - andreasbraun90 - https://github.com/andreasbraun90 + https://avatars.githubusercontent.com/u/32272501?s=40&v=4 + wordimont + https://github.com/wordimont - https://avatars.githubusercontent.com/u/37203263?s=40&v=4 - minseongg - https://github.com/minseongg + https://avatars.githubusercontent.com/u/1406260?s=40&v=4 + ncorgan + https://github.com/ncorgan + + + + + riscv-mcu/e203_hbirdv2 + https://github.com/riscv-mcu/e203_hbirdv2 + The Ultra-Low Power RISC-V Core + https://github.com/riscv-mcu/e203_hbirdv2 + Verilog + #b2b7f8 + 1,292 + 344 + 40 + + + https://avatars.githubusercontent.com/u/13828612?s=40&v=4 + hucan7 + https://github.com/hucan7 + + + https://avatars.githubusercontent.com/u/1538922?s=40&v=4 + fanghuaqi + https://github.com/fanghuaqi + + + https://avatars.githubusercontent.com/u/144345?s=40&v=4 + Carton + https://github.com/Carton + + + https://avatars.githubusercontent.com/u/766788?s=40&v=4 + howard0su + https://github.com/howard0su + + + https://avatars.githubusercontent.com/u/1916518?s=40&v=4 + Icenowy + https://github.com/Icenowy @@ -239,7 +239,7 @@ https://github.com/pulp-platform/cheshire Verilog #b2b7f8 - 200 + 201 48 6 @@ -271,40 +271,40 @@ - riscv-mcu/e203_hbirdv2 - https://github.com/riscv-mcu/e203_hbirdv2 - The Ultra-Low Power RISC-V Core - https://github.com/riscv-mcu/e203_hbirdv2 + corundum/corundum + https://github.com/corundum/corundum + Open source FPGA-based NIC and platform for in-network compute + https://github.com/corundum/corundum Verilog #b2b7f8 - 1,291 - 344 - 40 + 1,719 + 419 + 35 - https://avatars.githubusercontent.com/u/13828612?s=40&v=4 - hucan7 - https://github.com/hucan7 + https://avatars.githubusercontent.com/u/508807?s=40&v=4 + alexforencich + https://github.com/alexforencich - https://avatars.githubusercontent.com/u/1538922?s=40&v=4 - fanghuaqi - https://github.com/fanghuaqi + https://avatars.githubusercontent.com/u/56249772?s=40&v=4 + joft-mle + https://github.com/joft-mle - https://avatars.githubusercontent.com/u/144345?s=40&v=4 - Carton - https://github.com/Carton + https://avatars.githubusercontent.com/u/10325586?s=40&v=4 + Basseuph + https://github.com/Basseuph - https://avatars.githubusercontent.com/u/766788?s=40&v=4 - howard0su - https://github.com/howard0su + https://avatars.githubusercontent.com/u/56433206?s=40&v=4 + andreasbraun90 + https://github.com/andreasbraun90 - https://avatars.githubusercontent.com/u/1916518?s=40&v=4 - Icenowy - https://github.com/Icenowy + https://avatars.githubusercontent.com/u/37203263?s=40&v=4 + minseongg + https://github.com/minseongg diff --git a/data/monthly/vhdl.json b/data/monthly/vhdl.json index 7969f5d94d50..34a7f024b776 100644 --- a/data/monthly/vhdl.json +++ b/data/monthly/vhdl.json @@ -2,7 +2,7 @@ "title": "GitHub Vhdl Languages Monthly Trending", "description": "Monthly Trending of Vhdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "OSVVM/OSVVM", @@ -47,7 +47,7 @@ "description": "VHDL 2008/93/87 simulator", "language": "VHDL", "languageColor": "#adb2cb", - "stars": "2,401", + "stars": "2,402", "forks": "366", "addStars": "34", "contributors": [ @@ -84,7 +84,7 @@ "description": "A huge VHDL library for FPGA development", "language": "VHDL", "languageColor": "#adb2cb", - "stars": "347", + "stars": "348", "forks": "57", "addStars": "17", "contributors": [ diff --git a/data/monthly/vhdl.xml b/data/monthly/vhdl.xml index c7ca826e7e5d..41ea41bcabcf 100644 --- a/data/monthly/vhdl.xml +++ b/data/monthly/vhdl.xml @@ -3,7 +3,7 @@ GitHub Vhdl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vhdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT OSVVM/OSVVM https://github.com/OSVVM/OSVVM @@ -49,7 +49,7 @@ https://github.com/ghdl/ghdl VHDL #adb2cb - 2,401 + 2,402 366 34 @@ -87,7 +87,7 @@ https://github.com/slaclab/surf VHDL #adb2cb - 347 + 348 57 17 diff --git a/data/monthly/vim-help-file.json b/data/monthly/vim-help-file.json index 7b5989e51731..305691e0e58a 100644 --- a/data/monthly/vim-help-file.json +++ b/data/monthly/vim-help-file.json @@ -2,6 +2,6 @@ "title": "GitHub Vim-help-file Languages Monthly Trending", "description": "Monthly Trending of Vim-help-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/vim-help-file.xml b/data/monthly/vim-help-file.xml index b402ece1eb34..8e8d57597fb3 100644 --- a/data/monthly/vim-help-file.xml +++ b/data/monthly/vim-help-file.xml @@ -3,6 +3,6 @@ GitHub Vim-help-file Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vim-help-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/vim-script.json b/data/monthly/vim-script.json index 4b21cc0b3e52..c94d0125589c 100644 --- a/data/monthly/vim-script.json +++ b/data/monthly/vim-script.json @@ -2,7 +2,7 @@ "title": "GitHub Vim-script Languages Monthly Trending", "description": "Monthly Trending of Vim-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "vim/vim", @@ -10,8 +10,8 @@ "description": "The official Vim repository", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "36,744", - "forks": "5,473", + "stars": "36,746", + "forks": "5,471", "addStars": "384", "contributors": [ { @@ -47,7 +47,7 @@ "description": "Vim-fork focused on extensibility and usability", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "83,570", + "stars": "83,575", "forks": "5,712", "addStars": "1,000", "contributors": [ @@ -148,39 +148,76 @@ ] }, { - "title": "nvie/vim-flake8", - "url": "https://github.com/nvie/vim-flake8", - "description": "Flake8 plugin for Vim", + "title": "christoomey/vim-tmux-navigator", + "url": "https://github.com/christoomey/vim-tmux-navigator", + "description": "Seamless navigation between tmux panes and vim splits", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "1,066", - "forks": "99", - "addStars": "4", + "stars": "5,387", + "forks": "334", + "addStars": "67", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/83844?s=40&v=4", - "name": "nvie", - "url": "https://github.com/nvie" + "avatar": "https://avatars.githubusercontent.com/u/420113?s=40&v=4", + "name": "christoomey", + "url": "https://github.com/christoomey" }, { - "avatar": "https://avatars.githubusercontent.com/u/2099398?s=40&v=4", - "name": "eistaa", - "url": "https://github.com/eistaa" + "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", + "name": "blueyed", + "url": "https://github.com/blueyed" }, { - "avatar": "https://avatars.githubusercontent.com/u/23026514?s=40&v=4", - "name": "skvrahul", - "url": "https://github.com/skvrahul" + "avatar": "https://avatars.githubusercontent.com/u/2506364?s=40&v=4", + "name": "sdondley", + "url": "https://github.com/sdondley" }, { - "avatar": "https://avatars.githubusercontent.com/u/105168?s=40&v=4", - "name": "dbrgn", - "url": "https://github.com/dbrgn" + "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", + "name": "keith", + "url": "https://github.com/keith" }, { - "avatar": "https://avatars.githubusercontent.com/u/794070?s=40&v=4", - "name": "nicwest", - "url": "https://github.com/nicwest" + "avatar": "https://avatars.githubusercontent.com/u/2268851?s=40&v=4", + "name": "x3rAx", + "url": "https://github.com/x3rAx" + } + ] + }, + { + "title": "Exafunction/codeium.vim", + "url": "https://github.com/Exafunction/codeium.vim", + "description": "Free, ultrafast Copilot alternative for Vim and Neovim", + "language": "Vim Script", + "languageColor": "#199f4b", + "stars": "4,360", + "forks": "160", + "addStars": "167", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7545794?s=40&v=4", + "name": "fortenforge", + "url": "https://github.com/fortenforge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1908017?s=40&v=4", + "name": "pqn", + "url": "https://github.com/pqn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22581534?s=40&v=4", + "name": "sullivan-sean", + "url": "https://github.com/sullivan-sean" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12000736?s=40&v=4", + "name": "njiang747", + "url": "https://github.com/njiang747" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6607077?s=40&v=4", + "name": "khou22", + "url": "https://github.com/khou22" } ] }, @@ -222,39 +259,39 @@ ] }, { - "title": "christoomey/vim-tmux-navigator", - "url": "https://github.com/christoomey/vim-tmux-navigator", - "description": "Seamless navigation between tmux panes and vim splits", + "title": "nvie/vim-flake8", + "url": "https://github.com/nvie/vim-flake8", + "description": "Flake8 plugin for Vim", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "5,385", - "forks": "334", - "addStars": "67", + "stars": "1,066", + "forks": "99", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/420113?s=40&v=4", - "name": "christoomey", - "url": "https://github.com/christoomey" + "avatar": "https://avatars.githubusercontent.com/u/83844?s=40&v=4", + "name": "nvie", + "url": "https://github.com/nvie" }, { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" + "avatar": "https://avatars.githubusercontent.com/u/2099398?s=40&v=4", + "name": "eistaa", + "url": "https://github.com/eistaa" }, { - "avatar": "https://avatars.githubusercontent.com/u/2506364?s=40&v=4", - "name": "sdondley", - "url": "https://github.com/sdondley" + "avatar": "https://avatars.githubusercontent.com/u/23026514?s=40&v=4", + "name": "skvrahul", + "url": "https://github.com/skvrahul" }, { - "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", - "name": "keith", - "url": "https://github.com/keith" + "avatar": "https://avatars.githubusercontent.com/u/105168?s=40&v=4", + "name": "dbrgn", + "url": "https://github.com/dbrgn" }, { - "avatar": "https://avatars.githubusercontent.com/u/2268851?s=40&v=4", - "name": "x3rAx", - "url": "https://github.com/x3rAx" + "avatar": "https://avatars.githubusercontent.com/u/794070?s=40&v=4", + "name": "nicwest", + "url": "https://github.com/nicwest" } ] }, @@ -295,43 +332,6 @@ } ] }, - { - "title": "Exafunction/codeium.vim", - "url": "https://github.com/Exafunction/codeium.vim", - "description": "Free, ultrafast Copilot alternative for Vim and Neovim", - "language": "Vim Script", - "languageColor": "#199f4b", - "stars": "4,360", - "forks": "160", - "addStars": "167", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7545794?s=40&v=4", - "name": "fortenforge", - "url": "https://github.com/fortenforge" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1908017?s=40&v=4", - "name": "pqn", - "url": "https://github.com/pqn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22581534?s=40&v=4", - "name": "sullivan-sean", - "url": "https://github.com/sullivan-sean" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12000736?s=40&v=4", - "name": "njiang747", - "url": "https://github.com/njiang747" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6607077?s=40&v=4", - "name": "khou22", - "url": "https://github.com/khou22" - } - ] - }, { "title": "vim-test/vim-test", "url": "https://github.com/vim-test/vim-test", @@ -443,6 +443,43 @@ } ] }, + { + "title": "kaarmu/typst.vim", + "url": "https://github.com/kaarmu/typst.vim", + "description": "Vim plugin for Typst", + "language": "Vim Script", + "languageColor": "#199f4b", + "stars": "298", + "forks": "28", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/19633647?s=40&v=4", + "name": "kaarmu", + "url": "https://github.com/kaarmu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6561834?s=40&v=4", + "name": "yangwenbo99", + "url": "https://github.com/yangwenbo99" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11978847?s=40&v=4", + "name": "ModProg", + "url": "https://github.com/ModProg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/44550791?s=40&v=4", + "name": "iseri27", + "url": "https://github.com/iseri27" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94862075?s=40&v=4", + "name": "sh1l0h", + "url": "https://github.com/sh1l0h" + } + ] + }, { "title": "octol/vim-cpp-enhanced-highlight", "url": "https://github.com/octol/vim-cpp-enhanced-highlight", @@ -481,76 +518,76 @@ ] }, { - "title": "alvan/vim-closetag", - "url": "https://github.com/alvan/vim-closetag", - "description": "Auto close (X)HTML tags", + "title": "mzlogin/vim-markdown-toc", + "url": "https://github.com/mzlogin/vim-markdown-toc", + "description": "A vim 7.4+ plugin to generate table of contents for Markdown files.", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "754", - "forks": "49", + "stars": "613", + "forks": "59", "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2260872?s=40&v=4", - "name": "alvan", - "url": "https://github.com/alvan" + "avatar": "https://avatars.githubusercontent.com/u/1646590?s=40&v=4", + "name": "mzlogin", + "url": "https://github.com/mzlogin" }, { - "avatar": "https://avatars.githubusercontent.com/u/147080?s=40&v=4", - "name": "aaronkor", - "url": "https://github.com/aaronkor" + "avatar": "https://avatars.githubusercontent.com/u/13142418?s=40&v=4", + "name": "wsdjeg", + "url": "https://github.com/wsdjeg" }, { - "avatar": "https://avatars.githubusercontent.com/u/2782858?s=40&v=4", - "name": "jwworth", - "url": "https://github.com/jwworth" + "avatar": "https://avatars.githubusercontent.com/u/59620?s=40&v=4", + "name": "rsrchboy", + "url": "https://github.com/rsrchboy" }, { - "avatar": "https://avatars.githubusercontent.com/u/264708?s=40&v=4", - "name": "wikimatze", - "url": "https://github.com/wikimatze" + "avatar": "https://avatars.githubusercontent.com/u/440661?s=40&v=4", + "name": "lilydjwg", + "url": "https://github.com/lilydjwg" }, { - "avatar": "https://avatars.githubusercontent.com/u/637174?s=40&v=4", - "name": "bronzehedwick", - "url": "https://github.com/bronzehedwick" + "avatar": "https://avatars.githubusercontent.com/u/2997662?s=40&v=4", + "name": "wget", + "url": "https://github.com/wget" } ] }, { - "title": "tpope/vim-rsi", - "url": "https://github.com/tpope/vim-rsi", - "description": "rsi.vim: Readline style insertion", + "title": "altercation/solarized", + "url": "https://github.com/altercation/solarized", + "description": "precision color scheme for multiple applications (terminal, vim, etc.) with both dark/light modes", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "590", - "forks": "36", - "addStars": "1", + "stars": "15,817", + "forks": "3,519", + "addStars": "31", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/378?s=40&v=4", - "name": "tpope", - "url": "https://github.com/tpope" + "avatar": "https://avatars.githubusercontent.com/u/113542?s=40&v=4", + "name": "altercation", + "url": "https://github.com/altercation" }, { - "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", - "name": "justinmk", - "url": "https://github.com/justinmk" + "avatar": "https://avatars.githubusercontent.com/u/92880?s=40&v=4", + "name": "TrevorBramble", + "url": "https://github.com/TrevorBramble" }, { - "avatar": "https://avatars.githubusercontent.com/u/90633?s=40&v=4", - "name": "vheon", - "url": "https://github.com/vheon" + "avatar": "https://avatars.githubusercontent.com/u/55523?s=40&v=4", + "name": "deplorableword", + "url": "https://github.com/deplorableword" }, { - "avatar": "https://avatars.githubusercontent.com/u/438791?s=40&v=4", - "name": "phongnh", - "url": "https://github.com/phongnh" + "avatar": "https://avatars.githubusercontent.com/u/33031?s=40&v=4", + "name": "sellout", + "url": "https://github.com/sellout" }, { - "avatar": "https://avatars.githubusercontent.com/u/666493?s=40&v=4", - "name": "losingkeys", - "url": "https://github.com/losingkeys" + "avatar": "https://avatars.githubusercontent.com/u/4782?s=40&v=4", + "name": "counterleft", + "url": "https://github.com/counterleft" } ] } diff --git a/data/monthly/vim-script.xml b/data/monthly/vim-script.xml index 014ecf049ad7..b5550aef959e 100644 --- a/data/monthly/vim-script.xml +++ b/data/monthly/vim-script.xml @@ -3,7 +3,7 @@ GitHub Vim-script Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vim-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT vim/vim https://github.com/vim/vim @@ -11,8 +11,8 @@ https://github.com/vim/vim Vim Script #199f4b - 36,744 - 5,473 + 36,746 + 5,471 384 @@ -49,7 +49,7 @@ https://github.com/neovim/neovim Vim Script #199f4b - 83,570 + 83,575 5,712 1,000 @@ -152,40 +152,78 @@ - nvie/vim-flake8 - https://github.com/nvie/vim-flake8 - Flake8 plugin for Vim - https://github.com/nvie/vim-flake8 + christoomey/vim-tmux-navigator + https://github.com/christoomey/vim-tmux-navigator + Seamless navigation between tmux panes and vim splits + https://github.com/christoomey/vim-tmux-navigator Vim Script #199f4b - 1,066 - 99 - 4 + 5,387 + 334 + 67 - https://avatars.githubusercontent.com/u/83844?s=40&v=4 - nvie - https://github.com/nvie + https://avatars.githubusercontent.com/u/420113?s=40&v=4 + christoomey + https://github.com/christoomey - https://avatars.githubusercontent.com/u/2099398?s=40&v=4 - eistaa - https://github.com/eistaa + https://avatars.githubusercontent.com/u/9766?s=40&v=4 + blueyed + https://github.com/blueyed - https://avatars.githubusercontent.com/u/23026514?s=40&v=4 - skvrahul - https://github.com/skvrahul + https://avatars.githubusercontent.com/u/2506364?s=40&v=4 + sdondley + https://github.com/sdondley - https://avatars.githubusercontent.com/u/105168?s=40&v=4 - dbrgn - https://github.com/dbrgn + https://avatars.githubusercontent.com/u/283886?s=40&v=4 + keith + https://github.com/keith - https://avatars.githubusercontent.com/u/794070?s=40&v=4 - nicwest - https://github.com/nicwest + https://avatars.githubusercontent.com/u/2268851?s=40&v=4 + x3rAx + https://github.com/x3rAx + + + + + Exafunction/codeium.vim + https://github.com/Exafunction/codeium.vim + Free, ultrafast Copilot alternative for Vim and Neovim + https://github.com/Exafunction/codeium.vim + Vim Script + #199f4b + 4,360 + 160 + 167 + + + https://avatars.githubusercontent.com/u/7545794?s=40&v=4 + fortenforge + https://github.com/fortenforge + + + https://avatars.githubusercontent.com/u/1908017?s=40&v=4 + pqn + https://github.com/pqn + + + https://avatars.githubusercontent.com/u/22581534?s=40&v=4 + sullivan-sean + https://github.com/sullivan-sean + + + https://avatars.githubusercontent.com/u/12000736?s=40&v=4 + njiang747 + https://github.com/njiang747 + + + https://avatars.githubusercontent.com/u/6607077?s=40&v=4 + khou22 + https://github.com/khou22 @@ -228,40 +266,40 @@ - christoomey/vim-tmux-navigator - https://github.com/christoomey/vim-tmux-navigator - Seamless navigation between tmux panes and vim splits - https://github.com/christoomey/vim-tmux-navigator + nvie/vim-flake8 + https://github.com/nvie/vim-flake8 + Flake8 plugin for Vim + https://github.com/nvie/vim-flake8 Vim Script #199f4b - 5,385 - 334 - 67 + 1,066 + 99 + 4 - https://avatars.githubusercontent.com/u/420113?s=40&v=4 - christoomey - https://github.com/christoomey + https://avatars.githubusercontent.com/u/83844?s=40&v=4 + nvie + https://github.com/nvie - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed + https://avatars.githubusercontent.com/u/2099398?s=40&v=4 + eistaa + https://github.com/eistaa - https://avatars.githubusercontent.com/u/2506364?s=40&v=4 - sdondley - https://github.com/sdondley + https://avatars.githubusercontent.com/u/23026514?s=40&v=4 + skvrahul + https://github.com/skvrahul - https://avatars.githubusercontent.com/u/283886?s=40&v=4 - keith - https://github.com/keith + https://avatars.githubusercontent.com/u/105168?s=40&v=4 + dbrgn + https://github.com/dbrgn - https://avatars.githubusercontent.com/u/2268851?s=40&v=4 - x3rAx - https://github.com/x3rAx + https://avatars.githubusercontent.com/u/794070?s=40&v=4 + nicwest + https://github.com/nicwest @@ -303,44 +341,6 @@ - - Exafunction/codeium.vim - https://github.com/Exafunction/codeium.vim - Free, ultrafast Copilot alternative for Vim and Neovim - https://github.com/Exafunction/codeium.vim - Vim Script - #199f4b - 4,360 - 160 - 167 - - - https://avatars.githubusercontent.com/u/7545794?s=40&v=4 - fortenforge - https://github.com/fortenforge - - - https://avatars.githubusercontent.com/u/1908017?s=40&v=4 - pqn - https://github.com/pqn - - - https://avatars.githubusercontent.com/u/22581534?s=40&v=4 - sullivan-sean - https://github.com/sullivan-sean - - - https://avatars.githubusercontent.com/u/12000736?s=40&v=4 - njiang747 - https://github.com/njiang747 - - - https://avatars.githubusercontent.com/u/6607077?s=40&v=4 - khou22 - https://github.com/khou22 - - - vim-test/vim-test https://github.com/vim-test/vim-test @@ -455,6 +455,44 @@ + + kaarmu/typst.vim + https://github.com/kaarmu/typst.vim + Vim plugin for Typst + https://github.com/kaarmu/typst.vim + Vim Script + #199f4b + 298 + 28 + 9 + + + https://avatars.githubusercontent.com/u/19633647?s=40&v=4 + kaarmu + https://github.com/kaarmu + + + https://avatars.githubusercontent.com/u/6561834?s=40&v=4 + yangwenbo99 + https://github.com/yangwenbo99 + + + https://avatars.githubusercontent.com/u/11978847?s=40&v=4 + ModProg + https://github.com/ModProg + + + https://avatars.githubusercontent.com/u/44550791?s=40&v=4 + iseri27 + https://github.com/iseri27 + + + https://avatars.githubusercontent.com/u/94862075?s=40&v=4 + sh1l0h + https://github.com/sh1l0h + + + octol/vim-cpp-enhanced-highlight https://github.com/octol/vim-cpp-enhanced-highlight @@ -494,78 +532,78 @@ - alvan/vim-closetag - https://github.com/alvan/vim-closetag - Auto close (X)HTML tags - https://github.com/alvan/vim-closetag + mzlogin/vim-markdown-toc + https://github.com/mzlogin/vim-markdown-toc + A vim 7.4+ plugin to generate table of contents for Markdown files. + https://github.com/mzlogin/vim-markdown-toc Vim Script #199f4b - 754 - 49 + 613 + 59 3 - https://avatars.githubusercontent.com/u/2260872?s=40&v=4 - alvan - https://github.com/alvan + https://avatars.githubusercontent.com/u/1646590?s=40&v=4 + mzlogin + https://github.com/mzlogin - https://avatars.githubusercontent.com/u/147080?s=40&v=4 - aaronkor - https://github.com/aaronkor + https://avatars.githubusercontent.com/u/13142418?s=40&v=4 + wsdjeg + https://github.com/wsdjeg - https://avatars.githubusercontent.com/u/2782858?s=40&v=4 - jwworth - https://github.com/jwworth + https://avatars.githubusercontent.com/u/59620?s=40&v=4 + rsrchboy + https://github.com/rsrchboy - https://avatars.githubusercontent.com/u/264708?s=40&v=4 - wikimatze - https://github.com/wikimatze + https://avatars.githubusercontent.com/u/440661?s=40&v=4 + lilydjwg + https://github.com/lilydjwg - https://avatars.githubusercontent.com/u/637174?s=40&v=4 - bronzehedwick - https://github.com/bronzehedwick + https://avatars.githubusercontent.com/u/2997662?s=40&v=4 + wget + https://github.com/wget - tpope/vim-rsi - https://github.com/tpope/vim-rsi - rsi.vim: Readline style insertion - https://github.com/tpope/vim-rsi + altercation/solarized + https://github.com/altercation/solarized + precision color scheme for multiple applications (terminal, vim, etc.) with both dark/light modes + https://github.com/altercation/solarized Vim Script #199f4b - 590 - 36 - 1 + 15,817 + 3,519 + 31 - https://avatars.githubusercontent.com/u/378?s=40&v=4 - tpope - https://github.com/tpope + https://avatars.githubusercontent.com/u/113542?s=40&v=4 + altercation + https://github.com/altercation - https://avatars.githubusercontent.com/u/1359421?s=40&v=4 - justinmk - https://github.com/justinmk + https://avatars.githubusercontent.com/u/92880?s=40&v=4 + TrevorBramble + https://github.com/TrevorBramble - https://avatars.githubusercontent.com/u/90633?s=40&v=4 - vheon - https://github.com/vheon + https://avatars.githubusercontent.com/u/55523?s=40&v=4 + deplorableword + https://github.com/deplorableword - https://avatars.githubusercontent.com/u/438791?s=40&v=4 - phongnh - https://github.com/phongnh + https://avatars.githubusercontent.com/u/33031?s=40&v=4 + sellout + https://github.com/sellout - https://avatars.githubusercontent.com/u/666493?s=40&v=4 - losingkeys - https://github.com/losingkeys + https://avatars.githubusercontent.com/u/4782?s=40&v=4 + counterleft + https://github.com/counterleft diff --git a/data/monthly/vim-snippet.json b/data/monthly/vim-snippet.json index f3c9e88f9fd0..636d93568969 100644 --- a/data/monthly/vim-snippet.json +++ b/data/monthly/vim-snippet.json @@ -2,6 +2,6 @@ "title": "GitHub Vim-snippet Languages Monthly Trending", "description": "Monthly Trending of Vim-snippet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/vim-snippet.xml b/data/monthly/vim-snippet.xml index 5d46209c9df9..b92a0b12939d 100644 --- a/data/monthly/vim-snippet.xml +++ b/data/monthly/vim-snippet.xml @@ -3,6 +3,6 @@ GitHub Vim-snippet Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vim-snippet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/visual-basic-.net.json b/data/monthly/visual-basic-.net.json index 9d05d95139e1..efdd6fe3ff10 100644 --- a/data/monthly/visual-basic-.net.json +++ b/data/monthly/visual-basic-.net.json @@ -2,7 +2,7 @@ "title": "GitHub Visual-basic-.net Languages Monthly Trending", "description": "Monthly Trending of Visual-basic-.net Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "VBA-tools/VBA-JSON", diff --git a/data/monthly/visual-basic-.net.xml b/data/monthly/visual-basic-.net.xml index e82ba2fb27ed..5122d308b003 100644 --- a/data/monthly/visual-basic-.net.xml +++ b/data/monthly/visual-basic-.net.xml @@ -3,7 +3,7 @@ GitHub Visual-basic-.net Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Visual-basic-.net Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT VBA-tools/VBA-JSON https://github.com/VBA-tools/VBA-JSON diff --git a/data/monthly/visual-basic-6.0.json b/data/monthly/visual-basic-6.0.json index e9d834c553b8..44c03927e5fe 100644 --- a/data/monthly/visual-basic-6.0.json +++ b/data/monthly/visual-basic-6.0.json @@ -2,6 +2,6 @@ "title": "GitHub Visual-basic-6.0 Languages Monthly Trending", "description": "Monthly Trending of Visual-basic-6.0 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/visual-basic-6.0.xml b/data/monthly/visual-basic-6.0.xml index 2ed84e7a61fc..b4602bd3aa96 100644 --- a/data/monthly/visual-basic-6.0.xml +++ b/data/monthly/visual-basic-6.0.xml @@ -3,6 +3,6 @@ GitHub Visual-basic-6.0 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Visual-basic-6.0 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/volt.json b/data/monthly/volt.json index f5c32c65f973..3ebae20a5dd3 100644 --- a/data/monthly/volt.json +++ b/data/monthly/volt.json @@ -2,6 +2,6 @@ "title": "GitHub Volt Languages Monthly Trending", "description": "Monthly Trending of Volt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/volt.xml b/data/monthly/volt.xml index d8e11eff24fe..f0790f3c9e55 100644 --- a/data/monthly/volt.xml +++ b/data/monthly/volt.xml @@ -3,6 +3,6 @@ GitHub Volt Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Volt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/vue.json b/data/monthly/vue.json index 0b901894f9eb..6eb76276f8a2 100644 --- a/data/monthly/vue.json +++ b/data/monthly/vue.json @@ -2,48 +2,16 @@ "title": "GitHub Vue Languages Monthly Trending", "description": "Monthly Trending of Vue Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "vbenjs/vue-vben-admin", - "url": "https://github.com/vbenjs/vue-vben-admin", - "description": "A modern vue admin panel built with Vue3, Shadcn UI, Vite, TypeScript, and Monorepo. It's fast!", - "language": "Vue", - "languageColor": "#41b883", - "stars": "25,471", - "forks": "6,930", - "addStars": "587", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/28132598?s=40&v=4", - "name": "anncwb", - "url": "https://github.com/anncwb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/174671189?s=40&v=4", - "name": "vince292007", - "url": "https://github.com/vince292007" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/90845831?s=40&v=4", - "name": "likui628", - "url": "https://github.com/likui628" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/56245609?s=40&v=4", - "name": "pzzyf", - "url": "https://github.com/pzzyf" - } - ] - }, { "title": "0xJacky/nginx-ui", "url": "https://github.com/0xJacky/nginx-ui", "description": "Yet another WebUI for Nginx", "language": "Vue", "languageColor": "#41b883", - "stars": "5,946", - "forks": "431", + "stars": "5,952", + "forks": "432", "addStars": "1,476", "contributors": [ { @@ -74,8 +42,8 @@ "description": "Vue3 + Pinia 仿抖音,Vue 在移动端的最佳实践 . Imitate TikTok ,Vue Best practices on Mobile", "language": "Vue", "languageColor": "#41b883", - "stars": "9,938", - "forks": "2,741", + "stars": "9,941", + "forks": "2,742", "addStars": "661", "contributors": [ { @@ -96,39 +64,34 @@ ] }, { - "title": "unovue/shadcn-vue", - "url": "https://github.com/unovue/shadcn-vue", - "description": "Vue port of shadcn-ui", + "title": "vbenjs/vue-vben-admin", + "url": "https://github.com/vbenjs/vue-vben-admin", + "description": "A modern vue admin panel built with Vue3, Shadcn UI, Vite, TypeScript, and Monorepo. It's fast!", "language": "Vue", "languageColor": "#41b883", - "stars": "5,286", - "forks": "314", - "addStars": "422", + "stars": "25,481", + "forks": "6,931", + "addStars": "587", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/59365435?s=40&v=4", - "name": "zernonia", - "url": "https://github.com/zernonia" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17789047?s=40&v=4", - "name": "sadeghbarati", - "url": "https://github.com/sadeghbarati" + "avatar": "https://avatars.githubusercontent.com/u/28132598?s=40&v=4", + "name": "anncwb", + "url": "https://github.com/anncwb" }, { - "avatar": "https://avatars.githubusercontent.com/u/39938037?s=40&v=4", - "name": "mukundshah", - "url": "https://github.com/mukundshah" + "avatar": "https://avatars.githubusercontent.com/u/174671189?s=40&v=4", + "name": "vince292007", + "url": "https://github.com/vince292007" }, { - "avatar": "https://avatars.githubusercontent.com/u/65568529?s=40&v=4", - "name": "Saeid-Za", - "url": "https://github.com/Saeid-Za" + "avatar": "https://avatars.githubusercontent.com/u/90845831?s=40&v=4", + "name": "likui628", + "url": "https://github.com/likui628" }, { - "avatar": "https://avatars.githubusercontent.com/u/82209198?s=40&v=4", - "name": "hrynevychroman", - "url": "https://github.com/hrynevychroman" + "avatar": "https://avatars.githubusercontent.com/u/56245609?s=40&v=4", + "name": "pzzyf", + "url": "https://github.com/pzzyf" } ] }, @@ -138,7 +101,7 @@ "description": "🚀 A self-hostable personal dashboard built for you. Includes status-checking, widgets, themes, icon packs, a UI editor and tons more!", "language": "Vue", "languageColor": "#41b883", - "stars": "18,138", + "stars": "18,140", "forks": "1,371", "addStars": "857", "contributors": [ @@ -175,8 +138,8 @@ "description": "🎉 A magical vue admin https://panjiachen.github.io/vue-element-admin", "language": "Vue", "languageColor": "#41b883", - "stars": "88,065", - "forks": "30,480", + "stars": "88,074", + "forks": "30,481", "addStars": "372", "contributors": [ { @@ -206,51 +169,14 @@ } ] }, - { - "title": "un-pany/v3-admin-vite", - "url": "https://github.com/un-pany/v3-admin-vite", - "description": "☀️ A vue3 admin template | vue3 admin/element plus admin/vite admin/vue3 template/vue3 后台/vue3 模板/vue3 后台管理系统", - "language": "Vue", - "languageColor": "#41b883", - "stars": "5,332", - "forks": "901", - "addStars": "199", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/49087880?s=40&v=4", - "name": "pany-ang", - "url": "https://github.com/pany-ang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50657815?s=40&v=4", - "name": "heavenly-zy", - "url": "https://github.com/heavenly-zy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82024018?s=40&v=4", - "name": "QC2168", - "url": "https://github.com/QC2168" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27353058?s=40&v=4", - "name": "HavocZhang", - "url": "https://github.com/HavocZhang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39450947?s=40&v=4", - "name": "imaginarykhy", - "url": "https://github.com/imaginarykhy" - } - ] - }, { "title": "AutomaApp/automa", "url": "https://github.com/AutomaApp/automa", "description": "A browser extension for automating your browser by connecting blocks", "language": "Vue", "languageColor": "#41b883", - "stars": "12,191", - "forks": "1,321", + "stars": "12,215", + "forks": "1,324", "addStars": "613", "contributors": [ { @@ -276,29 +202,39 @@ ] }, { - "title": "crmeb/CRMEB", - "url": "https://github.com/crmeb/CRMEB", - "description": "🔥CRMEB开源商城免费开源多语言商城系统,Tp6框架商城,系统可商用;包含小程序商城、H5商城、公众号商城、PC商城、App,支持分销、拼团、砍价、秒杀、优惠券、积分、会员等级、小程序直播、页面DIY,前后端分离,方便二开,更有详细使用文档、接口文档、数据字典、二开文档/视频教程,欢迎大家提出宝贵意见和建议", + "title": "un-pany/v3-admin-vite", + "url": "https://github.com/un-pany/v3-admin-vite", + "description": "☀️ A vue3 admin template | vue3 admin/element plus admin/vite admin/vue3 template/vue3 后台/vue3 模板/vue3 后台管理系统", "language": "Vue", "languageColor": "#41b883", - "stars": "6,107", - "forks": "1,517", - "addStars": "435", + "stars": "5,334", + "forks": "901", + "addStars": "199", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28684103?s=40&v=4", - "name": "FeiLiao-9", - "url": "https://github.com/FeiLiao-9" + "avatar": "https://avatars.githubusercontent.com/u/49087880?s=40&v=4", + "name": "pany-ang", + "url": "https://github.com/pany-ang" }, { - "avatar": "https://avatars.githubusercontent.com/u/30063241?s=40&v=4", - "name": "evoxwht", - "url": "https://github.com/evoxwht" + "avatar": "https://avatars.githubusercontent.com/u/50657815?s=40&v=4", + "name": "heavenly-zy", + "url": "https://github.com/heavenly-zy" }, { - "avatar": "https://avatars.githubusercontent.com/u/14051877?s=40&v=4", - "name": "sugar1569", - "url": "https://github.com/sugar1569" + "avatar": "https://avatars.githubusercontent.com/u/82024018?s=40&v=4", + "name": "QC2168", + "url": "https://github.com/QC2168" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27353058?s=40&v=4", + "name": "HavocZhang", + "url": "https://github.com/HavocZhang" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39450947?s=40&v=4", + "name": "imaginarykhy", + "url": "https://github.com/imaginarykhy" } ] }, @@ -308,8 +244,8 @@ "description": "Next Generation Vue UI Component Library", "language": "Vue", "languageColor": "#41b883", - "stars": "10,827", - "forks": "1,242", + "stars": "10,830", + "forks": "1,241", "addStars": "543", "contributors": [ { @@ -339,13 +275,77 @@ } ] }, + { + "title": "unovue/shadcn-vue", + "url": "https://github.com/unovue/shadcn-vue", + "description": "Vue port of shadcn-ui", + "language": "Vue", + "languageColor": "#41b883", + "stars": "5,292", + "forks": "315", + "addStars": "422", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/59365435?s=40&v=4", + "name": "zernonia", + "url": "https://github.com/zernonia" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17789047?s=40&v=4", + "name": "sadeghbarati", + "url": "https://github.com/sadeghbarati" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39938037?s=40&v=4", + "name": "mukundshah", + "url": "https://github.com/mukundshah" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65568529?s=40&v=4", + "name": "Saeid-Za", + "url": "https://github.com/Saeid-Za" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82209198?s=40&v=4", + "name": "hrynevychroman", + "url": "https://github.com/hrynevychroman" + } + ] + }, + { + "title": "crmeb/CRMEB", + "url": "https://github.com/crmeb/CRMEB", + "description": "🔥CRMEB开源商城免费开源多语言商城系统,Tp6框架商城,系统可商用;包含小程序商城、H5商城、公众号商城、PC商城、App,支持分销、拼团、砍价、秒杀、优惠券、积分、会员等级、小程序直播、页面DIY,前后端分离,方便二开,更有详细使用文档、接口文档、数据字典、二开文档/视频教程,欢迎大家提出宝贵意见和建议", + "language": "Vue", + "languageColor": "#41b883", + "stars": "6,113", + "forks": "1,518", + "addStars": "435", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/28684103?s=40&v=4", + "name": "FeiLiao-9", + "url": "https://github.com/FeiLiao-9" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30063241?s=40&v=4", + "name": "evoxwht", + "url": "https://github.com/evoxwht" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14051877?s=40&v=4", + "name": "sugar1569", + "url": "https://github.com/sugar1569" + } + ] + }, { "title": "hslr-s/sun-panel", "url": "https://github.com/hslr-s/sun-panel", "description": "A server, NAS navigation panel, Homepage, browser homepage. | 一个服务器、NAS导航面板、Homepage、浏览器首页。", "language": "Vue", "languageColor": "#41b883", - "stars": "2,950", + "stars": "2,952", "forks": "314", "addStars": "246", "contributors": [ @@ -393,14 +393,46 @@ } ] }, + { + "title": "unovue/radix-vue", + "url": "https://github.com/unovue/radix-vue", + "description": "Vue port of Radix UI Primitives. An open-source UI component library for building high-quality, accessible design systems and web apps.", + "language": "Vue", + "languageColor": "#41b883", + "stars": "3,708", + "forks": "230", + "addStars": "181", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/59365435?s=40&v=4", + "name": "zernonia", + "url": "https://github.com/zernonia" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101852870?s=40&v=4", + "name": "k11q", + "url": "https://github.com/k11q" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17836403?s=40&v=4", + "name": "epr3", + "url": "https://github.com/epr3" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/51422045?s=40&v=4", + "name": "MellKam", + "url": "https://github.com/MellKam" + } + ] + }, { "title": "unilei/aipan-netdisk-search", "url": "https://github.com/unilei/aipan-netdisk-search", "description": "本项目乃是基于 Vue 与 Nuxt.js 技术打造的网盘搜索项目,持续开源并保持维护更新。其旨在让人人皆可拥有属于自己的网盘搜索网站。强烈建议自行部署,向所有参与者致敬!Salute to all!", "language": "Vue", "languageColor": "#41b883", - "stars": "1,437", - "forks": "566", + "stars": "1,446", + "forks": "567", "addStars": "355", "contributors": [ { @@ -425,51 +457,14 @@ } ] }, - { - "title": "HalseySpicy/Geeker-Admin", - "url": "https://github.com/HalseySpicy/Geeker-Admin", - "description": "✨✨✨ Geeker Admin,基于 Vue3.4、TypeScript、Vite5、Pinia、Element-Plus 开源的一套后台管理框架。", - "language": "Vue", - "languageColor": "#41b883", - "stars": "7,329", - "forks": "1,546", - "addStars": "120", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/51069636?s=40&v=4", - "name": "HalseySpicy", - "url": "https://github.com/HalseySpicy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62537573?s=40&v=4", - "name": "AhChi529", - "url": "https://github.com/AhChi529" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31790606?s=40&v=4", - "name": "limuen", - "url": "https://github.com/limuen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66287770?s=40&v=4", - "name": "Jeffrey-mu", - "url": "https://github.com/Jeffrey-mu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30004439?s=40&v=4", - "name": "Kassell", - "url": "https://github.com/Kassell" - } - ] - }, { "title": "dreamhunter2333/cloudflare_temp_email", "url": "https://github.com/dreamhunter2333/cloudflare_temp_email", "description": "CloudFlare free temp domain email 免费收发 临时域名邮箱 支持附件 IMAP SMTP TelegramBot", "language": "Vue", "languageColor": "#41b883", - "stars": "2,685", - "forks": "1,013", + "stars": "2,687", + "forks": "1,012", "addStars": "356", "contributors": [ { @@ -500,7 +495,7 @@ "description": "🎉 vue admin,vue3 admin,vue3.0 admin,vue后台管理,vue-admin,vue3.0-admin,admin,vue-admin,vue-element-admin,ant-design,vab admin pro,vab admin plus,vue admin plus,vue admin pro", "language": "Vue", "languageColor": "#41b883", - "stars": "17,013", + "stars": "17,016", "forks": "3,714", "addStars": "142", "contributors": [ @@ -537,8 +532,8 @@ "description": "⚡ A Simple / Speedy / Secure Link Shortener with Analytics, 100% run on Cloudflare.", "language": "Vue", "languageColor": "#41b883", - "stars": "3,000", - "forks": "1,603", + "stars": "3,002", + "forks": "1,609", "addStars": "273", "contributors": [ { @@ -568,13 +563,50 @@ } ] }, + { + "title": "HalseySpicy/Geeker-Admin", + "url": "https://github.com/HalseySpicy/Geeker-Admin", + "description": "✨✨✨ Geeker Admin,基于 Vue3.4、TypeScript、Vite5、Pinia、Element-Plus 开源的一套后台管理框架。", + "language": "Vue", + "languageColor": "#41b883", + "stars": "7,331", + "forks": "1,547", + "addStars": "120", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/51069636?s=40&v=4", + "name": "HalseySpicy", + "url": "https://github.com/HalseySpicy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/62537573?s=40&v=4", + "name": "AhChi529", + "url": "https://github.com/AhChi529" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31790606?s=40&v=4", + "name": "limuen", + "url": "https://github.com/limuen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66287770?s=40&v=4", + "name": "Jeffrey-mu", + "url": "https://github.com/Jeffrey-mu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30004439?s=40&v=4", + "name": "Kassell", + "url": "https://github.com/Kassell" + } + ] + }, { "title": "nuxt/ui", "url": "https://github.com/nuxt/ui", "description": "A UI Library for Modern Web Apps, powered by Vue & Tailwind CSS.", "language": "Vue", "languageColor": "#41b883", - "stars": "4,123", + "stars": "4,125", "forks": "544", "addStars": "146", "contributors": [ @@ -601,71 +633,71 @@ ] }, { - "title": "requarks/wiki", - "url": "https://github.com/requarks/wiki", - "description": "Wiki.js | A modern and powerful wiki app built on Node.js", + "title": "alireza0/s-ui", + "url": "https://github.com/alireza0/s-ui", + "description": "An advanced Web Panel • Built for SagerNet/Sing-Box", "language": "Vue", "languageColor": "#41b883", - "stars": "24,871", - "forks": "2,746", - "addStars": "312", + "stars": "2,836", + "forks": "447", + "addStars": "159", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15522395?s=40&v=4", - "name": "NGPixel", - "url": "https://github.com/NGPixel" + "avatar": "https://avatars.githubusercontent.com/u/12573084?s=40&v=4", + "name": "alireza0", + "url": "https://github.com/alireza0" }, { - "avatar": "https://avatars.githubusercontent.com/u/5775519?s=40&v=4", - "name": "regevbr", - "url": "https://github.com/regevbr" + "avatar": "https://avatars.githubusercontent.com/u/115543613?s=40&v=4", + "name": "shahin-io", + "url": "https://github.com/shahin-io" }, { - "avatar": "https://avatars.githubusercontent.com/u/18533151?s=40&v=4", - "name": "takumi9942", - "url": "https://github.com/takumi9942" + "avatar": "https://avatars.githubusercontent.com/u/124447749?s=40&v=4", + "name": "vuong2023", + "url": "https://github.com/vuong2023" }, { - "avatar": "https://avatars.githubusercontent.com/u/750709?s=40&v=4", - "name": "sbonaime", - "url": "https://github.com/sbonaime" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2042102?s=40&v=4", - "name": "alancnet", - "url": "https://github.com/alancnet" + "avatar": "https://avatars.githubusercontent.com/u/126459548?s=40&v=4", + "name": "jiulingyun", + "url": "https://github.com/jiulingyun" } ] }, { - "title": "bastienwirtz/homer", - "url": "https://github.com/bastienwirtz/homer", - "description": "A very simple static homepage for your server.", + "title": "ElemeFE/element", + "url": "https://github.com/ElemeFE/element", + "description": "A Vue.js 2.0 UI Toolkit for Web", "language": "Vue", "languageColor": "#41b883", - "stars": "9,352", - "forks": "788", - "addStars": "141", + "stars": "54,128", + "forks": "14,638", + "addStars": "69", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/345559?s=40&v=4", - "name": "bastienwirtz", - "url": "https://github.com/bastienwirtz" + "avatar": "https://avatars.githubusercontent.com/u/10095631?s=40&v=4", + "name": "Leopoldthecoder", + "url": "https://github.com/Leopoldthecoder" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3655403?s=40&v=4", + "name": "baiyaaaaa", + "url": "https://github.com/baiyaaaaa" }, { - "avatar": "https://avatars.githubusercontent.com/u/45321827?s=40&v=4", - "name": "robinschneider", - "url": "https://github.com/robinschneider" + "avatar": "https://avatars.githubusercontent.com/u/7565692?s=40&v=4", + "name": "QingWei-Li", + "url": "https://github.com/QingWei-Li" }, { - "avatar": "https://avatars.githubusercontent.com/u/4167222?s=40&v=4", - "name": "Roundaround", - "url": "https://github.com/Roundaround" + "avatar": "https://avatars.githubusercontent.com/u/12947422?s=40&v=4", + "name": "ziyoung", + "url": "https://github.com/ziyoung" }, { - "avatar": "https://avatars.githubusercontent.com/u/615268?s=40&v=4", - "name": "luixal", - "url": "https://github.com/luixal" + "avatar": "https://avatars.githubusercontent.com/u/1268572?s=40&v=4", + "name": "furybean", + "url": "https://github.com/furybean" } ] } diff --git a/data/monthly/vue.xml b/data/monthly/vue.xml index 921e6e907d2b..f45d459738f4 100644 --- a/data/monthly/vue.xml +++ b/data/monthly/vue.xml @@ -3,40 +3,7 @@ GitHub Vue Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vue Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - vbenjs/vue-vben-admin - https://github.com/vbenjs/vue-vben-admin - A modern vue admin panel built with Vue3, Shadcn UI, Vite, TypeScript, and Monorepo. It's fast! - https://github.com/vbenjs/vue-vben-admin - Vue - #41b883 - 25,471 - 6,930 - 587 - - - https://avatars.githubusercontent.com/u/28132598?s=40&v=4 - anncwb - https://github.com/anncwb - - - https://avatars.githubusercontent.com/u/174671189?s=40&v=4 - vince292007 - https://github.com/vince292007 - - - https://avatars.githubusercontent.com/u/90845831?s=40&v=4 - likui628 - https://github.com/likui628 - - - https://avatars.githubusercontent.com/u/56245609?s=40&v=4 - pzzyf - https://github.com/pzzyf - - - + Mon, 25 Nov 2024 13:30:36 GMT 0xJacky/nginx-ui https://github.com/0xJacky/nginx-ui @@ -44,8 +11,8 @@ https://github.com/0xJacky/nginx-ui Vue #41b883 - 5,946 - 431 + 5,952 + 432 1,476 @@ -77,8 +44,8 @@ https://github.com/zyronon/douyin Vue #41b883 - 9,938 - 2,741 + 9,941 + 2,742 661 @@ -99,40 +66,35 @@ - unovue/shadcn-vue - https://github.com/unovue/shadcn-vue - Vue port of shadcn-ui - https://github.com/unovue/shadcn-vue + vbenjs/vue-vben-admin + https://github.com/vbenjs/vue-vben-admin + A modern vue admin panel built with Vue3, Shadcn UI, Vite, TypeScript, and Monorepo. It's fast! + https://github.com/vbenjs/vue-vben-admin Vue #41b883 - 5,286 - 314 - 422 + 25,481 + 6,931 + 587 - https://avatars.githubusercontent.com/u/59365435?s=40&v=4 - zernonia - https://github.com/zernonia - - - https://avatars.githubusercontent.com/u/17789047?s=40&v=4 - sadeghbarati - https://github.com/sadeghbarati + https://avatars.githubusercontent.com/u/28132598?s=40&v=4 + anncwb + https://github.com/anncwb - https://avatars.githubusercontent.com/u/39938037?s=40&v=4 - mukundshah - https://github.com/mukundshah + https://avatars.githubusercontent.com/u/174671189?s=40&v=4 + vince292007 + https://github.com/vince292007 - https://avatars.githubusercontent.com/u/65568529?s=40&v=4 - Saeid-Za - https://github.com/Saeid-Za + https://avatars.githubusercontent.com/u/90845831?s=40&v=4 + likui628 + https://github.com/likui628 - https://avatars.githubusercontent.com/u/82209198?s=40&v=4 - hrynevychroman - https://github.com/hrynevychroman + https://avatars.githubusercontent.com/u/56245609?s=40&v=4 + pzzyf + https://github.com/pzzyf @@ -143,7 +105,7 @@ https://github.com/Lissy93/dashy Vue #41b883 - 18,138 + 18,140 1,371 857 @@ -181,8 +143,8 @@ https://github.com/PanJiaChen/vue-element-admin Vue #41b883 - 88,065 - 30,480 + 88,074 + 30,481 372 @@ -212,44 +174,6 @@ - - un-pany/v3-admin-vite - https://github.com/un-pany/v3-admin-vite - ☀️ A vue3 admin template | vue3 admin/element plus admin/vite admin/vue3 template/vue3 后台/vue3 模板/vue3 后台管理系统 - https://github.com/un-pany/v3-admin-vite - Vue - #41b883 - 5,332 - 901 - 199 - - - https://avatars.githubusercontent.com/u/49087880?s=40&v=4 - pany-ang - https://github.com/pany-ang - - - https://avatars.githubusercontent.com/u/50657815?s=40&v=4 - heavenly-zy - https://github.com/heavenly-zy - - - https://avatars.githubusercontent.com/u/82024018?s=40&v=4 - QC2168 - https://github.com/QC2168 - - - https://avatars.githubusercontent.com/u/27353058?s=40&v=4 - HavocZhang - https://github.com/HavocZhang - - - https://avatars.githubusercontent.com/u/39450947?s=40&v=4 - imaginarykhy - https://github.com/imaginarykhy - - - AutomaApp/automa https://github.com/AutomaApp/automa @@ -257,8 +181,8 @@ https://github.com/AutomaApp/automa Vue #41b883 - 12,191 - 1,321 + 12,215 + 1,324 613 @@ -284,30 +208,40 @@ - crmeb/CRMEB - https://github.com/crmeb/CRMEB - 🔥CRMEB开源商城免费开源多语言商城系统,Tp6框架商城,系统可商用;包含小程序商城、H5商城、公众号商城、PC商城、App,支持分销、拼团、砍价、秒杀、优惠券、积分、会员等级、小程序直播、页面DIY,前后端分离,方便二开,更有详细使用文档、接口文档、数据字典、二开文档/视频教程,欢迎大家提出宝贵意见和建议 - https://github.com/crmeb/CRMEB + un-pany/v3-admin-vite + https://github.com/un-pany/v3-admin-vite + ☀️ A vue3 admin template | vue3 admin/element plus admin/vite admin/vue3 template/vue3 后台/vue3 模板/vue3 后台管理系统 + https://github.com/un-pany/v3-admin-vite Vue #41b883 - 6,107 - 1,517 - 435 + 5,334 + 901 + 199 - https://avatars.githubusercontent.com/u/28684103?s=40&v=4 - FeiLiao-9 - https://github.com/FeiLiao-9 + https://avatars.githubusercontent.com/u/49087880?s=40&v=4 + pany-ang + https://github.com/pany-ang - https://avatars.githubusercontent.com/u/30063241?s=40&v=4 - evoxwht - https://github.com/evoxwht + https://avatars.githubusercontent.com/u/50657815?s=40&v=4 + heavenly-zy + https://github.com/heavenly-zy - https://avatars.githubusercontent.com/u/14051877?s=40&v=4 - sugar1569 - https://github.com/sugar1569 + https://avatars.githubusercontent.com/u/82024018?s=40&v=4 + QC2168 + https://github.com/QC2168 + + + https://avatars.githubusercontent.com/u/27353058?s=40&v=4 + HavocZhang + https://github.com/HavocZhang + + + https://avatars.githubusercontent.com/u/39450947?s=40&v=4 + imaginarykhy + https://github.com/imaginarykhy @@ -318,8 +252,8 @@ https://github.com/primefaces/primevue Vue #41b883 - 10,827 - 1,242 + 10,830 + 1,241 543 @@ -349,6 +283,72 @@ + + unovue/shadcn-vue + https://github.com/unovue/shadcn-vue + Vue port of shadcn-ui + https://github.com/unovue/shadcn-vue + Vue + #41b883 + 5,292 + 315 + 422 + + + https://avatars.githubusercontent.com/u/59365435?s=40&v=4 + zernonia + https://github.com/zernonia + + + https://avatars.githubusercontent.com/u/17789047?s=40&v=4 + sadeghbarati + https://github.com/sadeghbarati + + + https://avatars.githubusercontent.com/u/39938037?s=40&v=4 + mukundshah + https://github.com/mukundshah + + + https://avatars.githubusercontent.com/u/65568529?s=40&v=4 + Saeid-Za + https://github.com/Saeid-Za + + + https://avatars.githubusercontent.com/u/82209198?s=40&v=4 + hrynevychroman + https://github.com/hrynevychroman + + + + + crmeb/CRMEB + https://github.com/crmeb/CRMEB + 🔥CRMEB开源商城免费开源多语言商城系统,Tp6框架商城,系统可商用;包含小程序商城、H5商城、公众号商城、PC商城、App,支持分销、拼团、砍价、秒杀、优惠券、积分、会员等级、小程序直播、页面DIY,前后端分离,方便二开,更有详细使用文档、接口文档、数据字典、二开文档/视频教程,欢迎大家提出宝贵意见和建议 + https://github.com/crmeb/CRMEB + Vue + #41b883 + 6,113 + 1,518 + 435 + + + https://avatars.githubusercontent.com/u/28684103?s=40&v=4 + FeiLiao-9 + https://github.com/FeiLiao-9 + + + https://avatars.githubusercontent.com/u/30063241?s=40&v=4 + evoxwht + https://github.com/evoxwht + + + https://avatars.githubusercontent.com/u/14051877?s=40&v=4 + sugar1569 + https://github.com/sugar1569 + + + hslr-s/sun-panel https://github.com/hslr-s/sun-panel @@ -356,7 +356,7 @@ https://github.com/hslr-s/sun-panel Vue #41b883 - 2,950 + 2,952 314 246 @@ -405,6 +405,39 @@ + + unovue/radix-vue + https://github.com/unovue/radix-vue + Vue port of Radix UI Primitives. An open-source UI component library for building high-quality, accessible design systems and web apps. + https://github.com/unovue/radix-vue + Vue + #41b883 + 3,708 + 230 + 181 + + + https://avatars.githubusercontent.com/u/59365435?s=40&v=4 + zernonia + https://github.com/zernonia + + + https://avatars.githubusercontent.com/u/101852870?s=40&v=4 + k11q + https://github.com/k11q + + + https://avatars.githubusercontent.com/u/17836403?s=40&v=4 + epr3 + https://github.com/epr3 + + + https://avatars.githubusercontent.com/u/51422045?s=40&v=4 + MellKam + https://github.com/MellKam + + + unilei/aipan-netdisk-search https://github.com/unilei/aipan-netdisk-search @@ -412,8 +445,8 @@ https://github.com/unilei/aipan-netdisk-search Vue #41b883 - 1,437 - 566 + 1,446 + 567 355 @@ -438,44 +471,6 @@ - - HalseySpicy/Geeker-Admin - https://github.com/HalseySpicy/Geeker-Admin - ✨✨✨ Geeker Admin,基于 Vue3.4、TypeScript、Vite5、Pinia、Element-Plus 开源的一套后台管理框架。 - https://github.com/HalseySpicy/Geeker-Admin - Vue - #41b883 - 7,329 - 1,546 - 120 - - - https://avatars.githubusercontent.com/u/51069636?s=40&v=4 - HalseySpicy - https://github.com/HalseySpicy - - - https://avatars.githubusercontent.com/u/62537573?s=40&v=4 - AhChi529 - https://github.com/AhChi529 - - - https://avatars.githubusercontent.com/u/31790606?s=40&v=4 - limuen - https://github.com/limuen - - - https://avatars.githubusercontent.com/u/66287770?s=40&v=4 - Jeffrey-mu - https://github.com/Jeffrey-mu - - - https://avatars.githubusercontent.com/u/30004439?s=40&v=4 - Kassell - https://github.com/Kassell - - - dreamhunter2333/cloudflare_temp_email https://github.com/dreamhunter2333/cloudflare_temp_email @@ -483,8 +478,8 @@ https://github.com/dreamhunter2333/cloudflare_temp_email Vue #41b883 - 2,685 - 1,013 + 2,687 + 1,012 356 @@ -516,7 +511,7 @@ https://github.com/zxwk1998/vue-admin-better Vue #41b883 - 17,013 + 17,016 3,714 142 @@ -554,8 +549,8 @@ https://github.com/ccbikai/Sink Vue #41b883 - 3,000 - 1,603 + 3,002 + 1,609 273 @@ -585,6 +580,44 @@ + + HalseySpicy/Geeker-Admin + https://github.com/HalseySpicy/Geeker-Admin + ✨✨✨ Geeker Admin,基于 Vue3.4、TypeScript、Vite5、Pinia、Element-Plus 开源的一套后台管理框架。 + https://github.com/HalseySpicy/Geeker-Admin + Vue + #41b883 + 7,331 + 1,547 + 120 + + + https://avatars.githubusercontent.com/u/51069636?s=40&v=4 + HalseySpicy + https://github.com/HalseySpicy + + + https://avatars.githubusercontent.com/u/62537573?s=40&v=4 + AhChi529 + https://github.com/AhChi529 + + + https://avatars.githubusercontent.com/u/31790606?s=40&v=4 + limuen + https://github.com/limuen + + + https://avatars.githubusercontent.com/u/66287770?s=40&v=4 + Jeffrey-mu + https://github.com/Jeffrey-mu + + + https://avatars.githubusercontent.com/u/30004439?s=40&v=4 + Kassell + https://github.com/Kassell + + + nuxt/ui https://github.com/nuxt/ui @@ -592,7 +625,7 @@ https://github.com/nuxt/ui Vue #41b883 - 4,123 + 4,125 544 146 @@ -619,73 +652,73 @@ - requarks/wiki - https://github.com/requarks/wiki - Wiki.js | A modern and powerful wiki app built on Node.js - https://github.com/requarks/wiki + alireza0/s-ui + https://github.com/alireza0/s-ui + An advanced Web Panel • Built for SagerNet/Sing-Box + https://github.com/alireza0/s-ui Vue #41b883 - 24,871 - 2,746 - 312 + 2,836 + 447 + 159 - https://avatars.githubusercontent.com/u/15522395?s=40&v=4 - NGPixel - https://github.com/NGPixel + https://avatars.githubusercontent.com/u/12573084?s=40&v=4 + alireza0 + https://github.com/alireza0 - https://avatars.githubusercontent.com/u/5775519?s=40&v=4 - regevbr - https://github.com/regevbr + https://avatars.githubusercontent.com/u/115543613?s=40&v=4 + shahin-io + https://github.com/shahin-io - https://avatars.githubusercontent.com/u/18533151?s=40&v=4 - takumi9942 - https://github.com/takumi9942 + https://avatars.githubusercontent.com/u/124447749?s=40&v=4 + vuong2023 + https://github.com/vuong2023 - https://avatars.githubusercontent.com/u/750709?s=40&v=4 - sbonaime - https://github.com/sbonaime - - - https://avatars.githubusercontent.com/u/2042102?s=40&v=4 - alancnet - https://github.com/alancnet + https://avatars.githubusercontent.com/u/126459548?s=40&v=4 + jiulingyun + https://github.com/jiulingyun - bastienwirtz/homer - https://github.com/bastienwirtz/homer - A very simple static homepage for your server. - https://github.com/bastienwirtz/homer + ElemeFE/element + https://github.com/ElemeFE/element + A Vue.js 2.0 UI Toolkit for Web + https://github.com/ElemeFE/element Vue #41b883 - 9,352 - 788 - 141 + 54,128 + 14,638 + 69 - https://avatars.githubusercontent.com/u/345559?s=40&v=4 - bastienwirtz - https://github.com/bastienwirtz + https://avatars.githubusercontent.com/u/10095631?s=40&v=4 + Leopoldthecoder + https://github.com/Leopoldthecoder + + + https://avatars.githubusercontent.com/u/3655403?s=40&v=4 + baiyaaaaa + https://github.com/baiyaaaaa - https://avatars.githubusercontent.com/u/45321827?s=40&v=4 - robinschneider - https://github.com/robinschneider + https://avatars.githubusercontent.com/u/7565692?s=40&v=4 + QingWei-Li + https://github.com/QingWei-Li - https://avatars.githubusercontent.com/u/4167222?s=40&v=4 - Roundaround - https://github.com/Roundaround + https://avatars.githubusercontent.com/u/12947422?s=40&v=4 + ziyoung + https://github.com/ziyoung - https://avatars.githubusercontent.com/u/615268?s=40&v=4 - luixal - https://github.com/luixal + https://avatars.githubusercontent.com/u/1268572?s=40&v=4 + furybean + https://github.com/furybean diff --git a/data/monthly/vyper.json b/data/monthly/vyper.json index 308980f25c71..5348c47a44bc 100644 --- a/data/monthly/vyper.json +++ b/data/monthly/vyper.json @@ -2,6 +2,6 @@ "title": "GitHub Vyper Languages Monthly Trending", "description": "Monthly Trending of Vyper Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/vyper.xml b/data/monthly/vyper.xml index f8f84d1d8df7..11d1eee8aa8c 100644 --- a/data/monthly/vyper.xml +++ b/data/monthly/vyper.xml @@ -3,6 +3,6 @@ GitHub Vyper Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Vyper Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wavefront-material.json b/data/monthly/wavefront-material.json index 04cb574300fa..30122f708994 100644 --- a/data/monthly/wavefront-material.json +++ b/data/monthly/wavefront-material.json @@ -2,6 +2,6 @@ "title": "GitHub Wavefront-material Languages Monthly Trending", "description": "Monthly Trending of Wavefront-material Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wavefront-material.xml b/data/monthly/wavefront-material.xml index 9cda5664abb8..09e6cf6de840 100644 --- a/data/monthly/wavefront-material.xml +++ b/data/monthly/wavefront-material.xml @@ -3,6 +3,6 @@ GitHub Wavefront-material Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wavefront-material Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wavefront-object.json b/data/monthly/wavefront-object.json index 8a22aab6e578..9d11f3d249b9 100644 --- a/data/monthly/wavefront-object.json +++ b/data/monthly/wavefront-object.json @@ -2,6 +2,6 @@ "title": "GitHub Wavefront-object Languages Monthly Trending", "description": "Monthly Trending of Wavefront-object Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wavefront-object.xml b/data/monthly/wavefront-object.xml index aa2c1e528b06..a84e50f453d2 100644 --- a/data/monthly/wavefront-object.xml +++ b/data/monthly/wavefront-object.xml @@ -3,6 +3,6 @@ GitHub Wavefront-object Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wavefront-object Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wdl.json b/data/monthly/wdl.json index a0aa913ca282..410a0b302841 100644 --- a/data/monthly/wdl.json +++ b/data/monthly/wdl.json @@ -2,6 +2,6 @@ "title": "GitHub Wdl Languages Monthly Trending", "description": "Monthly Trending of Wdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wdl.xml b/data/monthly/wdl.xml index 5e5dd0cce661..667aaf74e722 100644 --- a/data/monthly/wdl.xml +++ b/data/monthly/wdl.xml @@ -3,6 +3,6 @@ GitHub Wdl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/web-ontology-language.json b/data/monthly/web-ontology-language.json index 76898c41be35..f826bcdf9599 100644 --- a/data/monthly/web-ontology-language.json +++ b/data/monthly/web-ontology-language.json @@ -2,6 +2,6 @@ "title": "GitHub Web-ontology-language Languages Monthly Trending", "description": "Monthly Trending of Web-ontology-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/web-ontology-language.xml b/data/monthly/web-ontology-language.xml index 67e0105b429a..7558c34e12b3 100644 --- a/data/monthly/web-ontology-language.xml +++ b/data/monthly/web-ontology-language.xml @@ -3,6 +3,6 @@ GitHub Web-ontology-language Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Web-ontology-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/webassembly-interface-type.json b/data/monthly/webassembly-interface-type.json index 0773c23f1273..71d3385fec61 100644 --- a/data/monthly/webassembly-interface-type.json +++ b/data/monthly/webassembly-interface-type.json @@ -2,6 +2,6 @@ "title": "GitHub Webassembly-interface-type Languages Monthly Trending", "description": "Monthly Trending of Webassembly-interface-type Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/webassembly-interface-type.xml b/data/monthly/webassembly-interface-type.xml index 6b1d2e16f240..a4ad98d9a0c0 100644 --- a/data/monthly/webassembly-interface-type.xml +++ b/data/monthly/webassembly-interface-type.xml @@ -3,6 +3,6 @@ GitHub Webassembly-interface-type Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Webassembly-interface-type Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/webassembly.json b/data/monthly/webassembly.json index 0f91f4761b88..4e35c730a6cb 100644 --- a/data/monthly/webassembly.json +++ b/data/monthly/webassembly.json @@ -2,7 +2,7 @@ "title": "GitHub Webassembly Languages Monthly Trending", "description": "Monthly Trending of Webassembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "AssemblyScript/assemblyscript", @@ -47,7 +47,7 @@ "description": "Optimizer and compiler/toolchain library for WebAssembly", "language": "WebAssembly", "languageColor": "#04133b", - "stars": "7,535", + "stars": "7,536", "forks": "745", "addStars": "56", "contributors": [ diff --git a/data/monthly/webassembly.xml b/data/monthly/webassembly.xml index be1e1c762cdf..b690bbfee4de 100644 --- a/data/monthly/webassembly.xml +++ b/data/monthly/webassembly.xml @@ -3,7 +3,7 @@ GitHub Webassembly Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Webassembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT AssemblyScript/assemblyscript https://github.com/AssemblyScript/assemblyscript @@ -49,7 +49,7 @@ https://github.com/WebAssembly/binaryen WebAssembly #04133b - 7,535 + 7,536 745 56 diff --git a/data/monthly/webidl.json b/data/monthly/webidl.json index c235f86e44c8..30936d89bb5d 100644 --- a/data/monthly/webidl.json +++ b/data/monthly/webidl.json @@ -2,6 +2,6 @@ "title": "GitHub Webidl Languages Monthly Trending", "description": "Monthly Trending of Webidl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/webidl.xml b/data/monthly/webidl.xml index 2baef26f66b6..ffc2dc54dc63 100644 --- a/data/monthly/webidl.xml +++ b/data/monthly/webidl.xml @@ -3,6 +3,6 @@ GitHub Webidl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Webidl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/webvtt.json b/data/monthly/webvtt.json index 6c71ff167941..e143ad9601d0 100644 --- a/data/monthly/webvtt.json +++ b/data/monthly/webvtt.json @@ -2,6 +2,6 @@ "title": "GitHub Webvtt Languages Monthly Trending", "description": "Monthly Trending of Webvtt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/webvtt.xml b/data/monthly/webvtt.xml index 93dbd2209856..860d29654018 100644 --- a/data/monthly/webvtt.xml +++ b/data/monthly/webvtt.xml @@ -3,6 +3,6 @@ GitHub Webvtt Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Webvtt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wget-config.json b/data/monthly/wget-config.json index 55d19b5e75c7..1b193b07d769 100644 --- a/data/monthly/wget-config.json +++ b/data/monthly/wget-config.json @@ -2,6 +2,6 @@ "title": "GitHub Wget-config Languages Monthly Trending", "description": "Monthly Trending of Wget-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wget-config.xml b/data/monthly/wget-config.xml index 1621f11fb1c3..3d5ba5a1eafc 100644 --- a/data/monthly/wget-config.xml +++ b/data/monthly/wget-config.xml @@ -3,6 +3,6 @@ GitHub Wget-config Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wget-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wgsl.json b/data/monthly/wgsl.json index e48b3f6adf62..b76414807f78 100644 --- a/data/monthly/wgsl.json +++ b/data/monthly/wgsl.json @@ -2,6 +2,6 @@ "title": "GitHub Wgsl Languages Monthly Trending", "description": "Monthly Trending of Wgsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wgsl.xml b/data/monthly/wgsl.xml index 4e3c630de7e3..c5141e28eecf 100644 --- a/data/monthly/wgsl.xml +++ b/data/monthly/wgsl.xml @@ -3,6 +3,6 @@ GitHub Wgsl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wgsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/whiley.json b/data/monthly/whiley.json index f7cf766d5012..d5f64019006a 100644 --- a/data/monthly/whiley.json +++ b/data/monthly/whiley.json @@ -2,6 +2,6 @@ "title": "GitHub Whiley Languages Monthly Trending", "description": "Monthly Trending of Whiley Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/whiley.xml b/data/monthly/whiley.xml index 0e338323f669..ae338b0d2c0c 100644 --- a/data/monthly/whiley.xml +++ b/data/monthly/whiley.xml @@ -3,6 +3,6 @@ GitHub Whiley Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Whiley Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wikitext.json b/data/monthly/wikitext.json index 7ebd88f4c7bb..55d53430c42d 100644 --- a/data/monthly/wikitext.json +++ b/data/monthly/wikitext.json @@ -2,6 +2,6 @@ "title": "GitHub Wikitext Languages Monthly Trending", "description": "Monthly Trending of Wikitext Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wikitext.xml b/data/monthly/wikitext.xml index 4937bdf58d79..0afac43ddf65 100644 --- a/data/monthly/wikitext.xml +++ b/data/monthly/wikitext.xml @@ -3,6 +3,6 @@ GitHub Wikitext Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wikitext Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/win32-message-file.json b/data/monthly/win32-message-file.json index 92e2a3d76355..9bcf4e7c38f4 100644 --- a/data/monthly/win32-message-file.json +++ b/data/monthly/win32-message-file.json @@ -2,6 +2,6 @@ "title": "GitHub Win32-message-file Languages Monthly Trending", "description": "Monthly Trending of Win32-message-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/win32-message-file.xml b/data/monthly/win32-message-file.xml index 92cb225aa87a..56080c279bd7 100644 --- a/data/monthly/win32-message-file.xml +++ b/data/monthly/win32-message-file.xml @@ -3,6 +3,6 @@ GitHub Win32-message-file Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Win32-message-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/windows-registry-entries.json b/data/monthly/windows-registry-entries.json index 0997365d00d5..cc89534d299e 100644 --- a/data/monthly/windows-registry-entries.json +++ b/data/monthly/windows-registry-entries.json @@ -2,6 +2,6 @@ "title": "GitHub Windows-registry-entries Languages Monthly Trending", "description": "Monthly Trending of Windows-registry-entries Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/windows-registry-entries.xml b/data/monthly/windows-registry-entries.xml index 7ac4a1771621..1b8250287fc9 100644 --- a/data/monthly/windows-registry-entries.xml +++ b/data/monthly/windows-registry-entries.xml @@ -3,6 +3,6 @@ GitHub Windows-registry-entries Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Windows-registry-entries Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wisp.json b/data/monthly/wisp.json index 34923592e6bb..d70eef1e694b 100644 --- a/data/monthly/wisp.json +++ b/data/monthly/wisp.json @@ -2,6 +2,6 @@ "title": "GitHub Wisp Languages Monthly Trending", "description": "Monthly Trending of Wisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wisp.xml b/data/monthly/wisp.xml index b7872b1bb7f2..1e2eab970667 100644 --- a/data/monthly/wisp.xml +++ b/data/monthly/wisp.xml @@ -3,6 +3,6 @@ GitHub Wisp Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/witcher-script.json b/data/monthly/witcher-script.json index 0922e47b4297..9afb3f87d387 100644 --- a/data/monthly/witcher-script.json +++ b/data/monthly/witcher-script.json @@ -2,6 +2,6 @@ "title": "GitHub Witcher-script Languages Monthly Trending", "description": "Monthly Trending of Witcher-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/witcher-script.xml b/data/monthly/witcher-script.xml index 78912b19f346..bbbc67443ccb 100644 --- a/data/monthly/witcher-script.xml +++ b/data/monthly/witcher-script.xml @@ -3,6 +3,6 @@ GitHub Witcher-script Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Witcher-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wollok.json b/data/monthly/wollok.json index 808dd2b4164d..3821038db484 100644 --- a/data/monthly/wollok.json +++ b/data/monthly/wollok.json @@ -2,6 +2,6 @@ "title": "GitHub Wollok Languages Monthly Trending", "description": "Monthly Trending of Wollok Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/wollok.xml b/data/monthly/wollok.xml index dffebeb77530..1b2d2709f94a 100644 --- a/data/monthly/wollok.xml +++ b/data/monthly/wollok.xml @@ -3,6 +3,6 @@ GitHub Wollok Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wollok Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/world-of-warcraft-addon-data.json b/data/monthly/world-of-warcraft-addon-data.json index f38eec59cf20..168e9884f390 100644 --- a/data/monthly/world-of-warcraft-addon-data.json +++ b/data/monthly/world-of-warcraft-addon-data.json @@ -2,6 +2,6 @@ "title": "GitHub World-of-warcraft-addon-data Languages Monthly Trending", "description": "Monthly Trending of World-of-warcraft-addon-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/world-of-warcraft-addon-data.xml b/data/monthly/world-of-warcraft-addon-data.xml index aa78c022b479..c859b9d71c17 100644 --- a/data/monthly/world-of-warcraft-addon-data.xml +++ b/data/monthly/world-of-warcraft-addon-data.xml @@ -3,6 +3,6 @@ GitHub World-of-warcraft-addon-data Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of World-of-warcraft-addon-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/wren.json b/data/monthly/wren.json index dfc6d560f32a..31323a52836d 100644 --- a/data/monthly/wren.json +++ b/data/monthly/wren.json @@ -2,7 +2,7 @@ "title": "GitHub Wren Languages Monthly Trending", "description": "Monthly Trending of Wren Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "wren-lang/wren", diff --git a/data/monthly/wren.xml b/data/monthly/wren.xml index d773d0fa339c..04c37ec8ab2d 100644 --- a/data/monthly/wren.xml +++ b/data/monthly/wren.xml @@ -3,7 +3,7 @@ GitHub Wren Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Wren Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT wren-lang/wren https://github.com/wren-lang/wren diff --git a/data/monthly/x-bitmap.json b/data/monthly/x-bitmap.json index bb807048ee6f..5c746eaae821 100644 --- a/data/monthly/x-bitmap.json +++ b/data/monthly/x-bitmap.json @@ -2,6 +2,6 @@ "title": "GitHub X-bitmap Languages Monthly Trending", "description": "Monthly Trending of X-bitmap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/x-bitmap.xml b/data/monthly/x-bitmap.xml index 566f044dccdf..cdce1f3dadfa 100644 --- a/data/monthly/x-bitmap.xml +++ b/data/monthly/x-bitmap.xml @@ -3,6 +3,6 @@ GitHub X-bitmap Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of X-bitmap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/x-font-directory-index.json b/data/monthly/x-font-directory-index.json index 6d54d1610bc4..2648fa1e094b 100644 --- a/data/monthly/x-font-directory-index.json +++ b/data/monthly/x-font-directory-index.json @@ -2,6 +2,6 @@ "title": "GitHub X-font-directory-index Languages Monthly Trending", "description": "Monthly Trending of X-font-directory-index Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/x-font-directory-index.xml b/data/monthly/x-font-directory-index.xml index 59118c161881..947253fd783e 100644 --- a/data/monthly/x-font-directory-index.xml +++ b/data/monthly/x-font-directory-index.xml @@ -3,6 +3,6 @@ GitHub X-font-directory-index Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of X-font-directory-index Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/x-pixmap.json b/data/monthly/x-pixmap.json index 35acd78d9bf4..4e5394553f42 100644 --- a/data/monthly/x-pixmap.json +++ b/data/monthly/x-pixmap.json @@ -2,6 +2,6 @@ "title": "GitHub X-pixmap Languages Monthly Trending", "description": "Monthly Trending of X-pixmap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/x-pixmap.xml b/data/monthly/x-pixmap.xml index e11cc03950bb..d89d1572ba38 100644 --- a/data/monthly/x-pixmap.xml +++ b/data/monthly/x-pixmap.xml @@ -3,6 +3,6 @@ GitHub X-pixmap Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of X-pixmap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/x10.json b/data/monthly/x10.json index 2e67ccbb052b..9b4d61c1d686 100644 --- a/data/monthly/x10.json +++ b/data/monthly/x10.json @@ -2,6 +2,6 @@ "title": "GitHub X10 Languages Monthly Trending", "description": "Monthly Trending of X10 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/x10.xml b/data/monthly/x10.xml index 04a3683db095..6ac8900dce46 100644 --- a/data/monthly/x10.xml +++ b/data/monthly/x10.xml @@ -3,6 +3,6 @@ GitHub X10 Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of X10 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xbase.json b/data/monthly/xbase.json index d2ae4a98a571..aad0fe45fa0c 100644 --- a/data/monthly/xbase.json +++ b/data/monthly/xbase.json @@ -2,6 +2,6 @@ "title": "GitHub Xbase Languages Monthly Trending", "description": "Monthly Trending of Xbase Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xbase.xml b/data/monthly/xbase.xml index e83f56a2af09..b08b1e41b8bc 100644 --- a/data/monthly/xbase.xml +++ b/data/monthly/xbase.xml @@ -3,6 +3,6 @@ GitHub Xbase Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xbase Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xc.json b/data/monthly/xc.json index f0358cb28278..7f8e462a7887 100644 --- a/data/monthly/xc.json +++ b/data/monthly/xc.json @@ -2,7 +2,7 @@ "title": "GitHub Xc Languages Monthly Trending", "description": "Monthly Trending of Xc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "xmos/lib_i2s", diff --git a/data/monthly/xc.xml b/data/monthly/xc.xml index 79877c99eb1c..4e41ff185b16 100644 --- a/data/monthly/xc.xml +++ b/data/monthly/xc.xml @@ -3,7 +3,7 @@ GitHub Xc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT xmos/lib_i2s https://github.com/xmos/lib_i2s diff --git a/data/monthly/xcompose.json b/data/monthly/xcompose.json index 130de0e275f3..d4504e98f57c 100644 --- a/data/monthly/xcompose.json +++ b/data/monthly/xcompose.json @@ -2,6 +2,6 @@ "title": "GitHub Xcompose Languages Monthly Trending", "description": "Monthly Trending of Xcompose Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xcompose.xml b/data/monthly/xcompose.xml index 0cf976f1d9ce..1e691b73783a 100644 --- a/data/monthly/xcompose.xml +++ b/data/monthly/xcompose.xml @@ -3,6 +3,6 @@ GitHub Xcompose Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xcompose Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xml-property-list.json b/data/monthly/xml-property-list.json index a1f8ae52b02f..1650cd1f200f 100644 --- a/data/monthly/xml-property-list.json +++ b/data/monthly/xml-property-list.json @@ -2,6 +2,6 @@ "title": "GitHub Xml-property-list Languages Monthly Trending", "description": "Monthly Trending of Xml-property-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xml-property-list.xml b/data/monthly/xml-property-list.xml index e2a3f9fd4ed8..2ad69b61fc2b 100644 --- a/data/monthly/xml-property-list.xml +++ b/data/monthly/xml-property-list.xml @@ -3,6 +3,6 @@ GitHub Xml-property-list Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xml-property-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xml.json b/data/monthly/xml.json index 8a5e9dcfdd64..83d340e26048 100644 --- a/data/monthly/xml.json +++ b/data/monthly/xml.json @@ -2,7 +2,7 @@ "title": "GitHub Xml Languages Monthly Trending", "description": "Monthly Trending of Xml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "php/doc-en", diff --git a/data/monthly/xml.xml b/data/monthly/xml.xml index f6741e93acdf..c1eaec84add0 100644 --- a/data/monthly/xml.xml +++ b/data/monthly/xml.xml @@ -3,7 +3,7 @@ GitHub Xml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT php/doc-en https://github.com/php/doc-en diff --git a/data/monthly/xojo.json b/data/monthly/xojo.json index cc89ff1813fe..ed3bcb185f14 100644 --- a/data/monthly/xojo.json +++ b/data/monthly/xojo.json @@ -2,6 +2,6 @@ "title": "GitHub Xojo Languages Monthly Trending", "description": "Monthly Trending of Xojo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xojo.xml b/data/monthly/xojo.xml index 79966246a6d6..1844c5fc9000 100644 --- a/data/monthly/xojo.xml +++ b/data/monthly/xojo.xml @@ -3,6 +3,6 @@ GitHub Xojo Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xojo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xonsh.json b/data/monthly/xonsh.json index c3018743ae11..f3981b7bf051 100644 --- a/data/monthly/xonsh.json +++ b/data/monthly/xonsh.json @@ -2,6 +2,6 @@ "title": "GitHub Xonsh Languages Monthly Trending", "description": "Monthly Trending of Xonsh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xonsh.xml b/data/monthly/xonsh.xml index 07f83fa51208..4aeb67ec231d 100644 --- a/data/monthly/xonsh.xml +++ b/data/monthly/xonsh.xml @@ -3,6 +3,6 @@ GitHub Xonsh Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xonsh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xpages.json b/data/monthly/xpages.json index c10499318039..250216279a8b 100644 --- a/data/monthly/xpages.json +++ b/data/monthly/xpages.json @@ -2,6 +2,6 @@ "title": "GitHub Xpages Languages Monthly Trending", "description": "Monthly Trending of Xpages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xpages.xml b/data/monthly/xpages.xml index 9910c80c07db..0e4c11836f97 100644 --- a/data/monthly/xpages.xml +++ b/data/monthly/xpages.xml @@ -3,6 +3,6 @@ GitHub Xpages Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xpages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xproc.json b/data/monthly/xproc.json index 81a501f7aeac..1f890bb2f12d 100644 --- a/data/monthly/xproc.json +++ b/data/monthly/xproc.json @@ -2,6 +2,6 @@ "title": "GitHub Xproc Languages Monthly Trending", "description": "Monthly Trending of Xproc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xproc.xml b/data/monthly/xproc.xml index d9ea640c971f..41ba2c3831d7 100644 --- a/data/monthly/xproc.xml +++ b/data/monthly/xproc.xml @@ -3,6 +3,6 @@ GitHub Xproc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xproc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xquery.json b/data/monthly/xquery.json index a692b943e43a..3543617ecf20 100644 --- a/data/monthly/xquery.json +++ b/data/monthly/xquery.json @@ -2,6 +2,6 @@ "title": "GitHub Xquery Languages Monthly Trending", "description": "Monthly Trending of Xquery Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xquery.xml b/data/monthly/xquery.xml index 893cb245dc66..19ba90b3af13 100644 --- a/data/monthly/xquery.xml +++ b/data/monthly/xquery.xml @@ -3,6 +3,6 @@ GitHub Xquery Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xquery Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xs.json b/data/monthly/xs.json index e01cbd67c8b6..7c0d7566705e 100644 --- a/data/monthly/xs.json +++ b/data/monthly/xs.json @@ -2,6 +2,6 @@ "title": "GitHub Xs Languages Monthly Trending", "description": "Monthly Trending of Xs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xs.xml b/data/monthly/xs.xml index 32ed26e9b9bf..3046dd0ef688 100644 --- a/data/monthly/xs.xml +++ b/data/monthly/xs.xml @@ -3,6 +3,6 @@ GitHub Xs Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/xslt.json b/data/monthly/xslt.json index 71ba24370754..93c8b5892883 100644 --- a/data/monthly/xslt.json +++ b/data/monthly/xslt.json @@ -2,7 +2,7 @@ "title": "GitHub Xslt Languages Monthly Trending", "description": "Monthly Trending of Xslt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "LOLBAS-Project/LOLBAS", @@ -10,8 +10,8 @@ "description": "Living Off The Land Binaries And Scripts - (LOLBins and LOLScripts)", "language": "XSLT", "languageColor": "#EB8CEB", - "stars": "7,121", - "forks": "1,000", + "stars": "7,122", + "forks": "1,001", "addStars": "97", "contributors": [ { diff --git a/data/monthly/xslt.xml b/data/monthly/xslt.xml index a47407f2c7c7..6473da87fcfd 100644 --- a/data/monthly/xslt.xml +++ b/data/monthly/xslt.xml @@ -3,7 +3,7 @@ GitHub Xslt Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xslt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT LOLBAS-Project/LOLBAS https://github.com/LOLBAS-Project/LOLBAS @@ -11,8 +11,8 @@ https://github.com/LOLBAS-Project/LOLBAS XSLT #EB8CEB - 7,121 - 1,000 + 7,122 + 1,001 97 diff --git a/data/monthly/xtend.json b/data/monthly/xtend.json index 6322bd1e5cf7..f58fbf9437c9 100644 --- a/data/monthly/xtend.json +++ b/data/monthly/xtend.json @@ -2,6 +2,6 @@ "title": "GitHub Xtend Languages Monthly Trending", "description": "Monthly Trending of Xtend Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/xtend.xml b/data/monthly/xtend.xml index 00e0d7354ba2..71454cfcd7a3 100644 --- a/data/monthly/xtend.xml +++ b/data/monthly/xtend.xml @@ -3,6 +3,6 @@ GitHub Xtend Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Xtend Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/yacc.json b/data/monthly/yacc.json index 6cd60b91f4d2..3a63d2390059 100644 --- a/data/monthly/yacc.json +++ b/data/monthly/yacc.json @@ -2,6 +2,6 @@ "title": "GitHub Yacc Languages Monthly Trending", "description": "Monthly Trending of Yacc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/yacc.xml b/data/monthly/yacc.xml index 7c18958f4d75..4dd314df642c 100644 --- a/data/monthly/yacc.xml +++ b/data/monthly/yacc.xml @@ -3,6 +3,6 @@ GitHub Yacc Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Yacc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/yaml.json b/data/monthly/yaml.json index 243c9b8f6d88..038fc3b87920 100644 --- a/data/monthly/yaml.json +++ b/data/monthly/yaml.json @@ -2,7 +2,7 @@ "title": "GitHub Yaml Languages Monthly Trending", "description": "Monthly Trending of Yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "kubeflow/manifests", @@ -10,7 +10,7 @@ "description": "A repository for Kustomize manifests", "language": "YAML", "languageColor": "#cb171e", - "stars": "829", + "stars": "830", "forks": "888", "addStars": "20", "contributors": [ @@ -41,6 +41,43 @@ } ] }, + { + "title": "stashapp/CommunityScrapers", + "url": "https://github.com/stashapp/CommunityScrapers", + "description": "This is a public repository containing scrapers created by the Stash Community.", + "language": "YAML", + "languageColor": "#cb171e", + "stars": "661", + "forks": "427", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/131594657?s=40&v=4", + "name": "Maista6969", + "url": "https://github.com/Maista6969" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48220860?s=40&v=4", + "name": "bnkai", + "url": "https://github.com/bnkai" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/64925341?s=40&v=4", + "name": "JaseNZC", + "url": "https://github.com/JaseNZC" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/97797486?s=40&v=4", + "name": "MortonBridges", + "url": "https://github.com/MortonBridges" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23707269?s=40&v=4", + "name": "Belleyy", + "url": "https://github.com/Belleyy" + } + ] + }, { "title": "k3s-io/k3s-ansible", "url": "https://github.com/k3s-io/k3s-ansible", @@ -146,80 +183,6 @@ "url": "https://github.com/carnells" } ] - }, - { - "title": "stashapp/CommunityScrapers", - "url": "https://github.com/stashapp/CommunityScrapers", - "description": "This is a public repository containing scrapers created by the Stash Community.", - "language": "YAML", - "languageColor": "#cb171e", - "stars": "661", - "forks": "427", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/131594657?s=40&v=4", - "name": "Maista6969", - "url": "https://github.com/Maista6969" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48220860?s=40&v=4", - "name": "bnkai", - "url": "https://github.com/bnkai" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/64925341?s=40&v=4", - "name": "JaseNZC", - "url": "https://github.com/JaseNZC" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/97797486?s=40&v=4", - "name": "MortonBridges", - "url": "https://github.com/MortonBridges" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23707269?s=40&v=4", - "name": "Belleyy", - "url": "https://github.com/Belleyy" - } - ] - }, - { - "title": "usnistgov/macos_security", - "url": "https://github.com/usnistgov/macos_security", - "description": "macOS Security Compliance Project", - "language": "YAML", - "languageColor": "#cb171e", - "stars": "1,796", - "forks": "202", - "addStars": "39", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/54149976?s=40&v=4", - "name": "robertgendler", - "url": "https://github.com/robertgendler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/786537?s=40&v=4", - "name": "golbiga", - "url": "https://github.com/golbiga" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7014883?s=40&v=4", - "name": "brodjieski", - "url": "https://github.com/brodjieski" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/46750?s=40&v=4", - "name": "headmin", - "url": "https://github.com/headmin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27774625?s=40&v=4", - "name": "boberito", - "url": "https://github.com/boberito" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/yaml.xml b/data/monthly/yaml.xml index 942e5417af09..9fd798cc1c57 100644 --- a/data/monthly/yaml.xml +++ b/data/monthly/yaml.xml @@ -3,7 +3,7 @@ GitHub Yaml Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT kubeflow/manifests https://github.com/kubeflow/manifests @@ -11,7 +11,7 @@ https://github.com/kubeflow/manifests YAML #cb171e - 829 + 830 888 20 @@ -42,6 +42,44 @@ + + stashapp/CommunityScrapers + https://github.com/stashapp/CommunityScrapers + This is a public repository containing scrapers created by the Stash Community. + https://github.com/stashapp/CommunityScrapers + YAML + #cb171e + 661 + 427 + 7 + + + https://avatars.githubusercontent.com/u/131594657?s=40&v=4 + Maista6969 + https://github.com/Maista6969 + + + https://avatars.githubusercontent.com/u/48220860?s=40&v=4 + bnkai + https://github.com/bnkai + + + https://avatars.githubusercontent.com/u/64925341?s=40&v=4 + JaseNZC + https://github.com/JaseNZC + + + https://avatars.githubusercontent.com/u/97797486?s=40&v=4 + MortonBridges + https://github.com/MortonBridges + + + https://avatars.githubusercontent.com/u/23707269?s=40&v=4 + Belleyy + https://github.com/Belleyy + + + k3s-io/k3s-ansible https://github.com/k3s-io/k3s-ansible @@ -151,81 +189,5 @@ - - stashapp/CommunityScrapers - https://github.com/stashapp/CommunityScrapers - This is a public repository containing scrapers created by the Stash Community. - https://github.com/stashapp/CommunityScrapers - YAML - #cb171e - 661 - 427 - 7 - - - https://avatars.githubusercontent.com/u/131594657?s=40&v=4 - Maista6969 - https://github.com/Maista6969 - - - https://avatars.githubusercontent.com/u/48220860?s=40&v=4 - bnkai - https://github.com/bnkai - - - https://avatars.githubusercontent.com/u/64925341?s=40&v=4 - JaseNZC - https://github.com/JaseNZC - - - https://avatars.githubusercontent.com/u/97797486?s=40&v=4 - MortonBridges - https://github.com/MortonBridges - - - https://avatars.githubusercontent.com/u/23707269?s=40&v=4 - Belleyy - https://github.com/Belleyy - - - - - usnistgov/macos_security - https://github.com/usnistgov/macos_security - macOS Security Compliance Project - https://github.com/usnistgov/macos_security - YAML - #cb171e - 1,796 - 202 - 39 - - - https://avatars.githubusercontent.com/u/54149976?s=40&v=4 - robertgendler - https://github.com/robertgendler - - - https://avatars.githubusercontent.com/u/786537?s=40&v=4 - golbiga - https://github.com/golbiga - - - https://avatars.githubusercontent.com/u/7014883?s=40&v=4 - brodjieski - https://github.com/brodjieski - - - https://avatars.githubusercontent.com/u/46750?s=40&v=4 - headmin - https://github.com/headmin - - - https://avatars.githubusercontent.com/u/27774625?s=40&v=4 - boberito - https://github.com/boberito - - - \ No newline at end of file diff --git a/data/monthly/yang.json b/data/monthly/yang.json index 929403cba2a6..8bb721232653 100644 --- a/data/monthly/yang.json +++ b/data/monthly/yang.json @@ -2,6 +2,6 @@ "title": "GitHub Yang Languages Monthly Trending", "description": "Monthly Trending of Yang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/yang.xml b/data/monthly/yang.xml index 6c8eaa41a951..10b65471493e 100644 --- a/data/monthly/yang.xml +++ b/data/monthly/yang.xml @@ -3,6 +3,6 @@ GitHub Yang Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Yang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/yara.json b/data/monthly/yara.json index 19babd011aa8..2e95f410222f 100644 --- a/data/monthly/yara.json +++ b/data/monthly/yara.json @@ -2,7 +2,7 @@ "title": "GitHub Yara Languages Monthly Trending", "description": "Monthly Trending of Yara Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Neo23x0/signature-base", @@ -47,7 +47,7 @@ "description": "Repository of yara rules", "language": "YARA", "languageColor": "#220000", - "stars": "4,189", + "stars": "4,190", "forks": "1,007", "addStars": "33", "contributors": [ @@ -77,43 +77,6 @@ "url": "https://github.com/yararules" } ] - }, - { - "title": "magicsword-io/LOLDrivers", - "url": "https://github.com/magicsword-io/LOLDrivers", - "description": "Living Off The Land Drivers", - "language": "YARA", - "languageColor": "#220000", - "stars": "1,041", - "forks": "123", - "addStars": "25", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5632822?s=40&v=4", - "name": "MHaggis", - "url": "https://github.com/MHaggis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1476868?s=40&v=4", - "name": "josehelps", - "url": "https://github.com/josehelps" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8741929?s=40&v=4", - "name": "nasbench", - "url": "https://github.com/nasbench" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2851492?s=40&v=4", - "name": "Neo23x0", - "url": "https://github.com/Neo23x0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33350823?s=40&v=4", - "name": "cbecks2", - "url": "https://github.com/cbecks2" - } - ] } ] } \ No newline at end of file diff --git a/data/monthly/yara.xml b/data/monthly/yara.xml index f3b13435e131..38876b1dba2e 100644 --- a/data/monthly/yara.xml +++ b/data/monthly/yara.xml @@ -3,7 +3,7 @@ GitHub Yara Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Yara Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Neo23x0/signature-base https://github.com/Neo23x0/signature-base @@ -49,7 +49,7 @@ https://github.com/Yara-Rules/rules YARA #220000 - 4,189 + 4,190 1,007 33 @@ -80,43 +80,5 @@ - - magicsword-io/LOLDrivers - https://github.com/magicsword-io/LOLDrivers - Living Off The Land Drivers - https://github.com/magicsword-io/LOLDrivers - YARA - #220000 - 1,041 - 123 - 25 - - - https://avatars.githubusercontent.com/u/5632822?s=40&v=4 - MHaggis - https://github.com/MHaggis - - - https://avatars.githubusercontent.com/u/1476868?s=40&v=4 - josehelps - https://github.com/josehelps - - - https://avatars.githubusercontent.com/u/8741929?s=40&v=4 - nasbench - https://github.com/nasbench - - - https://avatars.githubusercontent.com/u/2851492?s=40&v=4 - Neo23x0 - https://github.com/Neo23x0 - - - https://avatars.githubusercontent.com/u/33350823?s=40&v=4 - cbecks2 - https://github.com/cbecks2 - - - \ No newline at end of file diff --git a/data/monthly/yasnippet.json b/data/monthly/yasnippet.json index c27522897372..2fa95e0f512b 100644 --- a/data/monthly/yasnippet.json +++ b/data/monthly/yasnippet.json @@ -2,7 +2,7 @@ "title": "GitHub Yasnippet Languages Monthly Trending", "description": "Monthly Trending of Yasnippet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "AndreaCrotti/yasnippet-snippets", diff --git a/data/monthly/yasnippet.xml b/data/monthly/yasnippet.xml index 742ea7fcfb51..9aa0d41153e7 100644 --- a/data/monthly/yasnippet.xml +++ b/data/monthly/yasnippet.xml @@ -3,7 +3,7 @@ GitHub Yasnippet Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Yasnippet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT AndreaCrotti/yasnippet-snippets https://github.com/AndreaCrotti/yasnippet-snippets diff --git a/data/monthly/yul.json b/data/monthly/yul.json index 1ede1471d58b..1fb5753f167b 100644 --- a/data/monthly/yul.json +++ b/data/monthly/yul.json @@ -2,6 +2,6 @@ "title": "GitHub Yul Languages Monthly Trending", "description": "Monthly Trending of Yul Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/yul.xml b/data/monthly/yul.xml index 6a9879488132..ed5aed2294ad 100644 --- a/data/monthly/yul.xml +++ b/data/monthly/yul.xml @@ -3,6 +3,6 @@ GitHub Yul Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Yul Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/zap.json b/data/monthly/zap.json index 03e8537b4733..fd389279402a 100644 --- a/data/monthly/zap.json +++ b/data/monthly/zap.json @@ -2,6 +2,44 @@ "title": "GitHub Zap Languages Monthly Trending", "description": "Monthly Trending of Zap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "project-chip/zap", + "url": "https://github.com/project-chip/zap", + "description": "ZAP stands for ZCL Advanced Platform. It is used to configure clusters, attributes and other entities for Matter and ZigbeePro applications.", + "language": "ZAP", + "languageColor": "#0d665e", + "stars": "140", + "forks": "83", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7923190?s=40&v=4", + "name": "tecimovic", + "url": "https://github.com/tecimovic" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61332088?s=40&v=4", + "name": "jingteng25742", + "url": "https://github.com/jingteng25742" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17618965?s=40&v=4", + "name": "brdandu", + "url": "https://github.com/brdandu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9020627?s=40&v=4", + "name": "thucdtran", + "url": "https://github.com/thucdtran" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/64710345?s=40&v=4", + "name": "paulr34", + "url": "https://github.com/paulr34" + } + ] + } + ] } \ No newline at end of file diff --git a/data/monthly/zap.xml b/data/monthly/zap.xml index 4fa9de3625f8..c5d3440ecb2a 100644 --- a/data/monthly/zap.xml +++ b/data/monthly/zap.xml @@ -3,6 +3,44 @@ GitHub Zap Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Zap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + project-chip/zap + https://github.com/project-chip/zap + ZAP stands for ZCL Advanced Platform. It is used to configure clusters, attributes and other entities for Matter and ZigbeePro applications. + https://github.com/project-chip/zap + ZAP + #0d665e + 140 + 83 + 1 + + + https://avatars.githubusercontent.com/u/7923190?s=40&v=4 + tecimovic + https://github.com/tecimovic + + + https://avatars.githubusercontent.com/u/61332088?s=40&v=4 + jingteng25742 + https://github.com/jingteng25742 + + + https://avatars.githubusercontent.com/u/17618965?s=40&v=4 + brdandu + https://github.com/brdandu + + + https://avatars.githubusercontent.com/u/9020627?s=40&v=4 + thucdtran + https://github.com/thucdtran + + + https://avatars.githubusercontent.com/u/64710345?s=40&v=4 + paulr34 + https://github.com/paulr34 + + + \ No newline at end of file diff --git a/data/monthly/zeek.json b/data/monthly/zeek.json index 0a2717c17bdb..db27ec34dcb1 100644 --- a/data/monthly/zeek.json +++ b/data/monthly/zeek.json @@ -2,6 +2,6 @@ "title": "GitHub Zeek Languages Monthly Trending", "description": "Monthly Trending of Zeek Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/zeek.xml b/data/monthly/zeek.xml index db20d542dfa7..9a94c015b4bc 100644 --- a/data/monthly/zeek.xml +++ b/data/monthly/zeek.xml @@ -3,6 +3,6 @@ GitHub Zeek Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Zeek Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/zenscript.json b/data/monthly/zenscript.json index bd8842738339..99157ccba9d4 100644 --- a/data/monthly/zenscript.json +++ b/data/monthly/zenscript.json @@ -2,6 +2,6 @@ "title": "GitHub Zenscript Languages Monthly Trending", "description": "Monthly Trending of Zenscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/zenscript.xml b/data/monthly/zenscript.xml index b8afb4964c78..803e68b0e331 100644 --- a/data/monthly/zenscript.xml +++ b/data/monthly/zenscript.xml @@ -3,6 +3,6 @@ GitHub Zenscript Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Zenscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/zephir.json b/data/monthly/zephir.json index af48af9e3b98..1ffd7ef06b1a 100644 --- a/data/monthly/zephir.json +++ b/data/monthly/zephir.json @@ -2,6 +2,6 @@ "title": "GitHub Zephir Languages Monthly Trending", "description": "Monthly Trending of Zephir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/zephir.xml b/data/monthly/zephir.xml index 82b322a28822..e5e2c5cce0cd 100644 --- a/data/monthly/zephir.xml +++ b/data/monthly/zephir.xml @@ -3,6 +3,6 @@ GitHub Zephir Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Zephir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/zig.json b/data/monthly/zig.json index f323e3be15c8..70aa32f64a51 100644 --- a/data/monthly/zig.json +++ b/data/monthly/zig.json @@ -2,7 +2,7 @@ "title": "GitHub Zig Languages Monthly Trending", "description": "Monthly Trending of Zig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "oven-sh/bun", @@ -10,8 +10,8 @@ "description": "Incredibly fast JavaScript runtime, bundler, test runner, and package manager – all in one", "language": "Zig", "languageColor": "#ec915c", - "stars": "74,386", - "forks": "2,782", + "stars": "74,395", + "forks": "2,781", "addStars": "654", "contributors": [ { @@ -47,7 +47,7 @@ "description": "container image to single executable compiler", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,316", + "stars": "3,318", "forks": "67", "addStars": "459", "contributors": [ @@ -79,7 +79,7 @@ "description": "[mirror] A dynamic tiling Wayland compositor", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,320", + "stars": "3,321", "forks": "152", "addStars": "68", "contributors": [ @@ -116,8 +116,8 @@ "description": "General-purpose programming language and toolchain for maintaining robust, optimal, and reusable software.", "language": "Zig", "languageColor": "#ec915c", - "stars": "35,103", - "forks": "2,558", + "stars": "35,112", + "forks": "2,559", "addStars": "615", "contributors": [ { @@ -147,13 +147,50 @@ } ] }, + { + "title": "neurocyte/flow", + "url": "https://github.com/neurocyte/flow", + "description": "Flow Control: a programmer's text editor", + "language": "Zig", + "languageColor": "#ec915c", + "stars": "434", + "forks": "21", + "addStars": "49", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1552770?s=40&v=4", + "name": "neurocyte", + "url": "https://github.com/neurocyte" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/51252236?s=40&v=4", + "name": "xdBronch", + "url": "https://github.com/xdBronch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48253336?s=40&v=4", + "name": "VisenDev", + "url": "https://github.com/VisenDev" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/96927121?s=40&v=4", + "name": "n0s4", + "url": "https://github.com/n0s4" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/476352?s=40&v=4", + "name": "rockorager", + "url": "https://github.com/rockorager" + } + ] + }, { "title": "capy-ui/capy", "url": "https://github.com/capy-ui/capy", "description": "💻Build one codebase and get native UI on Windows, Linux and Web", "language": "Zig", "languageColor": "#ec915c", - "stars": "1,729", + "stars": "1,731", "forks": "64", "addStars": "60", "contributors": [ @@ -184,38 +221,6 @@ } ] }, - { - "title": "mitchellh/libxev", - "url": "https://github.com/mitchellh/libxev", - "description": "libxev is a cross-platform, high-performance event loop that provides abstractions for non-blocking IO, timers, events, and more and works on Linux (io_uring or epoll), macOS (kqueue), and Wasm + WASI. Available as both a Zig and C API.", - "language": "Zig", - "languageColor": "#ec915c", - "stars": "2,152", - "forks": "77", - "addStars": "77", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", - "name": "mitchellh", - "url": "https://github.com/mitchellh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1839807?s=40&v=4", - "name": "Corendos", - "url": "https://github.com/Corendos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35909?s=40&v=4", - "name": "ianic", - "url": "https://github.com/ianic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1159529?s=40&v=4", - "name": "linuxy", - "url": "https://github.com/linuxy" - } - ] - }, { "title": "rockorager/libvaxis", "url": "https://github.com/rockorager/libvaxis", @@ -254,39 +259,71 @@ ] }, { - "title": "neurocyte/flow", - "url": "https://github.com/neurocyte/flow", - "description": "Flow Control: a programmer's text editor", + "title": "fairyglade/ly", + "url": "https://github.com/fairyglade/ly", + "description": "display manager with console UI", "language": "Zig", "languageColor": "#ec915c", - "stars": "434", - "forks": "21", - "addStars": "49", + "stars": "5,498", + "forks": "307", + "addStars": "91", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1552770?s=40&v=4", - "name": "neurocyte", - "url": "https://github.com/neurocyte" + "avatar": "https://avatars.githubusercontent.com/u/49339966?s=40&v=4", + "name": "AnErrupTion", + "url": "https://github.com/AnErrupTion" }, { - "avatar": "https://avatars.githubusercontent.com/u/51252236?s=40&v=4", - "name": "xdBronch", - "url": "https://github.com/xdBronch" + "avatar": "https://avatars.githubusercontent.com/u/5473047?s=40&v=4", + "name": "nullgemm", + "url": "https://github.com/nullgemm" }, { - "avatar": "https://avatars.githubusercontent.com/u/48253336?s=40&v=4", - "name": "VisenDev", - "url": "https://github.com/VisenDev" + "avatar": "https://avatars.githubusercontent.com/u/718169?s=40&v=4", + "name": "stlaz", + "url": "https://github.com/stlaz" }, { - "avatar": "https://avatars.githubusercontent.com/u/96927121?s=40&v=4", - "name": "n0s4", - "url": "https://github.com/n0s4" + "avatar": "https://avatars.githubusercontent.com/u/686169?s=40&v=4", + "name": "liweitianux", + "url": "https://github.com/liweitianux" }, { - "avatar": "https://avatars.githubusercontent.com/u/476352?s=40&v=4", - "name": "rockorager", - "url": "https://github.com/rockorager" + "avatar": "https://avatars.githubusercontent.com/u/3621083?s=40&v=4", + "name": "roosemberth", + "url": "https://github.com/roosemberth" + } + ] + }, + { + "title": "mitchellh/libxev", + "url": "https://github.com/mitchellh/libxev", + "description": "libxev is a cross-platform, high-performance event loop that provides abstractions for non-blocking IO, timers, events, and more and works on Linux (io_uring or epoll), macOS (kqueue), and Wasm + WASI. Available as both a Zig and C API.", + "language": "Zig", + "languageColor": "#ec915c", + "stars": "2,153", + "forks": "77", + "addStars": "77", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", + "name": "mitchellh", + "url": "https://github.com/mitchellh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1839807?s=40&v=4", + "name": "Corendos", + "url": "https://github.com/Corendos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35909?s=40&v=4", + "name": "ianic", + "url": "https://github.com/ianic" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1159529?s=40&v=4", + "name": "linuxy", + "url": "https://github.com/linuxy" } ] }, @@ -296,7 +333,7 @@ "description": "A Zig language server supporting Zig developers with features like autocomplete and goto definition", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,026", + "stars": "3,027", "forks": "298", "addStars": "98", "contributors": [ @@ -328,39 +365,39 @@ ] }, { - "title": "fairyglade/ly", - "url": "https://github.com/fairyglade/ly", - "description": "display manager with console UI", + "title": "tigerbeetle/tigerbeetle", + "url": "https://github.com/tigerbeetle/tigerbeetle", + "description": "The financial transactions database designed for mission critical safety and performance.", "language": "Zig", "languageColor": "#ec915c", - "stars": "5,499", - "forks": "307", - "addStars": "91", + "stars": "10,646", + "forks": "520", + "addStars": "322", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/49339966?s=40&v=4", - "name": "AnErrupTion", - "url": "https://github.com/AnErrupTion" + "avatar": "https://avatars.githubusercontent.com/u/461112?s=40&v=4", + "name": "sentientwaffle", + "url": "https://github.com/sentientwaffle" }, { - "avatar": "https://avatars.githubusercontent.com/u/5473047?s=40&v=4", - "name": "nullgemm", - "url": "https://github.com/nullgemm" + "avatar": "https://avatars.githubusercontent.com/u/1711539?s=40&v=4", + "name": "matklad", + "url": "https://github.com/matklad" }, { - "avatar": "https://avatars.githubusercontent.com/u/718169?s=40&v=4", - "name": "stlaz", - "url": "https://github.com/stlaz" + "avatar": "https://avatars.githubusercontent.com/u/413206?s=40&v=4", + "name": "jorangreef", + "url": "https://github.com/jorangreef" }, { - "avatar": "https://avatars.githubusercontent.com/u/686169?s=40&v=4", - "name": "liweitianux", - "url": "https://github.com/liweitianux" + "avatar": "https://avatars.githubusercontent.com/u/5728002?s=40&v=4", + "name": "batiati", + "url": "https://github.com/batiati" }, { - "avatar": "https://avatars.githubusercontent.com/u/3621083?s=40&v=4", - "name": "roosemberth", - "url": "https://github.com/roosemberth" + "avatar": "https://avatars.githubusercontent.com/u/703017?s=40&v=4", + "name": "cb22", + "url": "https://github.com/cb22" } ] } diff --git a/data/monthly/zig.xml b/data/monthly/zig.xml index 2c4b49db816d..b30da12f5986 100644 --- a/data/monthly/zig.xml +++ b/data/monthly/zig.xml @@ -3,7 +3,7 @@ GitHub Zig Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Zig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT oven-sh/bun https://github.com/oven-sh/bun @@ -11,8 +11,8 @@ https://github.com/oven-sh/bun Zig #ec915c - 74,386 - 2,782 + 74,395 + 2,781 654 @@ -49,7 +49,7 @@ https://github.com/NilsIrl/dockerc Zig #ec915c - 3,316 + 3,318 67 459 @@ -82,7 +82,7 @@ https://github.com/riverwm/river Zig #ec915c - 3,320 + 3,321 152 68 @@ -120,8 +120,8 @@ https://github.com/ziglang/zig Zig #ec915c - 35,103 - 2,558 + 35,112 + 2,559 615 @@ -151,6 +151,44 @@ + + neurocyte/flow + https://github.com/neurocyte/flow + Flow Control: a programmer's text editor + https://github.com/neurocyte/flow + Zig + #ec915c + 434 + 21 + 49 + + + https://avatars.githubusercontent.com/u/1552770?s=40&v=4 + neurocyte + https://github.com/neurocyte + + + https://avatars.githubusercontent.com/u/51252236?s=40&v=4 + xdBronch + https://github.com/xdBronch + + + https://avatars.githubusercontent.com/u/48253336?s=40&v=4 + VisenDev + https://github.com/VisenDev + + + https://avatars.githubusercontent.com/u/96927121?s=40&v=4 + n0s4 + https://github.com/n0s4 + + + https://avatars.githubusercontent.com/u/476352?s=40&v=4 + rockorager + https://github.com/rockorager + + + capy-ui/capy https://github.com/capy-ui/capy @@ -158,7 +196,7 @@ https://github.com/capy-ui/capy Zig #ec915c - 1,729 + 1,731 64 60 @@ -189,39 +227,6 @@ - - mitchellh/libxev - https://github.com/mitchellh/libxev - libxev is a cross-platform, high-performance event loop that provides abstractions for non-blocking IO, timers, events, and more and works on Linux (io_uring or epoll), macOS (kqueue), and Wasm + WASI. Available as both a Zig and C API. - https://github.com/mitchellh/libxev - Zig - #ec915c - 2,152 - 77 - 77 - - - https://avatars.githubusercontent.com/u/1299?s=40&v=4 - mitchellh - https://github.com/mitchellh - - - https://avatars.githubusercontent.com/u/1839807?s=40&v=4 - Corendos - https://github.com/Corendos - - - https://avatars.githubusercontent.com/u/35909?s=40&v=4 - ianic - https://github.com/ianic - - - https://avatars.githubusercontent.com/u/1159529?s=40&v=4 - linuxy - https://github.com/linuxy - - - rockorager/libvaxis https://github.com/rockorager/libvaxis @@ -261,40 +266,73 @@ - neurocyte/flow - https://github.com/neurocyte/flow - Flow Control: a programmer's text editor - https://github.com/neurocyte/flow + fairyglade/ly + https://github.com/fairyglade/ly + display manager with console UI + https://github.com/fairyglade/ly Zig #ec915c - 434 - 21 - 49 + 5,498 + 307 + 91 - https://avatars.githubusercontent.com/u/1552770?s=40&v=4 - neurocyte - https://github.com/neurocyte + https://avatars.githubusercontent.com/u/49339966?s=40&v=4 + AnErrupTion + https://github.com/AnErrupTion - https://avatars.githubusercontent.com/u/51252236?s=40&v=4 - xdBronch - https://github.com/xdBronch + https://avatars.githubusercontent.com/u/5473047?s=40&v=4 + nullgemm + https://github.com/nullgemm - https://avatars.githubusercontent.com/u/48253336?s=40&v=4 - VisenDev - https://github.com/VisenDev + https://avatars.githubusercontent.com/u/718169?s=40&v=4 + stlaz + https://github.com/stlaz - https://avatars.githubusercontent.com/u/96927121?s=40&v=4 - n0s4 - https://github.com/n0s4 + https://avatars.githubusercontent.com/u/686169?s=40&v=4 + liweitianux + https://github.com/liweitianux - https://avatars.githubusercontent.com/u/476352?s=40&v=4 - rockorager - https://github.com/rockorager + https://avatars.githubusercontent.com/u/3621083?s=40&v=4 + roosemberth + https://github.com/roosemberth + + + + + mitchellh/libxev + https://github.com/mitchellh/libxev + libxev is a cross-platform, high-performance event loop that provides abstractions for non-blocking IO, timers, events, and more and works on Linux (io_uring or epoll), macOS (kqueue), and Wasm + WASI. Available as both a Zig and C API. + https://github.com/mitchellh/libxev + Zig + #ec915c + 2,153 + 77 + 77 + + + https://avatars.githubusercontent.com/u/1299?s=40&v=4 + mitchellh + https://github.com/mitchellh + + + https://avatars.githubusercontent.com/u/1839807?s=40&v=4 + Corendos + https://github.com/Corendos + + + https://avatars.githubusercontent.com/u/35909?s=40&v=4 + ianic + https://github.com/ianic + + + https://avatars.githubusercontent.com/u/1159529?s=40&v=4 + linuxy + https://github.com/linuxy @@ -305,7 +343,7 @@ https://github.com/zigtools/zls Zig #ec915c - 3,026 + 3,027 298 98 @@ -337,40 +375,40 @@ - fairyglade/ly - https://github.com/fairyglade/ly - display manager with console UI - https://github.com/fairyglade/ly + tigerbeetle/tigerbeetle + https://github.com/tigerbeetle/tigerbeetle + The financial transactions database designed for mission critical safety and performance. + https://github.com/tigerbeetle/tigerbeetle Zig #ec915c - 5,499 - 307 - 91 + 10,646 + 520 + 322 - https://avatars.githubusercontent.com/u/49339966?s=40&v=4 - AnErrupTion - https://github.com/AnErrupTion + https://avatars.githubusercontent.com/u/461112?s=40&v=4 + sentientwaffle + https://github.com/sentientwaffle - https://avatars.githubusercontent.com/u/5473047?s=40&v=4 - nullgemm - https://github.com/nullgemm + https://avatars.githubusercontent.com/u/1711539?s=40&v=4 + matklad + https://github.com/matklad - https://avatars.githubusercontent.com/u/718169?s=40&v=4 - stlaz - https://github.com/stlaz + https://avatars.githubusercontent.com/u/413206?s=40&v=4 + jorangreef + https://github.com/jorangreef - https://avatars.githubusercontent.com/u/686169?s=40&v=4 - liweitianux - https://github.com/liweitianux + https://avatars.githubusercontent.com/u/5728002?s=40&v=4 + batiati + https://github.com/batiati - https://avatars.githubusercontent.com/u/3621083?s=40&v=4 - roosemberth - https://github.com/roosemberth + https://avatars.githubusercontent.com/u/703017?s=40&v=4 + cb22 + https://github.com/cb22 diff --git a/data/monthly/zil.json b/data/monthly/zil.json index 3866b1b7ed5a..10f968325a9e 100644 --- a/data/monthly/zil.json +++ b/data/monthly/zil.json @@ -2,6 +2,6 @@ "title": "GitHub Zil Languages Monthly Trending", "description": "Monthly Trending of Zil Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/zil.xml b/data/monthly/zil.xml index 1febfc3a69dd..0b3f75e3fbb9 100644 --- a/data/monthly/zil.xml +++ b/data/monthly/zil.xml @@ -3,6 +3,6 @@ GitHub Zil Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Zil Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/monthly/zimpl.json b/data/monthly/zimpl.json index 533d5b8706bc..5a3286b68b1b 100644 --- a/data/monthly/zimpl.json +++ b/data/monthly/zimpl.json @@ -2,6 +2,6 @@ "title": "GitHub Zimpl Languages Monthly Trending", "description": "Monthly Trending of Zimpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/monthly/zimpl.xml b/data/monthly/zimpl.xml index c3a28164262b..09b1b74c2548 100644 --- a/data/monthly/zimpl.xml +++ b/data/monthly/zimpl.xml @@ -3,6 +3,6 @@ GitHub Zimpl Languages Monthly Trending https://github.com/isboyjc/github-trending-api Monthly Trending of Zimpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/1c-enterprise.json b/data/weekly/1c-enterprise.json index cad6b9e47a39..0dcb02713286 100644 --- a/data/weekly/1c-enterprise.json +++ b/data/weekly/1c-enterprise.json @@ -2,7 +2,7 @@ "title": "GitHub 1c-enterprise Languages Weekly Trending", "description": "Weekly Trending of 1c-enterprise Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Pr-Mex/vanessa-automation", diff --git a/data/weekly/1c-enterprise.xml b/data/weekly/1c-enterprise.xml index 80e9833be7eb..7f32856c9036 100644 --- a/data/weekly/1c-enterprise.xml +++ b/data/weekly/1c-enterprise.xml @@ -3,7 +3,7 @@ GitHub 1c-enterprise Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of 1c-enterprise Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Pr-Mex/vanessa-automation https://github.com/Pr-Mex/vanessa-automation diff --git a/data/weekly/2-dimensional-array.json b/data/weekly/2-dimensional-array.json index fbfac34b8739..71f7e638316d 100644 --- a/data/weekly/2-dimensional-array.json +++ b/data/weekly/2-dimensional-array.json @@ -2,6 +2,6 @@ "title": "GitHub 2-dimensional-array Languages Weekly Trending", "description": "Weekly Trending of 2-dimensional-array Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/2-dimensional-array.xml b/data/weekly/2-dimensional-array.xml index 7d7b8164ea42..46f59734be40 100644 --- a/data/weekly/2-dimensional-array.xml +++ b/data/weekly/2-dimensional-array.xml @@ -3,6 +3,6 @@ GitHub 2-dimensional-array Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of 2-dimensional-array Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/4d.json b/data/weekly/4d.json index f73fa17c8648..7e6f8ad3f71f 100644 --- a/data/weekly/4d.json +++ b/data/weekly/4d.json @@ -2,6 +2,6 @@ "title": "GitHub 4d Languages Weekly Trending", "description": "Weekly Trending of 4d Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/4d.xml b/data/weekly/4d.xml index ac9de79f4d28..ce287d42778f 100644 --- a/data/weekly/4d.xml +++ b/data/weekly/4d.xml @@ -3,6 +3,6 @@ GitHub 4d Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of 4d Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/abap-cds.json b/data/weekly/abap-cds.json index b6688b917bec..7d1eeb4fe4f3 100644 --- a/data/weekly/abap-cds.json +++ b/data/weekly/abap-cds.json @@ -2,6 +2,6 @@ "title": "GitHub Abap-cds Languages Weekly Trending", "description": "Weekly Trending of Abap-cds Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/abap-cds.xml b/data/weekly/abap-cds.xml index 43b31a266475..0ca8b821a0f7 100644 --- a/data/weekly/abap-cds.xml +++ b/data/weekly/abap-cds.xml @@ -3,6 +3,6 @@ GitHub Abap-cds Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Abap-cds Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/abap.json b/data/weekly/abap.json index 99a8040ea887..49701dff7cea 100644 --- a/data/weekly/abap.json +++ b/data/weekly/abap.json @@ -2,7 +2,7 @@ "title": "GitHub Abap Languages Weekly Trending", "description": "Weekly Trending of Abap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "SAP-samples/abap-platform-rap-workshops", diff --git a/data/weekly/abap.xml b/data/weekly/abap.xml index 30c8b715ff6d..9d10c2779b0d 100644 --- a/data/weekly/abap.xml +++ b/data/weekly/abap.xml @@ -3,7 +3,7 @@ GitHub Abap Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Abap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT SAP-samples/abap-platform-rap-workshops https://github.com/SAP-samples/abap-platform-rap-workshops diff --git a/data/weekly/abnf.json b/data/weekly/abnf.json index e2c24c808e2b..d8fa1c89e0f0 100644 --- a/data/weekly/abnf.json +++ b/data/weekly/abnf.json @@ -2,6 +2,6 @@ "title": "GitHub Abnf Languages Weekly Trending", "description": "Weekly Trending of Abnf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/abnf.xml b/data/weekly/abnf.xml index be84d7f8427d..731db2883a61 100644 --- a/data/weekly/abnf.xml +++ b/data/weekly/abnf.xml @@ -3,6 +3,6 @@ GitHub Abnf Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Abnf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/actionscript.json b/data/weekly/actionscript.json index 2c5dece2ebd9..23f32a981f31 100644 --- a/data/weekly/actionscript.json +++ b/data/weekly/actionscript.json @@ -2,6 +2,6 @@ "title": "GitHub Actionscript Languages Weekly Trending", "description": "Weekly Trending of Actionscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/actionscript.xml b/data/weekly/actionscript.xml index 5569e720bf37..9608c053a2e2 100644 --- a/data/weekly/actionscript.xml +++ b/data/weekly/actionscript.xml @@ -3,6 +3,6 @@ GitHub Actionscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Actionscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ada.json b/data/weekly/ada.json index 27a47f34e406..116e13133788 100644 --- a/data/weekly/ada.json +++ b/data/weekly/ada.json @@ -2,6 +2,6 @@ "title": "GitHub Ada Languages Weekly Trending", "description": "Weekly Trending of Ada Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ada.xml b/data/weekly/ada.xml index 3a91edca2734..b26355bc56d2 100644 --- a/data/weekly/ada.xml +++ b/data/weekly/ada.xml @@ -3,6 +3,6 @@ GitHub Ada Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ada Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/adblock-filter-list.json b/data/weekly/adblock-filter-list.json index 81b45e84b89a..d69b777b4bc0 100644 --- a/data/weekly/adblock-filter-list.json +++ b/data/weekly/adblock-filter-list.json @@ -2,42 +2,42 @@ "title": "GitHub Adblock-filter-list Languages Weekly Trending", "description": "Weekly Trending of Adblock-filter-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "uBlockOrigin/uAssets", - "url": "https://github.com/uBlockOrigin/uAssets", - "description": "Resources for uBlock Origin, uMatrix: static filter lists, ready-to-use rulesets, etc.", + "title": "AdguardTeam/AdGuardSDNSFilter", + "url": "https://github.com/AdguardTeam/AdGuardSDNSFilter", + "description": "AdGuard DNS filter", "language": "Adblock Filter List", "languageColor": "#800000", - "stars": "4,226", - "forks": "777", - "addStars": "17", + "stars": "842", + "forks": "130", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10303732?s=40&v=4", - "name": "mapx-", - "url": "https://github.com/mapx-" + "avatar": "https://avatars.githubusercontent.com/u/5947035?s=40&v=4", + "name": "ameshkov", + "url": "https://github.com/ameshkov" }, { - "avatar": "https://avatars.githubusercontent.com/u/58900598?s=40&v=4", - "name": "Yuki2718", - "url": "https://github.com/Yuki2718" + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" }, { - "avatar": "https://avatars.githubusercontent.com/u/16838044?s=40&v=4", - "name": "okiehsch", - "url": "https://github.com/okiehsch" + "avatar": "https://avatars.githubusercontent.com/u/8361299?s=40&v=4", + "name": "Alex-302", + "url": "https://github.com/Alex-302" }, { - "avatar": "https://avatars.githubusercontent.com/u/66517106?s=40&v=4", - "name": "stephenhawk8054", - "url": "https://github.com/stephenhawk8054" + "avatar": "https://avatars.githubusercontent.com/u/33488229?s=40&v=4", + "name": "zloyden", + "url": "https://github.com/zloyden" }, { - "avatar": "https://avatars.githubusercontent.com/u/21290713?s=40&v=4", - "name": "uBlock-user", - "url": "https://github.com/uBlock-user" + "avatar": "https://avatars.githubusercontent.com/u/91964807?s=40&v=4", + "name": "jellizaveta", + "url": "https://github.com/jellizaveta" } ] }, @@ -47,7 +47,7 @@ "description": "AdGuard Content Blocking Filters", "language": "Adblock Filter List", "languageColor": "#800000", - "stars": "3,249", + "stars": "3,253", "forks": "637", "addStars": "20", "contributors": [ @@ -78,13 +78,50 @@ } ] }, + { + "title": "uBlockOrigin/uAssets", + "url": "https://github.com/uBlockOrigin/uAssets", + "description": "Resources for uBlock Origin, uMatrix: static filter lists, ready-to-use rulesets, etc.", + "language": "Adblock Filter List", + "languageColor": "#800000", + "stars": "4,226", + "forks": "777", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10303732?s=40&v=4", + "name": "mapx-", + "url": "https://github.com/mapx-" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/58900598?s=40&v=4", + "name": "Yuki2718", + "url": "https://github.com/Yuki2718" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16838044?s=40&v=4", + "name": "okiehsch", + "url": "https://github.com/okiehsch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66517106?s=40&v=4", + "name": "stephenhawk8054", + "url": "https://github.com/stephenhawk8054" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21290713?s=40&v=4", + "name": "uBlock-user", + "url": "https://github.com/uBlock-user" + } + ] + }, { "title": "easylist/easylist", "url": "https://github.com/easylist/easylist", "description": "EasyList filter subscription (EasyList, EasyPrivacy, EasyList Cookie, Fanboy's Social/Annoyances/Notifications Blocking List)", "language": "Adblock Filter List", "languageColor": "#800000", - "stars": "2,258", + "stars": "2,259", "forks": "732", "addStars": "7", "contributors": [ @@ -114,43 +151,6 @@ "url": "https://github.com/smed79" } ] - }, - { - "title": "AdguardTeam/AdGuardSDNSFilter", - "url": "https://github.com/AdguardTeam/AdGuardSDNSFilter", - "description": "AdGuard DNS filter", - "language": "Adblock Filter List", - "languageColor": "#800000", - "stars": "842", - "forks": "130", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5947035?s=40&v=4", - "name": "ameshkov", - "url": "https://github.com/ameshkov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", - "name": "traviscibot", - "url": "https://github.com/traviscibot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8361299?s=40&v=4", - "name": "Alex-302", - "url": "https://github.com/Alex-302" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33488229?s=40&v=4", - "name": "zloyden", - "url": "https://github.com/zloyden" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/91964807?s=40&v=4", - "name": "jellizaveta", - "url": "https://github.com/jellizaveta" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/adblock-filter-list.xml b/data/weekly/adblock-filter-list.xml index 5fc72ba45a38..4481b9794302 100644 --- a/data/weekly/adblock-filter-list.xml +++ b/data/weekly/adblock-filter-list.xml @@ -3,42 +3,42 @@ GitHub Adblock-filter-list Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Adblock-filter-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - uBlockOrigin/uAssets - https://github.com/uBlockOrigin/uAssets - Resources for uBlock Origin, uMatrix: static filter lists, ready-to-use rulesets, etc. - https://github.com/uBlockOrigin/uAssets + AdguardTeam/AdGuardSDNSFilter + https://github.com/AdguardTeam/AdGuardSDNSFilter + AdGuard DNS filter + https://github.com/AdguardTeam/AdGuardSDNSFilter Adblock Filter List #800000 - 4,226 - 777 - 17 + 842 + 130 + 9 - https://avatars.githubusercontent.com/u/10303732?s=40&v=4 - mapx- - https://github.com/mapx- + https://avatars.githubusercontent.com/u/5947035?s=40&v=4 + ameshkov + https://github.com/ameshkov - https://avatars.githubusercontent.com/u/58900598?s=40&v=4 - Yuki2718 - https://github.com/Yuki2718 + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot - https://avatars.githubusercontent.com/u/16838044?s=40&v=4 - okiehsch - https://github.com/okiehsch + https://avatars.githubusercontent.com/u/8361299?s=40&v=4 + Alex-302 + https://github.com/Alex-302 - https://avatars.githubusercontent.com/u/66517106?s=40&v=4 - stephenhawk8054 - https://github.com/stephenhawk8054 + https://avatars.githubusercontent.com/u/33488229?s=40&v=4 + zloyden + https://github.com/zloyden - https://avatars.githubusercontent.com/u/21290713?s=40&v=4 - uBlock-user - https://github.com/uBlock-user + https://avatars.githubusercontent.com/u/91964807?s=40&v=4 + jellizaveta + https://github.com/jellizaveta @@ -49,7 +49,7 @@ https://github.com/AdguardTeam/AdguardFilters Adblock Filter List #800000 - 3,249 + 3,253 637 20 @@ -80,6 +80,44 @@ + + uBlockOrigin/uAssets + https://github.com/uBlockOrigin/uAssets + Resources for uBlock Origin, uMatrix: static filter lists, ready-to-use rulesets, etc. + https://github.com/uBlockOrigin/uAssets + Adblock Filter List + #800000 + 4,226 + 777 + 17 + + + https://avatars.githubusercontent.com/u/10303732?s=40&v=4 + mapx- + https://github.com/mapx- + + + https://avatars.githubusercontent.com/u/58900598?s=40&v=4 + Yuki2718 + https://github.com/Yuki2718 + + + https://avatars.githubusercontent.com/u/16838044?s=40&v=4 + okiehsch + https://github.com/okiehsch + + + https://avatars.githubusercontent.com/u/66517106?s=40&v=4 + stephenhawk8054 + https://github.com/stephenhawk8054 + + + https://avatars.githubusercontent.com/u/21290713?s=40&v=4 + uBlock-user + https://github.com/uBlock-user + + + easylist/easylist https://github.com/easylist/easylist @@ -87,7 +125,7 @@ https://github.com/easylist/easylist Adblock Filter List #800000 - 2,258 + 2,259 732 7 @@ -118,43 +156,5 @@ - - AdguardTeam/AdGuardSDNSFilter - https://github.com/AdguardTeam/AdGuardSDNSFilter - AdGuard DNS filter - https://github.com/AdguardTeam/AdGuardSDNSFilter - Adblock Filter List - #800000 - 842 - 130 - 9 - - - https://avatars.githubusercontent.com/u/5947035?s=40&v=4 - ameshkov - https://github.com/ameshkov - - - https://avatars.githubusercontent.com/u/33116358?s=40&v=4 - traviscibot - https://github.com/traviscibot - - - https://avatars.githubusercontent.com/u/8361299?s=40&v=4 - Alex-302 - https://github.com/Alex-302 - - - https://avatars.githubusercontent.com/u/33488229?s=40&v=4 - zloyden - https://github.com/zloyden - - - https://avatars.githubusercontent.com/u/91964807?s=40&v=4 - jellizaveta - https://github.com/jellizaveta - - - \ No newline at end of file diff --git a/data/weekly/adobe-font-metrics.json b/data/weekly/adobe-font-metrics.json index 80bde7a454e7..85b8cffe5467 100644 --- a/data/weekly/adobe-font-metrics.json +++ b/data/weekly/adobe-font-metrics.json @@ -2,6 +2,6 @@ "title": "GitHub Adobe-font-metrics Languages Weekly Trending", "description": "Weekly Trending of Adobe-font-metrics Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/adobe-font-metrics.xml b/data/weekly/adobe-font-metrics.xml index 7402257281ca..37722ec6c7a2 100644 --- a/data/weekly/adobe-font-metrics.xml +++ b/data/weekly/adobe-font-metrics.xml @@ -3,6 +3,6 @@ GitHub Adobe-font-metrics Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Adobe-font-metrics Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/agda.json b/data/weekly/agda.json index ea762cd2ebbd..21cb53e01d1b 100644 --- a/data/weekly/agda.json +++ b/data/weekly/agda.json @@ -2,6 +2,39 @@ "title": "GitHub Agda Languages Weekly Trending", "description": "Weekly Trending of Agda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "plfa/plfa.github.io", + "url": "https://github.com/plfa/plfa.github.io", + "description": "An introduction to programming language theory in Agda", + "language": "Agda", + "languageColor": "#315665", + "stars": "1,372", + "forks": "317", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4890546?s=40&v=4", + "name": "wadler", + "url": "https://github.com/wadler" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3983973?s=40&v=4", + "name": "wenkokke", + "url": "https://github.com/wenkokke" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6256391?s=40&v=4", + "name": "mdimjasevic", + "url": "https://github.com/mdimjasevic" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3834684?s=40&v=4", + "name": "jsiek", + "url": "https://github.com/jsiek" + } + ] + } + ] } \ No newline at end of file diff --git a/data/weekly/agda.xml b/data/weekly/agda.xml index a3e761e0721b..ea39790a8817 100644 --- a/data/weekly/agda.xml +++ b/data/weekly/agda.xml @@ -3,6 +3,39 @@ GitHub Agda Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Agda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + plfa/plfa.github.io + https://github.com/plfa/plfa.github.io + An introduction to programming language theory in Agda + https://github.com/plfa/plfa.github.io + Agda + #315665 + 1,372 + 317 + 0 + + + https://avatars.githubusercontent.com/u/4890546?s=40&v=4 + wadler + https://github.com/wadler + + + https://avatars.githubusercontent.com/u/3983973?s=40&v=4 + wenkokke + https://github.com/wenkokke + + + https://avatars.githubusercontent.com/u/6256391?s=40&v=4 + mdimjasevic + https://github.com/mdimjasevic + + + https://avatars.githubusercontent.com/u/3834684?s=40&v=4 + jsiek + https://github.com/jsiek + + + \ No newline at end of file diff --git a/data/weekly/ags-script.json b/data/weekly/ags-script.json index 1d7cbc1ca494..6a05c0b00008 100644 --- a/data/weekly/ags-script.json +++ b/data/weekly/ags-script.json @@ -2,7 +2,7 @@ "title": "GitHub Ags-script Languages Weekly Trending", "description": "Weekly Trending of Ags-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "MapIV/eagleye", diff --git a/data/weekly/ags-script.xml b/data/weekly/ags-script.xml index fb0706a2401d..b1dcd5bd209c 100644 --- a/data/weekly/ags-script.xml +++ b/data/weekly/ags-script.xml @@ -3,7 +3,7 @@ GitHub Ags-script Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ags-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT MapIV/eagleye https://github.com/MapIV/eagleye diff --git a/data/weekly/aidl.json b/data/weekly/aidl.json index 3d7329c5356e..d22a1764502a 100644 --- a/data/weekly/aidl.json +++ b/data/weekly/aidl.json @@ -2,6 +2,6 @@ "title": "GitHub Aidl Languages Weekly Trending", "description": "Weekly Trending of Aidl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/aidl.xml b/data/weekly/aidl.xml index c4d0f01fa176..559181519516 100644 --- a/data/weekly/aidl.xml +++ b/data/weekly/aidl.xml @@ -3,6 +3,6 @@ GitHub Aidl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Aidl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/al.json b/data/weekly/al.json index aa8986bb26c2..52bbc10be1db 100644 --- a/data/weekly/al.json +++ b/data/weekly/al.json @@ -2,7 +2,7 @@ "title": "GitHub Al Languages Weekly Trending", "description": "Weekly Trending of Al Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/BCApps", diff --git a/data/weekly/al.xml b/data/weekly/al.xml index e20ff740d24b..841e63ca0735 100644 --- a/data/weekly/al.xml +++ b/data/weekly/al.xml @@ -3,7 +3,7 @@ GitHub Al Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Al Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/BCApps https://github.com/microsoft/BCApps diff --git a/data/weekly/all.json b/data/weekly/all.json index 2ee72785ef19..eec56963bfae 100644 --- a/data/weekly/all.json +++ b/data/weekly/all.json @@ -2,16 +2,53 @@ "title": "GitHub All Languages Weekly Trending", "description": "Weekly Trending of All Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "leaningtech/webvm", + "url": "https://github.com/leaningtech/webvm", + "description": "Virtual Machine for the Web", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "10,345", + "forks": "1,552", + "addStars": "4,062", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/191061?s=40&v=4", + "name": "alexp-sssup", + "url": "https://github.com/alexp-sssup" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/842657?s=40&v=4", + "name": "carlopi", + "url": "https://github.com/carlopi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1506147?s=40&v=4", + "name": "yuri91", + "url": "https://github.com/yuri91" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2992193?s=40&v=4", + "name": "sere", + "url": "https://github.com/sere" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9429556?s=40&v=4", + "name": "bates64", + "url": "https://github.com/bates64" + } + ] + }, { "title": "DataExpert-io/data-engineer-handbook", "url": "https://github.com/DataExpert-io/data-engineer-handbook", "description": "This is a repo with links to everything you'd ever want to learn about data engineering", "language": "Makefile", "languageColor": "#427819", - "stars": "20,993", - "forks": "3,202", + "stars": "21,096", + "forks": "3,224", "addStars": "6,198", "contributors": [ { @@ -41,14 +78,51 @@ } ] }, + { + "title": "twentyhq/twenty", + "url": "https://github.com/twentyhq/twenty", + "description": "Building a modern alternative to Salesforce, powered by the community.", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "23,464", + "forks": "2,428", + "addStars": "3,095", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12035771?s=40&v=4", + "name": "charlesBochet", + "url": "https://github.com/charlesBochet" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26528466?s=40&v=4", + "name": "lucasbordeau", + "url": "https://github.com/lucasbordeau" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1834158?s=40&v=4", + "name": "Weiko", + "url": "https://github.com/Weiko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6399865?s=40&v=4", + "name": "FelixMalfait", + "url": "https://github.com/FelixMalfait" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/71827178?s=40&v=4", + "name": "bosiraphael", + "url": "https://github.com/bosiraphael" + } + ] + }, { "title": "freqtrade/freqtrade", "url": "https://github.com/freqtrade/freqtrade", "description": "Free, open source crypto trading bot", "language": "Python", "languageColor": "#3572A5", - "stars": "31,210", - "forks": "6,362", + "stars": "31,237", + "forks": "6,367", "addStars": "2,232", "contributors": [ { @@ -74,93 +148,76 @@ ] }, { - "title": "twentyhq/twenty", - "url": "https://github.com/twentyhq/twenty", - "description": "Building a modern alternative to Salesforce, powered by the community.", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "23,431", - "forks": "2,426", - "addStars": "3,095", + "title": "Melkeydev/go-blueprint", + "url": "https://github.com/Melkeydev/go-blueprint", + "description": "Go-blueprint allows users to spin up a quick Go project using a popular framework", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "5,456", + "forks": "316", + "addStars": "810", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12035771?s=40&v=4", - "name": "charlesBochet", - "url": "https://github.com/charlesBochet" + "avatar": "https://avatars.githubusercontent.com/u/53410236?s=40&v=4", + "name": "Melkeydev", + "url": "https://github.com/Melkeydev" }, { - "avatar": "https://avatars.githubusercontent.com/u/26528466?s=40&v=4", - "name": "lucasbordeau", - "url": "https://github.com/lucasbordeau" + "avatar": "https://avatars.githubusercontent.com/u/116409846?s=40&v=4", + "name": "Ujstor", + "url": "https://github.com/Ujstor" }, { - "avatar": "https://avatars.githubusercontent.com/u/1834158?s=40&v=4", - "name": "Weiko", - "url": "https://github.com/Weiko" + "avatar": "https://avatars.githubusercontent.com/u/84874612?s=40&v=4", + "name": "tylermeekel", + "url": "https://github.com/tylermeekel" }, { - "avatar": "https://avatars.githubusercontent.com/u/6399865?s=40&v=4", - "name": "FelixMalfait", - "url": "https://github.com/FelixMalfait" + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" }, { - "avatar": "https://avatars.githubusercontent.com/u/71827178?s=40&v=4", - "name": "bosiraphael", - "url": "https://github.com/bosiraphael" + "avatar": "https://avatars.githubusercontent.com/u/45570310?s=40&v=4", + "name": "MitchellBerend", + "url": "https://github.com/MitchellBerend" } ] }, { - "title": "ai16z/eliza", - "url": "https://github.com/ai16z/eliza", - "description": "Conversational Agent for Twitter and Discord", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "2,107", - "forks": "567", - "addStars": "917", + "title": "black-forest-labs/flux", + "url": "https://github.com/black-forest-labs/flux", + "description": "Official inference repo for FLUX.1 models", + "language": "Python", + "languageColor": "#3572A5", + "stars": "17,485", + "forks": "1,237", + "addStars": "899", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18633264?s=40&v=4", - "name": "lalalune", - "url": "https://github.com/lalalune" + "avatar": "https://avatars.githubusercontent.com/u/37085732?s=40&v=4", + "name": "timudk", + "url": "https://github.com/timudk" }, { - "avatar": "https://avatars.githubusercontent.com/u/90423308?s=40&v=4", - "name": "ponderingdemocritus", - "url": "https://github.com/ponderingdemocritus" + "avatar": "https://avatars.githubusercontent.com/u/22528125?s=40&v=4", + "name": "jenuk", + "url": "https://github.com/jenuk" }, { - "avatar": "https://avatars.githubusercontent.com/u/28543?s=40&v=4", - "name": "sirkitree", - "url": "https://github.com/sirkitree" + "avatar": "https://avatars.githubusercontent.com/u/788417?s=40&v=4", + "name": "apolinario", + "url": "https://github.com/apolinario" }, { - "avatar": "https://avatars.githubusercontent.com/u/70625671?s=40&v=4", - "name": "MarcoMandar", - "url": "https://github.com/MarcoMandar" - } - ] - }, - { - "title": "microsoft/TinyTroupe", - "url": "https://github.com/microsoft/TinyTroupe", - "description": "LLM-powered multiagent persona simulation for imagination enhancement and business insights.", - "language": "Python", - "languageColor": "#3572A5", - "stars": "4,357", - "forks": "304", - "addStars": "984", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1709404?s=40&v=4", - "name": "paulosalem", - "url": "https://github.com/paulosalem" + "avatar": "https://avatars.githubusercontent.com/u/2289?s=40&v=4", + "name": "zeke", + "url": "https://github.com/zeke" }, { - "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", - "name": "microsoftopensource", - "url": "https://github.com/microsoftopensource" + "avatar": "https://avatars.githubusercontent.com/u/1416801?s=40&v=4", + "name": "thibautRe", + "url": "https://github.com/thibautRe" } ] }, @@ -170,8 +227,8 @@ "description": "Payload is the open-source, fullstack Next.js framework, giving you instant backend superpowers. Get a full TypeScript backend and admin panel instantly. Use Payload as a headless CMS or for building powerful applications.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "28,105", - "forks": "1,741", + "stars": "28,144", + "forks": "1,743", "addStars": "2,422", "contributors": [ { @@ -202,140 +259,34 @@ ] }, { - "title": "Bin-Huang/chatbox", - "url": "https://github.com/Bin-Huang/chatbox", - "description": "User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...)", + "title": "ai16z/eliza", + "url": "https://github.com/ai16z/eliza", + "description": "Conversational Agent for Twitter and Discord", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "22,939", - "forks": "2,287", - "addStars": "1,009", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20723142?s=40&v=4", - "name": "Bin-Huang", - "url": "https://github.com/Bin-Huang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19162201?s=40&v=4", - "name": "hiNISAL", - "url": "https://github.com/hiNISAL" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1571956?s=40&v=4", - "name": "joegoldin", - "url": "https://github.com/joegoldin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5771087?s=40&v=4", - "name": "paddingme", - "url": "https://github.com/paddingme" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6491222?s=40&v=4", - "name": "liuzesen", - "url": "https://github.com/liuzesen" - } - ] - }, - { - "title": "krahets/hello-algo", - "url": "https://github.com/krahets/hello-algo", - "description": "《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing", - "language": "Java", - "languageColor": "#b07219", - "stars": "100,063", - "forks": "12,583", - "addStars": "1,366", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/26993056?s=40&v=4", - "name": "krahets", - "url": "https://github.com/krahets" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24556310?s=40&v=4", - "name": "justin-tse", - "url": "https://github.com/justin-tse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/99076655?s=40&v=4", - "name": "coderonion", - "url": "https://github.com/coderonion" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3739017?s=40&v=4", - "name": "nuomi1", - "url": "https://github.com/nuomi1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39959756?s=40&v=4", - "name": "Gonglja", - "url": "https://github.com/Gonglja" - } - ] - }, - { - "title": "blacklanternsecurity/bbot", - "url": "https://github.com/blacklanternsecurity/bbot", - "description": "A recursive internet scanner for hackers. 🧡", - "language": "Python", - "languageColor": "#3572A5", - "stars": "6,920", - "forks": "523", - "addStars": "1,270", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20261699?s=40&v=4", - "name": "TheTechromancer", - "url": "https://github.com/TheTechromancer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24899338?s=40&v=4", - "name": "liquidsec", - "url": "https://github.com/liquidsec" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/122788350?s=40&v=4", - "name": "domwhewell-sage", - "url": "https://github.com/domwhewell-sage" - } - ] - }, - { - "title": "black-forest-labs/flux", - "url": "https://github.com/black-forest-labs/flux", - "description": "Official inference repo for FLUX.1 models", - "language": "Python", - "languageColor": "#3572A5", - "stars": "17,302", - "forks": "1,228", - "addStars": "899", + "stars": "2,124", + "forks": "575", + "addStars": "917", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37085732?s=40&v=4", - "name": "timudk", - "url": "https://github.com/timudk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22528125?s=40&v=4", - "name": "jenuk", - "url": "https://github.com/jenuk" + "avatar": "https://avatars.githubusercontent.com/u/18633264?s=40&v=4", + "name": "lalalune", + "url": "https://github.com/lalalune" }, { - "avatar": "https://avatars.githubusercontent.com/u/788417?s=40&v=4", - "name": "apolinario", - "url": "https://github.com/apolinario" + "avatar": "https://avatars.githubusercontent.com/u/90423308?s=40&v=4", + "name": "ponderingdemocritus", + "url": "https://github.com/ponderingdemocritus" }, { - "avatar": "https://avatars.githubusercontent.com/u/2289?s=40&v=4", - "name": "zeke", - "url": "https://github.com/zeke" + "avatar": "https://avatars.githubusercontent.com/u/28543?s=40&v=4", + "name": "sirkitree", + "url": "https://github.com/sirkitree" }, { - "avatar": "https://avatars.githubusercontent.com/u/1416801?s=40&v=4", - "name": "thibautRe", - "url": "https://github.com/thibautRe" + "avatar": "https://avatars.githubusercontent.com/u/70625671?s=40&v=4", + "name": "MarcoMandar", + "url": "https://github.com/MarcoMandar" } ] }, @@ -345,8 +296,8 @@ "description": "The Bluesky Social application for Web, iOS, and Android", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "12,432", - "forks": "1,588", + "stars": "12,524", + "forks": "1,600", "addStars": "998", "contributors": [ { @@ -377,145 +328,98 @@ ] }, { - "title": "xtekky/gpt4free", - "url": "https://github.com/xtekky/gpt4free", - "description": "The official gpt4free repository | various collection of powerful language models", + "title": "blacklanternsecurity/bbot", + "url": "https://github.com/blacklanternsecurity/bbot", + "description": "A recursive internet scanner for hackers. 🧡", "language": "Python", "languageColor": "#3572A5", - "stars": "62,195", - "forks": "13,382", - "addStars": "1,038", + "stars": "6,944", + "forks": "525", + "addStars": "1,270", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/98614666?s=40&v=4", - "name": "xtekky", - "url": "https://github.com/xtekky" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/983577?s=40&v=4", - "name": "hlohaus", - "url": "https://github.com/hlohaus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/166700875?s=40&v=4", - "name": "kqlio67", - "url": "https://github.com/kqlio67" + "avatar": "https://avatars.githubusercontent.com/u/20261699?s=40&v=4", + "name": "TheTechromancer", + "url": "https://github.com/TheTechromancer" }, { - "avatar": "https://avatars.githubusercontent.com/u/22415463?s=40&v=4", - "name": "sudouser777", - "url": "https://github.com/sudouser777" + "avatar": "https://avatars.githubusercontent.com/u/24899338?s=40&v=4", + "name": "liquidsec", + "url": "https://github.com/liquidsec" }, { - "avatar": "https://avatars.githubusercontent.com/u/36830534?s=40&v=4", - "name": "bagusindrayana", - "url": "https://github.com/bagusindrayana" + "avatar": "https://avatars.githubusercontent.com/u/122788350?s=40&v=4", + "name": "domwhewell-sage", + "url": "https://github.com/domwhewell-sage" } ] }, { - "title": "dagster-io/dagster", - "url": "https://github.com/dagster-io/dagster", - "description": "An orchestration platform for the development, production, and observation of data assets.", + "title": "NVIDIA/garak", + "url": "https://github.com/NVIDIA/garak", + "description": "the LLM vulnerability scanner", "language": "Python", "languageColor": "#3572A5", - "stars": "11,893", - "forks": "1,489", - "addStars": "161", + "stars": "2,775", + "forks": "239", + "addStars": "1,207", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28738937?s=40&v=4", - "name": "schrockn", - "url": "https://github.com/schrockn" + "avatar": "https://avatars.githubusercontent.com/u/121934?s=40&v=4", + "name": "leondz", + "url": "https://github.com/leondz" }, { - "avatar": "https://avatars.githubusercontent.com/u/8451211?s=40&v=4", - "name": "gibsondan", - "url": "https://github.com/gibsondan" + "avatar": "https://avatars.githubusercontent.com/u/7873740?s=40&v=4", + "name": "jmartin-tech", + "url": "https://github.com/jmartin-tech" }, { - "avatar": "https://avatars.githubusercontent.com/u/202219?s=40&v=4", - "name": "alangenfeld", - "url": "https://github.com/alangenfeld" + "avatar": "https://avatars.githubusercontent.com/u/43585941?s=40&v=4", + "name": "erickgalinkin", + "url": "https://github.com/erickgalinkin" }, { - "avatar": "https://avatars.githubusercontent.com/u/654855?s=40&v=4", - "name": "sryza", - "url": "https://github.com/sryza" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2823852?s=40&v=4", - "name": "hellendag", - "url": "https://github.com/hellendag" + "avatar": "https://avatars.githubusercontent.com/u/45014214?s=40&v=4", + "name": "arjun-krishna1", + "url": "https://github.com/arjun-krishna1" } ] }, { - "title": "khoj-ai/khoj", - "url": "https://github.com/khoj-ai/khoj", - "description": "Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral).", - "language": "Python", - "languageColor": "#3572A5", - "stars": "16,098", - "forks": "794", - "addStars": "865", + "title": "krahets/hello-algo", + "url": "https://github.com/krahets/hello-algo", + "description": "《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing", + "language": "Java", + "languageColor": "#b07219", + "stars": "100,154", + "forks": "12,589", + "addStars": "1,366", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6413477?s=40&v=4", - "name": "debanjum", - "url": "https://github.com/debanjum" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65192171?s=40&v=4", - "name": "sabaimran", - "url": "https://github.com/sabaimran" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62105787?s=40&v=4", - "name": "MythicalCow", - "url": "https://github.com/MythicalCow" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/486336?s=40&v=4", - "name": "aam-at", - "url": "https://github.com/aam-at" + "avatar": "https://avatars.githubusercontent.com/u/26993056?s=40&v=4", + "name": "krahets", + "url": "https://github.com/krahets" }, { - "avatar": "https://avatars.githubusercontent.com/u/108987556?s=40&v=4", - "name": "shantanuSakpal", - "url": "https://github.com/shantanuSakpal" - } - ] - }, - { - "title": "mediar-ai/screenpipe", - "url": "https://github.com/mediar-ai/screenpipe", - "description": "rewind.ai x cursor.com = your AI assistant that has all the context. 24/7 screen & voice recording for the age of super intelligence. get your data ready or be left behind", - "language": "Rust", - "languageColor": "#dea584", - "stars": "10,088", - "forks": "599", - "addStars": "1,096", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25003283?s=40&v=4", - "name": "louis030195", - "url": "https://github.com/louis030195" + "avatar": "https://avatars.githubusercontent.com/u/24556310?s=40&v=4", + "name": "justin-tse", + "url": "https://github.com/justin-tse" }, { - "avatar": "https://avatars.githubusercontent.com/u/104702220?s=40&v=4", - "name": "m13v", - "url": "https://github.com/m13v" + "avatar": "https://avatars.githubusercontent.com/u/99076655?s=40&v=4", + "name": "coderonion", + "url": "https://github.com/coderonion" }, { - "avatar": "https://avatars.githubusercontent.com/u/62727185?s=40&v=4", - "name": "Neptune650", - "url": "https://github.com/Neptune650" + "avatar": "https://avatars.githubusercontent.com/u/3739017?s=40&v=4", + "name": "nuomi1", + "url": "https://github.com/nuomi1" }, { - "avatar": "https://avatars.githubusercontent.com/u/50280365?s=40&v=4", - "name": "EzraEllette", - "url": "https://github.com/EzraEllette" + "avatar": "https://avatars.githubusercontent.com/u/39959756?s=40&v=4", + "name": "Gonglja", + "url": "https://github.com/Gonglja" } ] }, @@ -525,8 +429,8 @@ "description": "Social networking technology created by Bluesky", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "7,068", - "forks": "505", + "stars": "7,121", + "forks": "509", "addStars": "305", "contributors": [ { @@ -552,29 +456,61 @@ ] }, { - "title": "excalidraw/excalidraw", - "url": "https://github.com/excalidraw/excalidraw", - "description": "Virtual whiteboard for sketching hand-drawn like diagrams", + "title": "microsoft/TinyTroupe", + "url": "https://github.com/microsoft/TinyTroupe", + "description": "LLM-powered multiagent persona simulation for imagination enhancement and business insights.", + "language": "Python", + "languageColor": "#3572A5", + "stars": "4,389", + "forks": "308", + "addStars": "984", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1709404?s=40&v=4", + "name": "paulosalem", + "url": "https://github.com/paulosalem" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", + "name": "microsoftopensource", + "url": "https://github.com/microsoftopensource" + } + ] + }, + { + "title": "Bin-Huang/chatbox", + "url": "https://github.com/Bin-Huang/chatbox", + "description": "User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...)", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "86,177", - "forks": "8,162", - "addStars": "1,161", + "stars": "22,958", + "forks": "2,287", + "addStars": "1,009", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5153846?s=40&v=4", - "name": "dwelle", - "url": "https://github.com/dwelle" + "avatar": "https://avatars.githubusercontent.com/u/20723142?s=40&v=4", + "name": "Bin-Huang", + "url": "https://github.com/Bin-Huang" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19162201?s=40&v=4", + "name": "hiNISAL", + "url": "https://github.com/hiNISAL" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1571956?s=40&v=4", + "name": "joegoldin", + "url": "https://github.com/joegoldin" }, { - "avatar": "https://avatars.githubusercontent.com/u/11256141?s=40&v=4", - "name": "ad1992", - "url": "https://github.com/ad1992" + "avatar": "https://avatars.githubusercontent.com/u/5771087?s=40&v=4", + "name": "paddingme", + "url": "https://github.com/paddingme" }, { - "avatar": "https://avatars.githubusercontent.com/u/125676?s=40&v=4", - "name": "lipis", - "url": "https://github.com/lipis" + "avatar": "https://avatars.githubusercontent.com/u/6491222?s=40&v=4", + "name": "liuzesen", + "url": "https://github.com/liuzesen" } ] }, @@ -584,8 +520,8 @@ "description": "📨 The ultimate social media scheduling tool, with a bunch of AI 🤖", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "11,333", - "forks": "2,320", + "stars": "11,426", + "forks": "2,325", "addStars": "1,157", "contributors": [ { @@ -616,39 +552,66 @@ ] }, { - "title": "langflow-ai/langflow", - "url": "https://github.com/langflow-ai/langflow", - "description": "Langflow is a low-code app builder for RAG and multi-agent AI applications. It’s Python-based and agnostic to any model, API, or database.", - "language": "JavaScript", - "languageColor": "#f1e05a", - "stars": "35,454", - "forks": "4,223", - "addStars": "1,063", + "title": "tinygrad/tinygrad", + "url": "https://github.com/tinygrad/tinygrad", + "description": "You like pytorch? You like micrograd? You love tinygrad! ❤️", + "language": "Python", + "languageColor": "#3572A5", + "stars": "26,988", + "forks": "3,001", + "addStars": "119", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/24829397?s=40&v=4", - "name": "ogabrielluiz", - "url": "https://github.com/ogabrielluiz" + "avatar": "https://avatars.githubusercontent.com/u/72895?s=40&v=4", + "name": "geohot", + "url": "https://github.com/geohot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2525478?s=40&v=4", + "name": "chenyuxyz", + "url": "https://github.com/chenyuxyz" }, { - "avatar": "https://avatars.githubusercontent.com/u/70824914?s=40&v=4", - "name": "anovazzi1", - "url": "https://github.com/anovazzi1" + "avatar": "https://avatars.githubusercontent.com/u/77887910?s=40&v=4", + "name": "Qazalin", + "url": "https://github.com/Qazalin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/138685161?s=40&v=4", + "name": "nimlgen", + "url": "https://github.com/nimlgen" + } + ] + }, + { + "title": "lvgl/lvgl", + "url": "https://github.com/lvgl/lvgl", + "description": "Embedded graphics library to create beautiful UIs for any MCU, MPU and display type.", + "language": "C", + "languageColor": "#555555", + "stars": "17,434", + "forks": "3,289", + "addStars": "539", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7599318?s=40&v=4", + "name": "kisvegabor", + "url": "https://github.com/kisvegabor" }, { - "avatar": "https://avatars.githubusercontent.com/u/62335616?s=40&v=4", - "name": "lucaseduoli", - "url": "https://github.com/lucaseduoli" + "avatar": "https://avatars.githubusercontent.com/u/42941056?s=40&v=4", + "name": "embeddedt", + "url": "https://github.com/embeddedt" }, { - "avatar": "https://avatars.githubusercontent.com/u/72977554?s=40&v=4", - "name": "Cristhianzl", - "url": "https://github.com/Cristhianzl" + "avatar": "https://avatars.githubusercontent.com/u/26767803?s=40&v=4", + "name": "FASTSHIFT", + "url": "https://github.com/FASTSHIFT" }, { - "avatar": "https://avatars.githubusercontent.com/u/64559670?s=40&v=4", - "name": "igorrCarvalho", - "url": "https://github.com/igorrCarvalho" + "avatar": "https://avatars.githubusercontent.com/u/25867991?s=40&v=4", + "name": "XuNeo", + "url": "https://github.com/XuNeo" } ] } diff --git a/data/weekly/all.xml b/data/weekly/all.xml index f65f31b570a2..20e3058f3a88 100644 --- a/data/weekly/all.xml +++ b/data/weekly/all.xml @@ -3,7 +3,45 @@ GitHub All Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of All Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + leaningtech/webvm + https://github.com/leaningtech/webvm + Virtual Machine for the Web + https://github.com/leaningtech/webvm + Svelte + #ff3e00 + 10,345 + 1,552 + 4,062 + + + https://avatars.githubusercontent.com/u/191061?s=40&v=4 + alexp-sssup + https://github.com/alexp-sssup + + + https://avatars.githubusercontent.com/u/842657?s=40&v=4 + carlopi + https://github.com/carlopi + + + https://avatars.githubusercontent.com/u/1506147?s=40&v=4 + yuri91 + https://github.com/yuri91 + + + https://avatars.githubusercontent.com/u/2992193?s=40&v=4 + sere + https://github.com/sere + + + https://avatars.githubusercontent.com/u/9429556?s=40&v=4 + bates64 + https://github.com/bates64 + + + DataExpert-io/data-engineer-handbook https://github.com/DataExpert-io/data-engineer-handbook @@ -11,8 +49,8 @@ https://github.com/DataExpert-io/data-engineer-handbook Makefile #427819 - 20,993 - 3,202 + 21,096 + 3,224 6,198 @@ -42,6 +80,44 @@ + + twentyhq/twenty + https://github.com/twentyhq/twenty + Building a modern alternative to Salesforce, powered by the community. + https://github.com/twentyhq/twenty + TypeScript + #3178c6 + 23,464 + 2,428 + 3,095 + + + https://avatars.githubusercontent.com/u/12035771?s=40&v=4 + charlesBochet + https://github.com/charlesBochet + + + https://avatars.githubusercontent.com/u/26528466?s=40&v=4 + lucasbordeau + https://github.com/lucasbordeau + + + https://avatars.githubusercontent.com/u/1834158?s=40&v=4 + Weiko + https://github.com/Weiko + + + https://avatars.githubusercontent.com/u/6399865?s=40&v=4 + FelixMalfait + https://github.com/FelixMalfait + + + https://avatars.githubusercontent.com/u/71827178?s=40&v=4 + bosiraphael + https://github.com/bosiraphael + + + freqtrade/freqtrade https://github.com/freqtrade/freqtrade @@ -49,8 +125,8 @@ https://github.com/freqtrade/freqtrade Python #3572A5 - 31,210 - 6,362 + 31,237 + 6,367 2,232 @@ -76,96 +152,78 @@ - twentyhq/twenty - https://github.com/twentyhq/twenty - Building a modern alternative to Salesforce, powered by the community. - https://github.com/twentyhq/twenty - TypeScript - #3178c6 - 23,431 - 2,426 - 3,095 + Melkeydev/go-blueprint + https://github.com/Melkeydev/go-blueprint + Go-blueprint allows users to spin up a quick Go project using a popular framework + https://github.com/Melkeydev/go-blueprint + Go + #00ADD8 + 5,456 + 316 + 810 - https://avatars.githubusercontent.com/u/12035771?s=40&v=4 - charlesBochet - https://github.com/charlesBochet + https://avatars.githubusercontent.com/u/53410236?s=40&v=4 + Melkeydev + https://github.com/Melkeydev - https://avatars.githubusercontent.com/u/26528466?s=40&v=4 - lucasbordeau - https://github.com/lucasbordeau + https://avatars.githubusercontent.com/u/116409846?s=40&v=4 + Ujstor + https://github.com/Ujstor - https://avatars.githubusercontent.com/u/1834158?s=40&v=4 - Weiko - https://github.com/Weiko + https://avatars.githubusercontent.com/u/84874612?s=40&v=4 + tylermeekel + https://github.com/tylermeekel - https://avatars.githubusercontent.com/u/6399865?s=40&v=4 - FelixMalfait - https://github.com/FelixMalfait + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user - https://avatars.githubusercontent.com/u/71827178?s=40&v=4 - bosiraphael - https://github.com/bosiraphael + https://avatars.githubusercontent.com/u/45570310?s=40&v=4 + MitchellBerend + https://github.com/MitchellBerend - ai16z/eliza - https://github.com/ai16z/eliza - Conversational Agent for Twitter and Discord - https://github.com/ai16z/eliza - TypeScript - #3178c6 - 2,107 - 567 - 917 + black-forest-labs/flux + https://github.com/black-forest-labs/flux + Official inference repo for FLUX.1 models + https://github.com/black-forest-labs/flux + Python + #3572A5 + 17,485 + 1,237 + 899 - https://avatars.githubusercontent.com/u/18633264?s=40&v=4 - lalalune - https://github.com/lalalune - - - https://avatars.githubusercontent.com/u/90423308?s=40&v=4 - ponderingdemocritus - https://github.com/ponderingdemocritus + https://avatars.githubusercontent.com/u/37085732?s=40&v=4 + timudk + https://github.com/timudk - https://avatars.githubusercontent.com/u/28543?s=40&v=4 - sirkitree - https://github.com/sirkitree + https://avatars.githubusercontent.com/u/22528125?s=40&v=4 + jenuk + https://github.com/jenuk - https://avatars.githubusercontent.com/u/70625671?s=40&v=4 - MarcoMandar - https://github.com/MarcoMandar + https://avatars.githubusercontent.com/u/788417?s=40&v=4 + apolinario + https://github.com/apolinario - - - - microsoft/TinyTroupe - https://github.com/microsoft/TinyTroupe - LLM-powered multiagent persona simulation for imagination enhancement and business insights. - https://github.com/microsoft/TinyTroupe - Python - #3572A5 - 4,357 - 304 - 984 - - https://avatars.githubusercontent.com/u/1709404?s=40&v=4 - paulosalem - https://github.com/paulosalem + https://avatars.githubusercontent.com/u/2289?s=40&v=4 + zeke + https://github.com/zeke - https://avatars.githubusercontent.com/u/22527892?s=40&v=4 - microsoftopensource - https://github.com/microsoftopensource + https://avatars.githubusercontent.com/u/1416801?s=40&v=4 + thibautRe + https://github.com/thibautRe @@ -176,8 +234,8 @@ https://github.com/payloadcms/payload TypeScript #3178c6 - 28,105 - 1,741 + 28,144 + 1,743 2,422 @@ -208,144 +266,35 @@ - Bin-Huang/chatbox - https://github.com/Bin-Huang/chatbox - User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...) - https://github.com/Bin-Huang/chatbox + ai16z/eliza + https://github.com/ai16z/eliza + Conversational Agent for Twitter and Discord + https://github.com/ai16z/eliza TypeScript #3178c6 - 22,939 - 2,287 - 1,009 - - - https://avatars.githubusercontent.com/u/20723142?s=40&v=4 - Bin-Huang - https://github.com/Bin-Huang - - - https://avatars.githubusercontent.com/u/19162201?s=40&v=4 - hiNISAL - https://github.com/hiNISAL - - - https://avatars.githubusercontent.com/u/1571956?s=40&v=4 - joegoldin - https://github.com/joegoldin - - - https://avatars.githubusercontent.com/u/5771087?s=40&v=4 - paddingme - https://github.com/paddingme - - - https://avatars.githubusercontent.com/u/6491222?s=40&v=4 - liuzesen - https://github.com/liuzesen - - - - - krahets/hello-algo - https://github.com/krahets/hello-algo - 《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing - https://github.com/krahets/hello-algo - Java - #b07219 - 100,063 - 12,583 - 1,366 - - - https://avatars.githubusercontent.com/u/26993056?s=40&v=4 - krahets - https://github.com/krahets - - - https://avatars.githubusercontent.com/u/24556310?s=40&v=4 - justin-tse - https://github.com/justin-tse - - - https://avatars.githubusercontent.com/u/99076655?s=40&v=4 - coderonion - https://github.com/coderonion - - - https://avatars.githubusercontent.com/u/3739017?s=40&v=4 - nuomi1 - https://github.com/nuomi1 - - - https://avatars.githubusercontent.com/u/39959756?s=40&v=4 - Gonglja - https://github.com/Gonglja - - - - - blacklanternsecurity/bbot - https://github.com/blacklanternsecurity/bbot - A recursive internet scanner for hackers. 🧡 - https://github.com/blacklanternsecurity/bbot - Python - #3572A5 - 6,920 - 523 - 1,270 - - - https://avatars.githubusercontent.com/u/20261699?s=40&v=4 - TheTechromancer - https://github.com/TheTechromancer - - - https://avatars.githubusercontent.com/u/24899338?s=40&v=4 - liquidsec - https://github.com/liquidsec - - - https://avatars.githubusercontent.com/u/122788350?s=40&v=4 - domwhewell-sage - https://github.com/domwhewell-sage - - - - - black-forest-labs/flux - https://github.com/black-forest-labs/flux - Official inference repo for FLUX.1 models - https://github.com/black-forest-labs/flux - Python - #3572A5 - 17,302 - 1,228 - 899 + 2,124 + 575 + 917 - https://avatars.githubusercontent.com/u/37085732?s=40&v=4 - timudk - https://github.com/timudk - - - https://avatars.githubusercontent.com/u/22528125?s=40&v=4 - jenuk - https://github.com/jenuk + https://avatars.githubusercontent.com/u/18633264?s=40&v=4 + lalalune + https://github.com/lalalune - https://avatars.githubusercontent.com/u/788417?s=40&v=4 - apolinario - https://github.com/apolinario + https://avatars.githubusercontent.com/u/90423308?s=40&v=4 + ponderingdemocritus + https://github.com/ponderingdemocritus - https://avatars.githubusercontent.com/u/2289?s=40&v=4 - zeke - https://github.com/zeke + https://avatars.githubusercontent.com/u/28543?s=40&v=4 + sirkitree + https://github.com/sirkitree - https://avatars.githubusercontent.com/u/1416801?s=40&v=4 - thibautRe - https://github.com/thibautRe + https://avatars.githubusercontent.com/u/70625671?s=40&v=4 + MarcoMandar + https://github.com/MarcoMandar @@ -356,8 +305,8 @@ https://github.com/bluesky-social/social-app TypeScript #3178c6 - 12,432 - 1,588 + 12,524 + 1,600 998 @@ -388,149 +337,101 @@ - xtekky/gpt4free - https://github.com/xtekky/gpt4free - The official gpt4free repository | various collection of powerful language models - https://github.com/xtekky/gpt4free + blacklanternsecurity/bbot + https://github.com/blacklanternsecurity/bbot + A recursive internet scanner for hackers. 🧡 + https://github.com/blacklanternsecurity/bbot Python #3572A5 - 62,195 - 13,382 - 1,038 + 6,944 + 525 + 1,270 - https://avatars.githubusercontent.com/u/98614666?s=40&v=4 - xtekky - https://github.com/xtekky - - - https://avatars.githubusercontent.com/u/983577?s=40&v=4 - hlohaus - https://github.com/hlohaus - - - https://avatars.githubusercontent.com/u/166700875?s=40&v=4 - kqlio67 - https://github.com/kqlio67 + https://avatars.githubusercontent.com/u/20261699?s=40&v=4 + TheTechromancer + https://github.com/TheTechromancer - https://avatars.githubusercontent.com/u/22415463?s=40&v=4 - sudouser777 - https://github.com/sudouser777 + https://avatars.githubusercontent.com/u/24899338?s=40&v=4 + liquidsec + https://github.com/liquidsec - https://avatars.githubusercontent.com/u/36830534?s=40&v=4 - bagusindrayana - https://github.com/bagusindrayana + https://avatars.githubusercontent.com/u/122788350?s=40&v=4 + domwhewell-sage + https://github.com/domwhewell-sage - dagster-io/dagster - https://github.com/dagster-io/dagster - An orchestration platform for the development, production, and observation of data assets. - https://github.com/dagster-io/dagster + NVIDIA/garak + https://github.com/NVIDIA/garak + the LLM vulnerability scanner + https://github.com/NVIDIA/garak Python #3572A5 - 11,893 - 1,489 - 161 + 2,775 + 239 + 1,207 - https://avatars.githubusercontent.com/u/28738937?s=40&v=4 - schrockn - https://github.com/schrockn + https://avatars.githubusercontent.com/u/121934?s=40&v=4 + leondz + https://github.com/leondz - https://avatars.githubusercontent.com/u/8451211?s=40&v=4 - gibsondan - https://github.com/gibsondan + https://avatars.githubusercontent.com/u/7873740?s=40&v=4 + jmartin-tech + https://github.com/jmartin-tech - https://avatars.githubusercontent.com/u/202219?s=40&v=4 - alangenfeld - https://github.com/alangenfeld + https://avatars.githubusercontent.com/u/43585941?s=40&v=4 + erickgalinkin + https://github.com/erickgalinkin - https://avatars.githubusercontent.com/u/654855?s=40&v=4 - sryza - https://github.com/sryza - - - https://avatars.githubusercontent.com/u/2823852?s=40&v=4 - hellendag - https://github.com/hellendag + https://avatars.githubusercontent.com/u/45014214?s=40&v=4 + arjun-krishna1 + https://github.com/arjun-krishna1 - khoj-ai/khoj - https://github.com/khoj-ai/khoj - Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral). - https://github.com/khoj-ai/khoj - Python - #3572A5 - 16,098 - 794 - 865 + krahets/hello-algo + https://github.com/krahets/hello-algo + 《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing + https://github.com/krahets/hello-algo + Java + #b07219 + 100,154 + 12,589 + 1,366 - https://avatars.githubusercontent.com/u/6413477?s=40&v=4 - debanjum - https://github.com/debanjum - - - https://avatars.githubusercontent.com/u/65192171?s=40&v=4 - sabaimran - https://github.com/sabaimran - - - https://avatars.githubusercontent.com/u/62105787?s=40&v=4 - MythicalCow - https://github.com/MythicalCow - - - https://avatars.githubusercontent.com/u/486336?s=40&v=4 - aam-at - https://github.com/aam-at - - - https://avatars.githubusercontent.com/u/108987556?s=40&v=4 - shantanuSakpal - https://github.com/shantanuSakpal + https://avatars.githubusercontent.com/u/26993056?s=40&v=4 + krahets + https://github.com/krahets - - - - mediar-ai/screenpipe - https://github.com/mediar-ai/screenpipe - rewind.ai x cursor.com = your AI assistant that has all the context. 24/7 screen & voice recording for the age of super intelligence. get your data ready or be left behind - https://github.com/mediar-ai/screenpipe - Rust - #dea584 - 10,088 - 599 - 1,096 - - https://avatars.githubusercontent.com/u/25003283?s=40&v=4 - louis030195 - https://github.com/louis030195 + https://avatars.githubusercontent.com/u/24556310?s=40&v=4 + justin-tse + https://github.com/justin-tse - https://avatars.githubusercontent.com/u/104702220?s=40&v=4 - m13v - https://github.com/m13v + https://avatars.githubusercontent.com/u/99076655?s=40&v=4 + coderonion + https://github.com/coderonion - https://avatars.githubusercontent.com/u/62727185?s=40&v=4 - Neptune650 - https://github.com/Neptune650 + https://avatars.githubusercontent.com/u/3739017?s=40&v=4 + nuomi1 + https://github.com/nuomi1 - https://avatars.githubusercontent.com/u/50280365?s=40&v=4 - EzraEllette - https://github.com/EzraEllette + https://avatars.githubusercontent.com/u/39959756?s=40&v=4 + Gonglja + https://github.com/Gonglja @@ -541,8 +442,8 @@ https://github.com/bluesky-social/atproto TypeScript #3178c6 - 7,068 - 505 + 7,121 + 509 305 @@ -568,30 +469,63 @@ - excalidraw/excalidraw - https://github.com/excalidraw/excalidraw - Virtual whiteboard for sketching hand-drawn like diagrams - https://github.com/excalidraw/excalidraw + microsoft/TinyTroupe + https://github.com/microsoft/TinyTroupe + LLM-powered multiagent persona simulation for imagination enhancement and business insights. + https://github.com/microsoft/TinyTroupe + Python + #3572A5 + 4,389 + 308 + 984 + + + https://avatars.githubusercontent.com/u/1709404?s=40&v=4 + paulosalem + https://github.com/paulosalem + + + https://avatars.githubusercontent.com/u/22527892?s=40&v=4 + microsoftopensource + https://github.com/microsoftopensource + + + + + Bin-Huang/chatbox + https://github.com/Bin-Huang/chatbox + User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...) + https://github.com/Bin-Huang/chatbox TypeScript #3178c6 - 86,177 - 8,162 - 1,161 + 22,958 + 2,287 + 1,009 - https://avatars.githubusercontent.com/u/5153846?s=40&v=4 - dwelle - https://github.com/dwelle + https://avatars.githubusercontent.com/u/20723142?s=40&v=4 + Bin-Huang + https://github.com/Bin-Huang + + + https://avatars.githubusercontent.com/u/19162201?s=40&v=4 + hiNISAL + https://github.com/hiNISAL + + + https://avatars.githubusercontent.com/u/1571956?s=40&v=4 + joegoldin + https://github.com/joegoldin - https://avatars.githubusercontent.com/u/11256141?s=40&v=4 - ad1992 - https://github.com/ad1992 + https://avatars.githubusercontent.com/u/5771087?s=40&v=4 + paddingme + https://github.com/paddingme - https://avatars.githubusercontent.com/u/125676?s=40&v=4 - lipis - https://github.com/lipis + https://avatars.githubusercontent.com/u/6491222?s=40&v=4 + liuzesen + https://github.com/liuzesen @@ -602,8 +536,8 @@ https://github.com/gitroomhq/postiz-app TypeScript #3178c6 - 11,333 - 2,320 + 11,426 + 2,325 1,157 @@ -634,40 +568,68 @@ - langflow-ai/langflow - https://github.com/langflow-ai/langflow - Langflow is a low-code app builder for RAG and multi-agent AI applications. It’s Python-based and agnostic to any model, API, or database. - https://github.com/langflow-ai/langflow - JavaScript - #f1e05a - 35,454 - 4,223 - 1,063 + tinygrad/tinygrad + https://github.com/tinygrad/tinygrad + You like pytorch? You like micrograd? You love tinygrad! ❤️ + https://github.com/tinygrad/tinygrad + Python + #3572A5 + 26,988 + 3,001 + 119 - https://avatars.githubusercontent.com/u/24829397?s=40&v=4 - ogabrielluiz - https://github.com/ogabrielluiz + https://avatars.githubusercontent.com/u/72895?s=40&v=4 + geohot + https://github.com/geohot + + + https://avatars.githubusercontent.com/u/2525478?s=40&v=4 + chenyuxyz + https://github.com/chenyuxyz + + + https://avatars.githubusercontent.com/u/77887910?s=40&v=4 + Qazalin + https://github.com/Qazalin - https://avatars.githubusercontent.com/u/70824914?s=40&v=4 - anovazzi1 - https://github.com/anovazzi1 + https://avatars.githubusercontent.com/u/138685161?s=40&v=4 + nimlgen + https://github.com/nimlgen + + + + + lvgl/lvgl + https://github.com/lvgl/lvgl + Embedded graphics library to create beautiful UIs for any MCU, MPU and display type. + https://github.com/lvgl/lvgl + C + #555555 + 17,434 + 3,289 + 539 + + + https://avatars.githubusercontent.com/u/7599318?s=40&v=4 + kisvegabor + https://github.com/kisvegabor - https://avatars.githubusercontent.com/u/62335616?s=40&v=4 - lucaseduoli - https://github.com/lucaseduoli + https://avatars.githubusercontent.com/u/42941056?s=40&v=4 + embeddedt + https://github.com/embeddedt - https://avatars.githubusercontent.com/u/72977554?s=40&v=4 - Cristhianzl - https://github.com/Cristhianzl + https://avatars.githubusercontent.com/u/26767803?s=40&v=4 + FASTSHIFT + https://github.com/FASTSHIFT - https://avatars.githubusercontent.com/u/64559670?s=40&v=4 - igorrCarvalho - https://github.com/igorrCarvalho + https://avatars.githubusercontent.com/u/25867991?s=40&v=4 + XuNeo + https://github.com/XuNeo diff --git a/data/weekly/alloy.json b/data/weekly/alloy.json index a1e93538ad63..3653761c0984 100644 --- a/data/weekly/alloy.json +++ b/data/weekly/alloy.json @@ -2,6 +2,6 @@ "title": "GitHub Alloy Languages Weekly Trending", "description": "Weekly Trending of Alloy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/alloy.xml b/data/weekly/alloy.xml index 4bf42ef172c8..49cc6f53fafe 100644 --- a/data/weekly/alloy.xml +++ b/data/weekly/alloy.xml @@ -3,6 +3,6 @@ GitHub Alloy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Alloy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/alpine-abuild.json b/data/weekly/alpine-abuild.json index 6c245532bdf3..cf29117b1c0c 100644 --- a/data/weekly/alpine-abuild.json +++ b/data/weekly/alpine-abuild.json @@ -2,6 +2,6 @@ "title": "GitHub Alpine-abuild Languages Weekly Trending", "description": "Weekly Trending of Alpine-abuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/alpine-abuild.xml b/data/weekly/alpine-abuild.xml index a02fb246eeb6..ba28610f701b 100644 --- a/data/weekly/alpine-abuild.xml +++ b/data/weekly/alpine-abuild.xml @@ -3,6 +3,6 @@ GitHub Alpine-abuild Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Alpine-abuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/altium-designer.json b/data/weekly/altium-designer.json index 0cdb1b880702..937f5e3415dd 100644 --- a/data/weekly/altium-designer.json +++ b/data/weekly/altium-designer.json @@ -2,6 +2,6 @@ "title": "GitHub Altium-designer Languages Weekly Trending", "description": "Weekly Trending of Altium-designer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/altium-designer.xml b/data/weekly/altium-designer.xml index bca496ff5bd7..71017f6adff5 100644 --- a/data/weekly/altium-designer.xml +++ b/data/weekly/altium-designer.xml @@ -3,6 +3,6 @@ GitHub Altium-designer Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Altium-designer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ampl.json b/data/weekly/ampl.json index de5872e3d84a..fd14fbad7171 100644 --- a/data/weekly/ampl.json +++ b/data/weekly/ampl.json @@ -2,6 +2,6 @@ "title": "GitHub Ampl Languages Weekly Trending", "description": "Weekly Trending of Ampl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ampl.xml b/data/weekly/ampl.xml index 3327b434e122..c76659dc9e92 100644 --- a/data/weekly/ampl.xml +++ b/data/weekly/ampl.xml @@ -3,6 +3,6 @@ GitHub Ampl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ampl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/angelscript.json b/data/weekly/angelscript.json index 3b5cef839b20..7c43447ea5a4 100644 --- a/data/weekly/angelscript.json +++ b/data/weekly/angelscript.json @@ -2,7 +2,7 @@ "title": "GitHub Angelscript Languages Weekly Trending", "description": "Weekly Trending of Angelscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "chen310/BilibiliPotPlayer", @@ -10,7 +10,7 @@ "description": "PotPlayer 哔哩哔哩插件:可以直接在 PotPlayer 中播放哔哩哔哩中的视频、直播和音乐", "language": "AngelScript", "languageColor": "#C7D7DC", - "stars": "1,444", + "stars": "1,449", "forks": "63", "addStars": "26", "contributors": [ diff --git a/data/weekly/angelscript.xml b/data/weekly/angelscript.xml index ff241e9a7d51..417eaf24c433 100644 --- a/data/weekly/angelscript.xml +++ b/data/weekly/angelscript.xml @@ -3,7 +3,7 @@ GitHub Angelscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Angelscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT chen310/BilibiliPotPlayer https://github.com/chen310/BilibiliPotPlayer @@ -11,7 +11,7 @@ https://github.com/chen310/BilibiliPotPlayer AngelScript #C7D7DC - 1,444 + 1,449 63 26 diff --git a/data/weekly/ant-build-system.json b/data/weekly/ant-build-system.json index 15d7a7c97be7..4eb0d37f04aa 100644 --- a/data/weekly/ant-build-system.json +++ b/data/weekly/ant-build-system.json @@ -2,6 +2,6 @@ "title": "GitHub Ant-build-system Languages Weekly Trending", "description": "Weekly Trending of Ant-build-system Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ant-build-system.xml b/data/weekly/ant-build-system.xml index 008978cd3e6d..59159e84f0c2 100644 --- a/data/weekly/ant-build-system.xml +++ b/data/weekly/ant-build-system.xml @@ -3,6 +3,6 @@ GitHub Ant-build-system Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ant-build-system Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/antlers.json b/data/weekly/antlers.json index f8a781880031..680d532c38e0 100644 --- a/data/weekly/antlers.json +++ b/data/weekly/antlers.json @@ -2,6 +2,6 @@ "title": "GitHub Antlers Languages Weekly Trending", "description": "Weekly Trending of Antlers Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/antlers.xml b/data/weekly/antlers.xml index 35f453d15d09..8932f9cea1a6 100644 --- a/data/weekly/antlers.xml +++ b/data/weekly/antlers.xml @@ -3,6 +3,6 @@ GitHub Antlers Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Antlers Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/antlr.json b/data/weekly/antlr.json index b145ec044cb9..3fcc0b6df313 100644 --- a/data/weekly/antlr.json +++ b/data/weekly/antlr.json @@ -2,7 +2,7 @@ "title": "GitHub Antlr Languages Weekly Trending", "description": "Weekly Trending of Antlr Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "antlr/grammars-v4", @@ -10,7 +10,7 @@ "description": "Grammars written for ANTLR v4; expectation that the grammars are free of actions.", "language": "ANTLR", "languageColor": "#9DC3FF", - "stars": "10,241", + "stars": "10,243", "forks": "3,714", "addStars": "21", "contributors": [ diff --git a/data/weekly/antlr.xml b/data/weekly/antlr.xml index 0f58d2ed25df..511401635c8a 100644 --- a/data/weekly/antlr.xml +++ b/data/weekly/antlr.xml @@ -3,7 +3,7 @@ GitHub Antlr Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Antlr Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT antlr/grammars-v4 https://github.com/antlr/grammars-v4 @@ -11,7 +11,7 @@ https://github.com/antlr/grammars-v4 ANTLR #9DC3FF - 10,241 + 10,243 3,714 21 diff --git a/data/weekly/apacheconf.json b/data/weekly/apacheconf.json index 72d97f321476..8b3966174eb9 100644 --- a/data/weekly/apacheconf.json +++ b/data/weekly/apacheconf.json @@ -2,7 +2,7 @@ "title": "GitHub Apacheconf Languages Weekly Trending", "description": "Weekly Trending of Apacheconf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "scm-ninja/starter-web", diff --git a/data/weekly/apacheconf.xml b/data/weekly/apacheconf.xml index 9fa2bc039c4e..fbd21fce248b 100644 --- a/data/weekly/apacheconf.xml +++ b/data/weekly/apacheconf.xml @@ -3,7 +3,7 @@ GitHub Apacheconf Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Apacheconf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT scm-ninja/starter-web https://github.com/scm-ninja/starter-web diff --git a/data/weekly/apex.json b/data/weekly/apex.json index 978505746974..1dd561d41bb4 100644 --- a/data/weekly/apex.json +++ b/data/weekly/apex.json @@ -2,7 +2,7 @@ "title": "GitHub Apex Languages Weekly Trending", "description": "Weekly Trending of Apex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "jongpie/NebulaLogger", @@ -10,7 +10,7 @@ "description": "The most robust observability solution for Salesforce experts. Built 100% natively on the platform, and designed to work seamlessly with Apex, Lightning Components, Flow, Process Builder & integrations.", "language": "Apex", "languageColor": "#1797c0", - "stars": "708", + "stars": "709", "forks": "165", "addStars": "1", "contributors": [ diff --git a/data/weekly/apex.xml b/data/weekly/apex.xml index 0f1af994929e..1e4e9013ebbb 100644 --- a/data/weekly/apex.xml +++ b/data/weekly/apex.xml @@ -3,7 +3,7 @@ GitHub Apex Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Apex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT jongpie/NebulaLogger https://github.com/jongpie/NebulaLogger @@ -11,7 +11,7 @@ https://github.com/jongpie/NebulaLogger Apex #1797c0 - 708 + 709 165 1 diff --git a/data/weekly/api-blueprint.json b/data/weekly/api-blueprint.json index 2256c2602229..93a3d6e09bea 100644 --- a/data/weekly/api-blueprint.json +++ b/data/weekly/api-blueprint.json @@ -2,6 +2,6 @@ "title": "GitHub Api-blueprint Languages Weekly Trending", "description": "Weekly Trending of Api-blueprint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/api-blueprint.xml b/data/weekly/api-blueprint.xml index 77f2239cd15e..34de42d22307 100644 --- a/data/weekly/api-blueprint.xml +++ b/data/weekly/api-blueprint.xml @@ -3,6 +3,6 @@ GitHub Api-blueprint Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Api-blueprint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/apl.json b/data/weekly/apl.json index 7d28c3437986..320350b8a9a8 100644 --- a/data/weekly/apl.json +++ b/data/weekly/apl.json @@ -2,6 +2,6 @@ "title": "GitHub Apl Languages Weekly Trending", "description": "Weekly Trending of Apl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/apl.xml b/data/weekly/apl.xml index 03a4f070e92f..582f92da4d62 100644 --- a/data/weekly/apl.xml +++ b/data/weekly/apl.xml @@ -3,6 +3,6 @@ GitHub Apl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Apl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/apollo-guidance-computer.json b/data/weekly/apollo-guidance-computer.json index 563e3468ff03..cbcae53dbd28 100644 --- a/data/weekly/apollo-guidance-computer.json +++ b/data/weekly/apollo-guidance-computer.json @@ -2,6 +2,6 @@ "title": "GitHub Apollo-guidance-computer Languages Weekly Trending", "description": "Weekly Trending of Apollo-guidance-computer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/apollo-guidance-computer.xml b/data/weekly/apollo-guidance-computer.xml index 75b329beaa56..987bcb1203f3 100644 --- a/data/weekly/apollo-guidance-computer.xml +++ b/data/weekly/apollo-guidance-computer.xml @@ -3,6 +3,6 @@ GitHub Apollo-guidance-computer Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Apollo-guidance-computer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/applescript.json b/data/weekly/applescript.json index 7bb93ebff61b..039b6611893f 100644 --- a/data/weekly/applescript.json +++ b/data/weekly/applescript.json @@ -2,6 +2,6 @@ "title": "GitHub Applescript Languages Weekly Trending", "description": "Weekly Trending of Applescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/applescript.xml b/data/weekly/applescript.xml index fbb8fbca50f8..4e60ec2f0ba1 100644 --- a/data/weekly/applescript.xml +++ b/data/weekly/applescript.xml @@ -3,6 +3,6 @@ GitHub Applescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Applescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/arc.json b/data/weekly/arc.json index 0f6e807dc325..2dfdd7248c73 100644 --- a/data/weekly/arc.json +++ b/data/weekly/arc.json @@ -2,6 +2,6 @@ "title": "GitHub Arc Languages Weekly Trending", "description": "Weekly Trending of Arc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/arc.xml b/data/weekly/arc.xml index 737936042179..59734ba5c47c 100644 --- a/data/weekly/arc.xml +++ b/data/weekly/arc.xml @@ -3,6 +3,6 @@ GitHub Arc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Arc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/asciidoc.json b/data/weekly/asciidoc.json index 896709480d92..15ccadc048c0 100644 --- a/data/weekly/asciidoc.json +++ b/data/weekly/asciidoc.json @@ -2,6 +2,6 @@ "title": "GitHub Asciidoc Languages Weekly Trending", "description": "Weekly Trending of Asciidoc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/asciidoc.xml b/data/weekly/asciidoc.xml index c9e41f46c922..c932b5933a3b 100644 --- a/data/weekly/asciidoc.xml +++ b/data/weekly/asciidoc.xml @@ -3,6 +3,6 @@ GitHub Asciidoc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Asciidoc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/asl.json b/data/weekly/asl.json index 1039d9d3181b..a6e9164fc7bc 100644 --- a/data/weekly/asl.json +++ b/data/weekly/asl.json @@ -2,6 +2,44 @@ "title": "GitHub Asl Languages Weekly Trending", "description": "Weekly Trending of Asl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "acpica/acpica", + "url": "https://github.com/acpica/acpica", + "description": "The ACPI Component Architecture (ACPICA) project provides an open-source operating system-independent implementation of the Advanced Configuration and Power Interface specification (ACPI). For detailed project information and downloads, go to https://www.acpica.org. For ACPICA contributor and source code licensing information, go to", + "language": "ASL", + "languageColor": "#ccc", + "stars": "493", + "forks": "364", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/966572?s=40&v=4", + "name": "acpibob", + "url": "https://github.com/acpibob" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11355936?s=40&v=4", + "name": "SchmErik", + "url": "https://github.com/SchmErik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3615765?s=40&v=4", + "name": "zetalog", + "url": "https://github.com/zetalog" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/97769119?s=40&v=4", + "name": "SaketADumbre", + "url": "https://github.com/SaketADumbre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9316527?s=40&v=4", + "name": "ColinIanKing", + "url": "https://github.com/ColinIanKing" + } + ] + } + ] } \ No newline at end of file diff --git a/data/weekly/asl.xml b/data/weekly/asl.xml index fb3064e4bb10..4b5285957819 100644 --- a/data/weekly/asl.xml +++ b/data/weekly/asl.xml @@ -3,6 +3,44 @@ GitHub Asl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Asl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + acpica/acpica + https://github.com/acpica/acpica + The ACPI Component Architecture (ACPICA) project provides an open-source operating system-independent implementation of the Advanced Configuration and Power Interface specification (ACPI). For detailed project information and downloads, go to https://www.acpica.org. For ACPICA contributor and source code licensing information, go to + https://github.com/acpica/acpica + ASL + #ccc + 493 + 364 + 0 + + + https://avatars.githubusercontent.com/u/966572?s=40&v=4 + acpibob + https://github.com/acpibob + + + https://avatars.githubusercontent.com/u/11355936?s=40&v=4 + SchmErik + https://github.com/SchmErik + + + https://avatars.githubusercontent.com/u/3615765?s=40&v=4 + zetalog + https://github.com/zetalog + + + https://avatars.githubusercontent.com/u/97769119?s=40&v=4 + SaketADumbre + https://github.com/SaketADumbre + + + https://avatars.githubusercontent.com/u/9316527?s=40&v=4 + ColinIanKing + https://github.com/ColinIanKing + + + \ No newline at end of file diff --git a/data/weekly/asn.1.json b/data/weekly/asn.1.json index 11f30b582e26..6565da9a28f1 100644 --- a/data/weekly/asn.1.json +++ b/data/weekly/asn.1.json @@ -2,6 +2,6 @@ "title": "GitHub Asn.1 Languages Weekly Trending", "description": "Weekly Trending of Asn.1 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/asn.1.xml b/data/weekly/asn.1.xml index 439457cc84ae..ef8c96484fda 100644 --- a/data/weekly/asn.1.xml +++ b/data/weekly/asn.1.xml @@ -3,6 +3,6 @@ GitHub Asn.1 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Asn.1 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/asp.net.json b/data/weekly/asp.net.json index 89f2548fc176..2350f0892a05 100644 --- a/data/weekly/asp.net.json +++ b/data/weekly/asp.net.json @@ -2,6 +2,6 @@ "title": "GitHub Asp.net Languages Weekly Trending", "description": "Weekly Trending of Asp.net Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/asp.net.xml b/data/weekly/asp.net.xml index 10e9cab84a16..0f6d2a364721 100644 --- a/data/weekly/asp.net.xml +++ b/data/weekly/asp.net.xml @@ -3,6 +3,6 @@ GitHub Asp.net Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Asp.net Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/aspectj.json b/data/weekly/aspectj.json index 0c0d0c247c89..40d604ec7b50 100644 --- a/data/weekly/aspectj.json +++ b/data/weekly/aspectj.json @@ -2,6 +2,6 @@ "title": "GitHub Aspectj Languages Weekly Trending", "description": "Weekly Trending of Aspectj Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/aspectj.xml b/data/weekly/aspectj.xml index 2c24c4732802..b305c21cb34e 100644 --- a/data/weekly/aspectj.xml +++ b/data/weekly/aspectj.xml @@ -3,6 +3,6 @@ GitHub Aspectj Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Aspectj Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/assembly.json b/data/weekly/assembly.json index 7d7e306183f6..e0c8bd8b01c1 100644 --- a/data/weekly/assembly.json +++ b/data/weekly/assembly.json @@ -2,42 +2,42 @@ "title": "GitHub Assembly Languages Weekly Trending", "description": "Weekly Trending of Assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "bytedance/sonic", - "url": "https://github.com/bytedance/sonic", - "description": "A blazingly fast JSON serializing & deserializing library", + "title": "chrislgarry/Apollo-11", + "url": "https://github.com/chrislgarry/Apollo-11", + "description": "Original Apollo 11 Guidance Computer (AGC) source code for the command and lunar modules.", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "6,969", - "forks": "341", - "addStars": "26", + "stars": "59,612", + "forks": "7,041", + "addStars": "208", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/22593627?s=40&v=4", - "name": "AsterDY", - "url": "https://github.com/AsterDY" + "avatar": "https://avatars.githubusercontent.com/u/3440094?s=40&v=4", + "name": "wopian", + "url": "https://github.com/wopian" }, { - "avatar": "https://avatars.githubusercontent.com/u/20337317?s=40&v=4", - "name": "liuq19", - "url": "https://github.com/liuq19" + "avatar": "https://avatars.githubusercontent.com/u/2200898?s=40&v=4", + "name": "chrislgarry", + "url": "https://github.com/chrislgarry" }, { - "avatar": "https://avatars.githubusercontent.com/u/8230799?s=40&v=4", - "name": "chenzhuoyu", - "url": "https://github.com/chenzhuoyu" + "avatar": "https://avatars.githubusercontent.com/u/4405796?s=40&v=4", + "name": "dwiyatci", + "url": "https://github.com/dwiyatci" }, { - "avatar": "https://avatars.githubusercontent.com/u/52619714?s=40&v=4", - "name": "cyn6315", - "url": "https://github.com/cyn6315" + "avatar": "https://avatars.githubusercontent.com/u/7218387?s=40&v=4", + "name": "proudindiv", + "url": "https://github.com/proudindiv" }, { - "avatar": "https://avatars.githubusercontent.com/u/3314335?s=40&v=4", - "name": "PureWhiteWu", - "url": "https://github.com/PureWhiteWu" + "avatar": "https://avatars.githubusercontent.com/u/5247009?s=40&v=4", + "name": "oldmud0", + "url": "https://github.com/oldmud0" } ] }, @@ -47,7 +47,7 @@ "description": "Hello world in every computer language. Thanks to everyone who contributes to this, make sure to see contributing.md for contribution instructions!", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "11,017", + "stars": "11,018", "forks": "1,927", "addStars": "19", "contributors": [ @@ -116,76 +116,39 @@ ] }, { - "title": "chrislgarry/Apollo-11", - "url": "https://github.com/chrislgarry/Apollo-11", - "description": "Original Apollo 11 Guidance Computer (AGC) source code for the command and lunar modules.", - "language": "Assembly", - "languageColor": "#6E4C13", - "stars": "59,608", - "forks": "7,041", - "addStars": "208", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3440094?s=40&v=4", - "name": "wopian", - "url": "https://github.com/wopian" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2200898?s=40&v=4", - "name": "chrislgarry", - "url": "https://github.com/chrislgarry" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4405796?s=40&v=4", - "name": "dwiyatci", - "url": "https://github.com/dwiyatci" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7218387?s=40&v=4", - "name": "proudindiv", - "url": "https://github.com/proudindiv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5247009?s=40&v=4", - "name": "oldmud0", - "url": "https://github.com/oldmud0" - } - ] - }, - { - "title": "ROCm/MIOpen", - "url": "https://github.com/ROCm/MIOpen", - "description": "AMD's Machine Intelligence Library", + "title": "bytedance/sonic", + "url": "https://github.com/bytedance/sonic", + "description": "A blazingly fast JSON serializing & deserializing library", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "1,082", - "forks": "230", - "addStars": "1", + "stars": "6,970", + "forks": "341", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1306044?s=40&v=4", - "name": "pfultz2", - "url": "https://github.com/pfultz2" + "avatar": "https://avatars.githubusercontent.com/u/22593627?s=40&v=4", + "name": "AsterDY", + "url": "https://github.com/AsterDY" }, { - "avatar": "https://avatars.githubusercontent.com/u/8866610?s=40&v=4", - "name": "dagamayank", - "url": "https://github.com/dagamayank" + "avatar": "https://avatars.githubusercontent.com/u/20337317?s=40&v=4", + "name": "liuq19", + "url": "https://github.com/liuq19" }, { - "avatar": "https://avatars.githubusercontent.com/u/12801049?s=40&v=4", - "name": "daniellowell", - "url": "https://github.com/daniellowell" + "avatar": "https://avatars.githubusercontent.com/u/8230799?s=40&v=4", + "name": "chenzhuoyu", + "url": "https://github.com/chenzhuoyu" }, { - "avatar": "https://avatars.githubusercontent.com/u/12697412?s=40&v=4", - "name": "atamazov", - "url": "https://github.com/atamazov" + "avatar": "https://avatars.githubusercontent.com/u/52619714?s=40&v=4", + "name": "cyn6315", + "url": "https://github.com/cyn6315" }, { - "avatar": "https://avatars.githubusercontent.com/u/24684383?s=40&v=4", - "name": "ce1adon", - "url": "https://github.com/ce1adon" + "avatar": "https://avatars.githubusercontent.com/u/3314335?s=40&v=4", + "name": "PureWhiteWu", + "url": "https://github.com/PureWhiteWu" } ] }, @@ -195,7 +158,7 @@ "description": "the official Rust and C implementations of the BLAKE3 cryptographic hash function", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "5,223", + "stars": "5,224", "forks": "353", "addStars": "48", "contributors": [ @@ -264,39 +227,113 @@ ] }, { - "title": "kanaka/mal", - "url": "https://github.com/kanaka/mal", - "description": "mal - Make a Lisp", + "title": "riscv-non-isa/riscv-arch-test", + "url": "https://github.com/riscv-non-isa/riscv-arch-test", + "description": "", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "10,096", - "forks": "2,566", - "addStars": "12", + "stars": "517", + "forks": "204", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/70127?s=40&v=4", - "name": "kanaka", - "url": "https://github.com/kanaka" + "avatar": "https://avatars.githubusercontent.com/u/5221573?s=40&v=4", + "name": "neelgala", + "url": "https://github.com/neelgala" }, { - "avatar": "https://avatars.githubusercontent.com/u/631010?s=40&v=4", - "name": "bjh21", - "url": "https://github.com/bjh21" + "avatar": "https://avatars.githubusercontent.com/u/55623682?s=40&v=4", + "name": "UmerShahidengr", + "url": "https://github.com/UmerShahidengr" }, { - "avatar": "https://avatars.githubusercontent.com/u/14112787?s=40&v=4", - "name": "asarhaddon", - "url": "https://github.com/asarhaddon" + "avatar": "https://avatars.githubusercontent.com/u/30653405?s=40&v=4", + "name": "pawks", + "url": "https://github.com/pawks" }, { - "avatar": "https://avatars.githubusercontent.com/u/9211?s=40&v=4", - "name": "dubek", - "url": "https://github.com/dubek" + "avatar": "https://avatars.githubusercontent.com/u/31423142?s=40&v=4", + "name": "allenjbaum", + "url": "https://github.com/allenjbaum" }, { - "avatar": "https://avatars.githubusercontent.com/u/3588994?s=40&v=4", - "name": "wasamasa", - "url": "https://github.com/wasamasa" + "avatar": "https://avatars.githubusercontent.com/u/11179612?s=40&v=4", + "name": "eroom1966", + "url": "https://github.com/eroom1966" + } + ] + }, + { + "title": "pret/pokecrystal", + "url": "https://github.com/pret/pokecrystal", + "description": "Disassembly of Pokémon Crystal", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "2,107", + "forks": "810", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", + "name": "yenatch", + "url": "https://github.com/yenatch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", + "name": "Rangi42", + "url": "https://github.com/Rangi42" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", + "name": "kanzure", + "url": "https://github.com/kanzure" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1761576?s=40&v=4", + "name": "roukaour", + "url": "https://github.com/roukaour" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1895773?s=40&v=4", + "name": "PikalaxALT", + "url": "https://github.com/PikalaxALT" + } + ] + }, + { + "title": "cirosantilli/x86-bare-metal-examples", + "url": "https://github.com/cirosantilli/x86-bare-metal-examples", + "description": "Dozens of minimal operating systems to learn x86 system programming. Tested on Ubuntu 17.10 host in QEMU 2.10 and real hardware. Userland cheat at: https://github.com/cirosantilli/linux-kernel-module-cheat#userland-assembly ARM baremetal setup at: https://github.com/cirosantilli/linux-kernel-module-cheat#baremetal-setup 学习x86系统编程的数十个最小操作系统。 已在QE…", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "4,840", + "forks": "408", + "addStars": "67", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1429315?s=40&v=4", + "name": "cirosantilli", + "url": "https://github.com/cirosantilli" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11829057?s=40&v=4", + "name": "liujunming", + "url": "https://github.com/liujunming" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8661784?s=40&v=4", + "name": "mpetch", + "url": "https://github.com/mpetch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/762988?s=40&v=4", + "name": "davidbilge", + "url": "https://github.com/davidbilge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1664036?s=40&v=4", + "name": "antekone", + "url": "https://github.com/antekone" } ] }, @@ -307,7 +344,7 @@ "language": "Assembly", "languageColor": "#6E4C13", "stars": "2,467", - "forks": "301", + "forks": "302", "addStars": "18", "contributors": [ { @@ -337,6 +374,80 @@ } ] }, + { + "title": "hiteshchoudhary/open-source-contribution", + "url": "https://github.com/hiteshchoudhary/open-source-contribution", + "description": "A repo to contribute in open source via README only. A dream repo for open source beginner", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "368", + "forks": "694", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11613311?s=40&v=4", + "name": "hiteshchoudhary", + "url": "https://github.com/hiteshchoudhary" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/96916559?s=40&v=4", + "name": "devHksingh", + "url": "https://github.com/devHksingh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/110806514?s=40&v=4", + "name": "insshubh", + "url": "https://github.com/insshubh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67459148?s=40&v=4", + "name": "lav1shkumar", + "url": "https://github.com/lav1shkumar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/84187671?s=40&v=4", + "name": "You218", + "url": "https://github.com/You218" + } + ] + }, + { + "title": "ROCm/MIOpen", + "url": "https://github.com/ROCm/MIOpen", + "description": "AMD's Machine Intelligence Library", + "language": "Assembly", + "languageColor": "#6E4C13", + "stars": "1,082", + "forks": "230", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1306044?s=40&v=4", + "name": "pfultz2", + "url": "https://github.com/pfultz2" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8866610?s=40&v=4", + "name": "dagamayank", + "url": "https://github.com/dagamayank" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12801049?s=40&v=4", + "name": "daniellowell", + "url": "https://github.com/daniellowell" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12697412?s=40&v=4", + "name": "atamazov", + "url": "https://github.com/atamazov" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24684383?s=40&v=4", + "name": "ce1adon", + "url": "https://github.com/ce1adon" + } + ] + }, { "title": "ROCm/hipBLASLt", "url": "https://github.com/ROCm/hipBLASLt", @@ -375,19 +486,24 @@ ] }, { - "title": "pret/pokecrystal", - "url": "https://github.com/pret/pokecrystal", - "description": "Disassembly of Pokémon Crystal", + "title": "pret/pokered", + "url": "https://github.com/pret/pokered", + "description": "Disassembly of Pokémon Red/Blue", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "2,107", - "forks": "810", - "addStars": "1", + "stars": "4,021", + "forks": "991", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", - "name": "yenatch", - "url": "https://github.com/yenatch" + "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", + "name": "kanzure", + "url": "https://github.com/kanzure" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5231310?s=40&v=4", + "name": "dannye", + "url": "https://github.com/dannye" }, { "avatar": "https://avatars.githubusercontent.com/u/35663410?s=40&v=4", @@ -395,93 +511,88 @@ "url": "https://github.com/Rangi42" }, { - "avatar": "https://avatars.githubusercontent.com/u/101238?s=40&v=4", - "name": "kanzure", - "url": "https://github.com/kanzure" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1761576?s=40&v=4", - "name": "roukaour", - "url": "https://github.com/roukaour" + "avatar": "https://avatars.githubusercontent.com/u/1767429?s=40&v=4", + "name": "iimarckus", + "url": "https://github.com/iimarckus" }, { - "avatar": "https://avatars.githubusercontent.com/u/1895773?s=40&v=4", - "name": "PikalaxALT", - "url": "https://github.com/PikalaxALT" + "avatar": "https://avatars.githubusercontent.com/u/1654211?s=40&v=4", + "name": "yenatch", + "url": "https://github.com/yenatch" } ] }, { - "title": "cirosantilli/x86-bare-metal-examples", - "url": "https://github.com/cirosantilli/x86-bare-metal-examples", - "description": "Dozens of minimal operating systems to learn x86 system programming. Tested on Ubuntu 17.10 host in QEMU 2.10 and real hardware. Userland cheat at: https://github.com/cirosantilli/linux-kernel-module-cheat#userland-assembly ARM baremetal setup at: https://github.com/cirosantilli/linux-kernel-module-cheat#baremetal-setup 学习x86系统编程的数十个最小操作系统。 已在QE…", + "title": "kanaka/mal", + "url": "https://github.com/kanaka/mal", + "description": "mal - Make a Lisp", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "4,840", - "forks": "408", - "addStars": "67", + "stars": "10,096", + "forks": "2,566", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1429315?s=40&v=4", - "name": "cirosantilli", - "url": "https://github.com/cirosantilli" + "avatar": "https://avatars.githubusercontent.com/u/70127?s=40&v=4", + "name": "kanaka", + "url": "https://github.com/kanaka" }, { - "avatar": "https://avatars.githubusercontent.com/u/11829057?s=40&v=4", - "name": "liujunming", - "url": "https://github.com/liujunming" + "avatar": "https://avatars.githubusercontent.com/u/631010?s=40&v=4", + "name": "bjh21", + "url": "https://github.com/bjh21" }, { - "avatar": "https://avatars.githubusercontent.com/u/8661784?s=40&v=4", - "name": "mpetch", - "url": "https://github.com/mpetch" + "avatar": "https://avatars.githubusercontent.com/u/14112787?s=40&v=4", + "name": "asarhaddon", + "url": "https://github.com/asarhaddon" }, { - "avatar": "https://avatars.githubusercontent.com/u/762988?s=40&v=4", - "name": "davidbilge", - "url": "https://github.com/davidbilge" + "avatar": "https://avatars.githubusercontent.com/u/9211?s=40&v=4", + "name": "dubek", + "url": "https://github.com/dubek" }, { - "avatar": "https://avatars.githubusercontent.com/u/1664036?s=40&v=4", - "name": "antekone", - "url": "https://github.com/antekone" + "avatar": "https://avatars.githubusercontent.com/u/3588994?s=40&v=4", + "name": "wasamasa", + "url": "https://github.com/wasamasa" } ] }, { - "title": "hiteshchoudhary/open-source-contribution", - "url": "https://github.com/hiteshchoudhary/open-source-contribution", - "description": "A repo to contribute in open source via README only. A dream repo for open source beginner", + "title": "SheerSt/pokewilds", + "url": "https://github.com/SheerSt/pokewilds", + "description": "PokeWilds - A Gen 2 Game/Engine using libGDX", "language": "Assembly", "languageColor": "#6E4C13", - "stars": "368", - "forks": "694", - "addStars": "5", + "stars": "2,709", + "forks": "206", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11613311?s=40&v=4", - "name": "hiteshchoudhary", - "url": "https://github.com/hiteshchoudhary" + "avatar": "https://avatars.githubusercontent.com/u/8891626?s=40&v=4", + "name": "SheerSt", + "url": "https://github.com/SheerSt" }, { - "avatar": "https://avatars.githubusercontent.com/u/96916559?s=40&v=4", - "name": "devHksingh", - "url": "https://github.com/devHksingh" + "avatar": "https://avatars.githubusercontent.com/u/11787992?s=40&v=4", + "name": "1iminal", + "url": "https://github.com/1iminal" }, { - "avatar": "https://avatars.githubusercontent.com/u/110806514?s=40&v=4", - "name": "insshubh", - "url": "https://github.com/insshubh" + "avatar": "https://avatars.githubusercontent.com/u/86376991?s=40&v=4", + "name": "MayaSeii", + "url": "https://github.com/MayaSeii" }, { - "avatar": "https://avatars.githubusercontent.com/u/67459148?s=40&v=4", - "name": "lav1shkumar", - "url": "https://github.com/lav1shkumar" + "avatar": "https://avatars.githubusercontent.com/u/87701313?s=40&v=4", + "name": "leCharade", + "url": "https://github.com/leCharade" }, { - "avatar": "https://avatars.githubusercontent.com/u/84187671?s=40&v=4", - "name": "You218", - "url": "https://github.com/You218" + "avatar": "https://avatars.githubusercontent.com/u/110970926?s=40&v=4", + "name": "Galenorla", + "url": "https://github.com/Galenorla" } ] }, @@ -521,43 +632,6 @@ "url": "https://github.com/hellosupranational" } ] - }, - { - "title": "riscvarchive/riscv-v-spec", - "url": "https://github.com/riscvarchive/riscv-v-spec", - "description": "Working draft of the proposed RISC-V V vector extension", - "language": "Assembly", - "languageColor": "#6E4C13", - "stars": "973", - "forks": "272", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/786505?s=40&v=4", - "name": "kasanovic", - "url": "https://github.com/kasanovic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", - "name": "aswaterman", - "url": "https://github.com/aswaterman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5083958?s=40&v=4", - "name": "colinschmidt", - "url": "https://github.com/colinschmidt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/511872?s=40&v=4", - "name": "drom", - "url": "https://github.com/drom" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1848449?s=40&v=4", - "name": "a0u", - "url": "https://github.com/a0u" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/assembly.xml b/data/weekly/assembly.xml index 5813cbcaede8..36722700dcef 100644 --- a/data/weekly/assembly.xml +++ b/data/weekly/assembly.xml @@ -3,42 +3,42 @@ GitHub Assembly Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - bytedance/sonic - https://github.com/bytedance/sonic - A blazingly fast JSON serializing & deserializing library - https://github.com/bytedance/sonic + chrislgarry/Apollo-11 + https://github.com/chrislgarry/Apollo-11 + Original Apollo 11 Guidance Computer (AGC) source code for the command and lunar modules. + https://github.com/chrislgarry/Apollo-11 Assembly #6E4C13 - 6,969 - 341 - 26 + 59,612 + 7,041 + 208 - https://avatars.githubusercontent.com/u/22593627?s=40&v=4 - AsterDY - https://github.com/AsterDY + https://avatars.githubusercontent.com/u/3440094?s=40&v=4 + wopian + https://github.com/wopian - https://avatars.githubusercontent.com/u/20337317?s=40&v=4 - liuq19 - https://github.com/liuq19 + https://avatars.githubusercontent.com/u/2200898?s=40&v=4 + chrislgarry + https://github.com/chrislgarry - https://avatars.githubusercontent.com/u/8230799?s=40&v=4 - chenzhuoyu - https://github.com/chenzhuoyu + https://avatars.githubusercontent.com/u/4405796?s=40&v=4 + dwiyatci + https://github.com/dwiyatci - https://avatars.githubusercontent.com/u/52619714?s=40&v=4 - cyn6315 - https://github.com/cyn6315 + https://avatars.githubusercontent.com/u/7218387?s=40&v=4 + proudindiv + https://github.com/proudindiv - https://avatars.githubusercontent.com/u/3314335?s=40&v=4 - PureWhiteWu - https://github.com/PureWhiteWu + https://avatars.githubusercontent.com/u/5247009?s=40&v=4 + oldmud0 + https://github.com/oldmud0 @@ -49,7 +49,7 @@ https://github.com/leachim6/hello-world Assembly #6E4C13 - 11,017 + 11,018 1,927 19 @@ -119,78 +119,40 @@ - chrislgarry/Apollo-11 - https://github.com/chrislgarry/Apollo-11 - Original Apollo 11 Guidance Computer (AGC) source code for the command and lunar modules. - https://github.com/chrislgarry/Apollo-11 - Assembly - #6E4C13 - 59,608 - 7,041 - 208 - - - https://avatars.githubusercontent.com/u/3440094?s=40&v=4 - wopian - https://github.com/wopian - - - https://avatars.githubusercontent.com/u/2200898?s=40&v=4 - chrislgarry - https://github.com/chrislgarry - - - https://avatars.githubusercontent.com/u/4405796?s=40&v=4 - dwiyatci - https://github.com/dwiyatci - - - https://avatars.githubusercontent.com/u/7218387?s=40&v=4 - proudindiv - https://github.com/proudindiv - - - https://avatars.githubusercontent.com/u/5247009?s=40&v=4 - oldmud0 - https://github.com/oldmud0 - - - - - ROCm/MIOpen - https://github.com/ROCm/MIOpen - AMD's Machine Intelligence Library - https://github.com/ROCm/MIOpen + bytedance/sonic + https://github.com/bytedance/sonic + A blazingly fast JSON serializing & deserializing library + https://github.com/bytedance/sonic Assembly #6E4C13 - 1,082 - 230 - 1 + 6,970 + 341 + 26 - https://avatars.githubusercontent.com/u/1306044?s=40&v=4 - pfultz2 - https://github.com/pfultz2 + https://avatars.githubusercontent.com/u/22593627?s=40&v=4 + AsterDY + https://github.com/AsterDY - https://avatars.githubusercontent.com/u/8866610?s=40&v=4 - dagamayank - https://github.com/dagamayank + https://avatars.githubusercontent.com/u/20337317?s=40&v=4 + liuq19 + https://github.com/liuq19 - https://avatars.githubusercontent.com/u/12801049?s=40&v=4 - daniellowell - https://github.com/daniellowell + https://avatars.githubusercontent.com/u/8230799?s=40&v=4 + chenzhuoyu + https://github.com/chenzhuoyu - https://avatars.githubusercontent.com/u/12697412?s=40&v=4 - atamazov - https://github.com/atamazov + https://avatars.githubusercontent.com/u/52619714?s=40&v=4 + cyn6315 + https://github.com/cyn6315 - https://avatars.githubusercontent.com/u/24684383?s=40&v=4 - ce1adon - https://github.com/ce1adon + https://avatars.githubusercontent.com/u/3314335?s=40&v=4 + PureWhiteWu + https://github.com/PureWhiteWu @@ -201,7 +163,7 @@ https://github.com/BLAKE3-team/BLAKE3 Assembly #6E4C13 - 5,223 + 5,224 353 48 @@ -271,40 +233,116 @@ - kanaka/mal - https://github.com/kanaka/mal - mal - Make a Lisp - https://github.com/kanaka/mal + riscv-non-isa/riscv-arch-test + https://github.com/riscv-non-isa/riscv-arch-test + + https://github.com/riscv-non-isa/riscv-arch-test Assembly #6E4C13 - 10,096 - 2,566 - 12 + 517 + 204 + 1 - https://avatars.githubusercontent.com/u/70127?s=40&v=4 - kanaka - https://github.com/kanaka + https://avatars.githubusercontent.com/u/5221573?s=40&v=4 + neelgala + https://github.com/neelgala - https://avatars.githubusercontent.com/u/631010?s=40&v=4 - bjh21 - https://github.com/bjh21 + https://avatars.githubusercontent.com/u/55623682?s=40&v=4 + UmerShahidengr + https://github.com/UmerShahidengr - https://avatars.githubusercontent.com/u/14112787?s=40&v=4 - asarhaddon - https://github.com/asarhaddon + https://avatars.githubusercontent.com/u/30653405?s=40&v=4 + pawks + https://github.com/pawks - https://avatars.githubusercontent.com/u/9211?s=40&v=4 - dubek - https://github.com/dubek + https://avatars.githubusercontent.com/u/31423142?s=40&v=4 + allenjbaum + https://github.com/allenjbaum - https://avatars.githubusercontent.com/u/3588994?s=40&v=4 - wasamasa - https://github.com/wasamasa + https://avatars.githubusercontent.com/u/11179612?s=40&v=4 + eroom1966 + https://github.com/eroom1966 + + + + + pret/pokecrystal + https://github.com/pret/pokecrystal + Disassembly of Pokémon Crystal + https://github.com/pret/pokecrystal + Assembly + #6E4C13 + 2,107 + 810 + 1 + + + https://avatars.githubusercontent.com/u/1654211?s=40&v=4 + yenatch + https://github.com/yenatch + + + https://avatars.githubusercontent.com/u/35663410?s=40&v=4 + Rangi42 + https://github.com/Rangi42 + + + https://avatars.githubusercontent.com/u/101238?s=40&v=4 + kanzure + https://github.com/kanzure + + + https://avatars.githubusercontent.com/u/1761576?s=40&v=4 + roukaour + https://github.com/roukaour + + + https://avatars.githubusercontent.com/u/1895773?s=40&v=4 + PikalaxALT + https://github.com/PikalaxALT + + + + + cirosantilli/x86-bare-metal-examples + https://github.com/cirosantilli/x86-bare-metal-examples + Dozens of minimal operating systems to learn x86 system programming. Tested on Ubuntu 17.10 host in QEMU 2.10 and real hardware. Userland cheat at: https://github.com/cirosantilli/linux-kernel-module-cheat#userland-assembly ARM baremetal setup at: https://github.com/cirosantilli/linux-kernel-module-cheat#baremetal-setup 学习x86系统编程的数十个最小操作系统。 已在QE… + https://github.com/cirosantilli/x86-bare-metal-examples + Assembly + #6E4C13 + 4,840 + 408 + 67 + + + https://avatars.githubusercontent.com/u/1429315?s=40&v=4 + cirosantilli + https://github.com/cirosantilli + + + https://avatars.githubusercontent.com/u/11829057?s=40&v=4 + liujunming + https://github.com/liujunming + + + https://avatars.githubusercontent.com/u/8661784?s=40&v=4 + mpetch + https://github.com/mpetch + + + https://avatars.githubusercontent.com/u/762988?s=40&v=4 + davidbilge + https://github.com/davidbilge + + + https://avatars.githubusercontent.com/u/1664036?s=40&v=4 + antekone + https://github.com/antekone @@ -316,7 +354,7 @@ Assembly #6E4C13 2,467 - 301 + 302 18 @@ -346,6 +384,82 @@ + + hiteshchoudhary/open-source-contribution + https://github.com/hiteshchoudhary/open-source-contribution + A repo to contribute in open source via README only. A dream repo for open source beginner + https://github.com/hiteshchoudhary/open-source-contribution + Assembly + #6E4C13 + 368 + 694 + 5 + + + https://avatars.githubusercontent.com/u/11613311?s=40&v=4 + hiteshchoudhary + https://github.com/hiteshchoudhary + + + https://avatars.githubusercontent.com/u/96916559?s=40&v=4 + devHksingh + https://github.com/devHksingh + + + https://avatars.githubusercontent.com/u/110806514?s=40&v=4 + insshubh + https://github.com/insshubh + + + https://avatars.githubusercontent.com/u/67459148?s=40&v=4 + lav1shkumar + https://github.com/lav1shkumar + + + https://avatars.githubusercontent.com/u/84187671?s=40&v=4 + You218 + https://github.com/You218 + + + + + ROCm/MIOpen + https://github.com/ROCm/MIOpen + AMD's Machine Intelligence Library + https://github.com/ROCm/MIOpen + Assembly + #6E4C13 + 1,082 + 230 + 1 + + + https://avatars.githubusercontent.com/u/1306044?s=40&v=4 + pfultz2 + https://github.com/pfultz2 + + + https://avatars.githubusercontent.com/u/8866610?s=40&v=4 + dagamayank + https://github.com/dagamayank + + + https://avatars.githubusercontent.com/u/12801049?s=40&v=4 + daniellowell + https://github.com/daniellowell + + + https://avatars.githubusercontent.com/u/12697412?s=40&v=4 + atamazov + https://github.com/atamazov + + + https://avatars.githubusercontent.com/u/24684383?s=40&v=4 + ce1adon + https://github.com/ce1adon + + + ROCm/hipBLASLt https://github.com/ROCm/hipBLASLt @@ -385,20 +499,25 @@ - pret/pokecrystal - https://github.com/pret/pokecrystal - Disassembly of Pokémon Crystal - https://github.com/pret/pokecrystal + pret/pokered + https://github.com/pret/pokered + Disassembly of Pokémon Red/Blue + https://github.com/pret/pokered Assembly #6E4C13 - 2,107 - 810 - 1 + 4,021 + 991 + 7 - https://avatars.githubusercontent.com/u/1654211?s=40&v=4 - yenatch - https://github.com/yenatch + https://avatars.githubusercontent.com/u/101238?s=40&v=4 + kanzure + https://github.com/kanzure + + + https://avatars.githubusercontent.com/u/5231310?s=40&v=4 + dannye + https://github.com/dannye https://avatars.githubusercontent.com/u/35663410?s=40&v=4 @@ -406,95 +525,90 @@ https://github.com/Rangi42 - https://avatars.githubusercontent.com/u/101238?s=40&v=4 - kanzure - https://github.com/kanzure - - - https://avatars.githubusercontent.com/u/1761576?s=40&v=4 - roukaour - https://github.com/roukaour + https://avatars.githubusercontent.com/u/1767429?s=40&v=4 + iimarckus + https://github.com/iimarckus - https://avatars.githubusercontent.com/u/1895773?s=40&v=4 - PikalaxALT - https://github.com/PikalaxALT + https://avatars.githubusercontent.com/u/1654211?s=40&v=4 + yenatch + https://github.com/yenatch - cirosantilli/x86-bare-metal-examples - https://github.com/cirosantilli/x86-bare-metal-examples - Dozens of minimal operating systems to learn x86 system programming. Tested on Ubuntu 17.10 host in QEMU 2.10 and real hardware. Userland cheat at: https://github.com/cirosantilli/linux-kernel-module-cheat#userland-assembly ARM baremetal setup at: https://github.com/cirosantilli/linux-kernel-module-cheat#baremetal-setup 学习x86系统编程的数十个最小操作系统。 已在QE… - https://github.com/cirosantilli/x86-bare-metal-examples + kanaka/mal + https://github.com/kanaka/mal + mal - Make a Lisp + https://github.com/kanaka/mal Assembly #6E4C13 - 4,840 - 408 - 67 + 10,096 + 2,566 + 12 - https://avatars.githubusercontent.com/u/1429315?s=40&v=4 - cirosantilli - https://github.com/cirosantilli + https://avatars.githubusercontent.com/u/70127?s=40&v=4 + kanaka + https://github.com/kanaka - https://avatars.githubusercontent.com/u/11829057?s=40&v=4 - liujunming - https://github.com/liujunming + https://avatars.githubusercontent.com/u/631010?s=40&v=4 + bjh21 + https://github.com/bjh21 - https://avatars.githubusercontent.com/u/8661784?s=40&v=4 - mpetch - https://github.com/mpetch + https://avatars.githubusercontent.com/u/14112787?s=40&v=4 + asarhaddon + https://github.com/asarhaddon - https://avatars.githubusercontent.com/u/762988?s=40&v=4 - davidbilge - https://github.com/davidbilge + https://avatars.githubusercontent.com/u/9211?s=40&v=4 + dubek + https://github.com/dubek - https://avatars.githubusercontent.com/u/1664036?s=40&v=4 - antekone - https://github.com/antekone + https://avatars.githubusercontent.com/u/3588994?s=40&v=4 + wasamasa + https://github.com/wasamasa - hiteshchoudhary/open-source-contribution - https://github.com/hiteshchoudhary/open-source-contribution - A repo to contribute in open source via README only. A dream repo for open source beginner - https://github.com/hiteshchoudhary/open-source-contribution + SheerSt/pokewilds + https://github.com/SheerSt/pokewilds + PokeWilds - A Gen 2 Game/Engine using libGDX + https://github.com/SheerSt/pokewilds Assembly #6E4C13 - 368 - 694 - 5 + 2,709 + 206 + 2 - https://avatars.githubusercontent.com/u/11613311?s=40&v=4 - hiteshchoudhary - https://github.com/hiteshchoudhary + https://avatars.githubusercontent.com/u/8891626?s=40&v=4 + SheerSt + https://github.com/SheerSt - https://avatars.githubusercontent.com/u/96916559?s=40&v=4 - devHksingh - https://github.com/devHksingh + https://avatars.githubusercontent.com/u/11787992?s=40&v=4 + 1iminal + https://github.com/1iminal - https://avatars.githubusercontent.com/u/110806514?s=40&v=4 - insshubh - https://github.com/insshubh + https://avatars.githubusercontent.com/u/86376991?s=40&v=4 + MayaSeii + https://github.com/MayaSeii - https://avatars.githubusercontent.com/u/67459148?s=40&v=4 - lav1shkumar - https://github.com/lav1shkumar + https://avatars.githubusercontent.com/u/87701313?s=40&v=4 + leCharade + https://github.com/leCharade - https://avatars.githubusercontent.com/u/84187671?s=40&v=4 - You218 - https://github.com/You218 + https://avatars.githubusercontent.com/u/110970926?s=40&v=4 + Galenorla + https://github.com/Galenorla @@ -536,43 +650,5 @@ - - riscvarchive/riscv-v-spec - https://github.com/riscvarchive/riscv-v-spec - Working draft of the proposed RISC-V V vector extension - https://github.com/riscvarchive/riscv-v-spec - Assembly - #6E4C13 - 973 - 272 - 4 - - - https://avatars.githubusercontent.com/u/786505?s=40&v=4 - kasanovic - https://github.com/kasanovic - - - https://avatars.githubusercontent.com/u/1031106?s=40&v=4 - aswaterman - https://github.com/aswaterman - - - https://avatars.githubusercontent.com/u/5083958?s=40&v=4 - colinschmidt - https://github.com/colinschmidt - - - https://avatars.githubusercontent.com/u/511872?s=40&v=4 - drom - https://github.com/drom - - - https://avatars.githubusercontent.com/u/1848449?s=40&v=4 - a0u - https://github.com/a0u - - - \ No newline at end of file diff --git a/data/weekly/astro.json b/data/weekly/astro.json index 114785dda36a..d547bfef8a7e 100644 --- a/data/weekly/astro.json +++ b/data/weekly/astro.json @@ -2,7 +2,7 @@ "title": "GitHub Astro Languages Weekly Trending", "description": "Weekly Trending of Astro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ColorlibHQ/AdminLTE", @@ -10,8 +10,8 @@ "description": "AdminLTE - Free admin dashboard template based on Bootstrap 5", "language": "Astro", "languageColor": "#ff5a03", - "stars": "44,094", - "forks": "18,167", + "stars": "44,097", + "forks": "18,168", "addStars": "38", "contributors": [ { @@ -42,8 +42,8 @@ "description": "⭕️ AstroWind: A free template using Astro 4.0 and Tailwind CSS. Astro starter theme.", "language": "Astro", "languageColor": "#ff5a03", - "stars": "3,518", - "forks": "1,071", + "stars": "3,520", + "forks": "1,073", "addStars": "45", "contributors": [ { @@ -73,51 +73,14 @@ } ] }, - { - "title": "Lissy93/awesome-privacy", - "url": "https://github.com/Lissy93/awesome-privacy", - "description": "🦄 A curated list of privacy & security-focused software and services", - "language": "Astro", - "languageColor": "#ff5a03", - "stars": "6,972", - "forks": "316", - "addStars": "44", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1862727?s=40&v=4", - "name": "Lissy93", - "url": "https://github.com/Lissy93" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87835202?s=40&v=4", - "name": "liss-bot", - "url": "https://github.com/liss-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32241933?s=40&v=4", - "name": "Ki-er", - "url": "https://github.com/Ki-er" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/74383721?s=40&v=4", - "name": "SadMadLad", - "url": "https://github.com/SadMadLad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4777345?s=40&v=4", - "name": "ltguillaume", - "url": "https://github.com/ltguillaume" - } - ] - }, { "title": "satnaing/astro-paper", "url": "https://github.com/satnaing/astro-paper", "description": "A minimal, accessible and SEO-friendly Astro blog theme", "language": "Astro", "languageColor": "#ff5a03", - "stars": "2,563", - "forks": "532", + "stars": "2,567", + "forks": "533", "addStars": "29", "contributors": [ { @@ -143,39 +106,39 @@ ] }, { - "title": "zeon-studio/astroplate", - "url": "https://github.com/zeon-studio/astroplate", - "description": "Astroplate is a free starter template built with Astro, TailwindCSS & TypeScript providing everything you need to jumpstart your Astro project. Get started with Astroplate and save yourself hours of work!", + "title": "Lissy93/awesome-privacy", + "url": "https://github.com/Lissy93/awesome-privacy", + "description": "🦄 A curated list of privacy & security-focused software and services", "language": "Astro", "languageColor": "#ff5a03", - "stars": "590", - "forks": "184", - "addStars": "8", + "stars": "6,971", + "forks": "316", + "addStars": "44", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/58769763?s=40&v=4", - "name": "tfsomrat", - "url": "https://github.com/tfsomrat" + "avatar": "https://avatars.githubusercontent.com/u/1862727?s=40&v=4", + "name": "Lissy93", + "url": "https://github.com/Lissy93" }, { - "avatar": "https://avatars.githubusercontent.com/u/145179606?s=40&v=4", - "name": "tfmurad", - "url": "https://github.com/tfmurad" + "avatar": "https://avatars.githubusercontent.com/u/87835202?s=40&v=4", + "name": "liss-bot", + "url": "https://github.com/liss-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/94342419?s=40&v=4", - "name": "tffarhad", - "url": "https://github.com/tffarhad" + "avatar": "https://avatars.githubusercontent.com/u/32241933?s=40&v=4", + "name": "Ki-er", + "url": "https://github.com/Ki-er" }, { - "avatar": "https://avatars.githubusercontent.com/u/46300090?s=40&v=4", - "name": "rishi-raj-jain", - "url": "https://github.com/rishi-raj-jain" + "avatar": "https://avatars.githubusercontent.com/u/74383721?s=40&v=4", + "name": "SadMadLad", + "url": "https://github.com/SadMadLad" }, { - "avatar": "https://avatars.githubusercontent.com/u/4986045?s=40&v=4", - "name": "alerodrod", - "url": "https://github.com/alerodrod" + "avatar": "https://avatars.githubusercontent.com/u/4777345?s=40&v=4", + "name": "ltguillaume", + "url": "https://github.com/ltguillaume" } ] }, @@ -253,6 +216,43 @@ } ] }, + { + "title": "zeon-studio/astroplate", + "url": "https://github.com/zeon-studio/astroplate", + "description": "Astroplate is a free starter template built with Astro, TailwindCSS & TypeScript providing everything you need to jumpstart your Astro project. Get started with Astroplate and save yourself hours of work!", + "language": "Astro", + "languageColor": "#ff5a03", + "stars": "590", + "forks": "184", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/58769763?s=40&v=4", + "name": "tfsomrat", + "url": "https://github.com/tfsomrat" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/145179606?s=40&v=4", + "name": "tfmurad", + "url": "https://github.com/tfmurad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94342419?s=40&v=4", + "name": "tffarhad", + "url": "https://github.com/tffarhad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46300090?s=40&v=4", + "name": "rishi-raj-jain", + "url": "https://github.com/rishi-raj-jain" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4986045?s=40&v=4", + "name": "alerodrod", + "url": "https://github.com/alerodrod" + } + ] + }, { "title": "JustGoodUI/dante-astro-theme", "url": "https://github.com/JustGoodUI/dante-astro-theme", @@ -276,39 +276,29 @@ ] }, { - "title": "manuelernestog/astrofy", - "url": "https://github.com/manuelernestog/astrofy", - "description": "Astrofy is a free and open-source template for your Personal Portfolio Website built with Astro and TailwindCSS. Create in minutes a website with Blog, CV, Project Section, Store and RSS Feed.", + "title": "delucis/astro-embed", + "url": "https://github.com/delucis/astro-embed", + "description": "Low-JavaScript embed components for Astro websites", "language": "Astro", "languageColor": "#ff5a03", - "stars": "972", - "forks": "301", - "addStars": "5", + "stars": "245", + "forks": "39", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/53962116?s=40&v=4", - "name": "manuelernestog", - "url": "https://github.com/manuelernestog" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/100631908?s=40&v=4", - "name": "GrungeElFz", - "url": "https://github.com/GrungeElFz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17171838?s=40&v=4", - "name": "coreyryanhanson", - "url": "https://github.com/coreyryanhanson" + "avatar": "https://avatars.githubusercontent.com/u/357379?s=40&v=4", + "name": "delucis", + "url": "https://github.com/delucis" }, { - "avatar": "https://avatars.githubusercontent.com/u/47871510?s=40&v=4", - "name": "brahimABD98", - "url": "https://github.com/brahimABD98" + "avatar": "https://avatars.githubusercontent.com/u/213306?s=40&v=4", + "name": "ascorbic", + "url": "https://github.com/ascorbic" }, { - "avatar": "https://avatars.githubusercontent.com/u/37135912?s=40&v=4", - "name": "Kyxey", - "url": "https://github.com/Kyxey" + "avatar": "https://avatars.githubusercontent.com/u/885799?s=40&v=4", + "name": "anotheri", + "url": "https://github.com/anotheri" } ] }, @@ -318,8 +308,8 @@ "description": "✨A static blog template built with Astro.", "language": "Astro", "languageColor": "#ff5a03", - "stars": "1,423", - "forks": "327", + "stars": "1,424", + "forks": "328", "addStars": "32", "contributors": [ { @@ -349,6 +339,43 @@ } ] }, + { + "title": "manuelernestog/astrofy", + "url": "https://github.com/manuelernestog/astrofy", + "description": "Astrofy is a free and open-source template for your Personal Portfolio Website built with Astro and TailwindCSS. Create in minutes a website with Blog, CV, Project Section, Store and RSS Feed.", + "language": "Astro", + "languageColor": "#ff5a03", + "stars": "972", + "forks": "301", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/53962116?s=40&v=4", + "name": "manuelernestog", + "url": "https://github.com/manuelernestog" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/100631908?s=40&v=4", + "name": "GrungeElFz", + "url": "https://github.com/GrungeElFz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17171838?s=40&v=4", + "name": "coreyryanhanson", + "url": "https://github.com/coreyryanhanson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47871510?s=40&v=4", + "name": "brahimABD98", + "url": "https://github.com/brahimABD98" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37135912?s=40&v=4", + "name": "Kyxey", + "url": "https://github.com/Kyxey" + } + ] + }, { "title": "midudev/porfolio.dev", "url": "https://github.com/midudev/porfolio.dev", diff --git a/data/weekly/astro.xml b/data/weekly/astro.xml index 23b3dfd47cce..81db19b9f885 100644 --- a/data/weekly/astro.xml +++ b/data/weekly/astro.xml @@ -3,7 +3,7 @@ GitHub Astro Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Astro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ColorlibHQ/AdminLTE https://github.com/ColorlibHQ/AdminLTE @@ -11,8 +11,8 @@ https://github.com/ColorlibHQ/AdminLTE Astro #ff5a03 - 44,094 - 18,167 + 44,097 + 18,168 38 @@ -44,8 +44,8 @@ https://github.com/onwidget/astrowind Astro #ff5a03 - 3,518 - 1,071 + 3,520 + 1,073 45 @@ -75,44 +75,6 @@ - - Lissy93/awesome-privacy - https://github.com/Lissy93/awesome-privacy - 🦄 A curated list of privacy & security-focused software and services - https://github.com/Lissy93/awesome-privacy - Astro - #ff5a03 - 6,972 - 316 - 44 - - - https://avatars.githubusercontent.com/u/1862727?s=40&v=4 - Lissy93 - https://github.com/Lissy93 - - - https://avatars.githubusercontent.com/u/87835202?s=40&v=4 - liss-bot - https://github.com/liss-bot - - - https://avatars.githubusercontent.com/u/32241933?s=40&v=4 - Ki-er - https://github.com/Ki-er - - - https://avatars.githubusercontent.com/u/74383721?s=40&v=4 - SadMadLad - https://github.com/SadMadLad - - - https://avatars.githubusercontent.com/u/4777345?s=40&v=4 - ltguillaume - https://github.com/ltguillaume - - - satnaing/astro-paper https://github.com/satnaing/astro-paper @@ -120,8 +82,8 @@ https://github.com/satnaing/astro-paper Astro #ff5a03 - 2,563 - 532 + 2,567 + 533 29 @@ -147,40 +109,40 @@ - zeon-studio/astroplate - https://github.com/zeon-studio/astroplate - Astroplate is a free starter template built with Astro, TailwindCSS & TypeScript providing everything you need to jumpstart your Astro project. Get started with Astroplate and save yourself hours of work! - https://github.com/zeon-studio/astroplate + Lissy93/awesome-privacy + https://github.com/Lissy93/awesome-privacy + 🦄 A curated list of privacy & security-focused software and services + https://github.com/Lissy93/awesome-privacy Astro #ff5a03 - 590 - 184 - 8 + 6,971 + 316 + 44 - https://avatars.githubusercontent.com/u/58769763?s=40&v=4 - tfsomrat - https://github.com/tfsomrat + https://avatars.githubusercontent.com/u/1862727?s=40&v=4 + Lissy93 + https://github.com/Lissy93 - https://avatars.githubusercontent.com/u/145179606?s=40&v=4 - tfmurad - https://github.com/tfmurad + https://avatars.githubusercontent.com/u/87835202?s=40&v=4 + liss-bot + https://github.com/liss-bot - https://avatars.githubusercontent.com/u/94342419?s=40&v=4 - tffarhad - https://github.com/tffarhad + https://avatars.githubusercontent.com/u/32241933?s=40&v=4 + Ki-er + https://github.com/Ki-er - https://avatars.githubusercontent.com/u/46300090?s=40&v=4 - rishi-raj-jain - https://github.com/rishi-raj-jain + https://avatars.githubusercontent.com/u/74383721?s=40&v=4 + SadMadLad + https://github.com/SadMadLad - https://avatars.githubusercontent.com/u/4986045?s=40&v=4 - alerodrod - https://github.com/alerodrod + https://avatars.githubusercontent.com/u/4777345?s=40&v=4 + ltguillaume + https://github.com/ltguillaume @@ -260,6 +222,44 @@ + + zeon-studio/astroplate + https://github.com/zeon-studio/astroplate + Astroplate is a free starter template built with Astro, TailwindCSS & TypeScript providing everything you need to jumpstart your Astro project. Get started with Astroplate and save yourself hours of work! + https://github.com/zeon-studio/astroplate + Astro + #ff5a03 + 590 + 184 + 8 + + + https://avatars.githubusercontent.com/u/58769763?s=40&v=4 + tfsomrat + https://github.com/tfsomrat + + + https://avatars.githubusercontent.com/u/145179606?s=40&v=4 + tfmurad + https://github.com/tfmurad + + + https://avatars.githubusercontent.com/u/94342419?s=40&v=4 + tffarhad + https://github.com/tffarhad + + + https://avatars.githubusercontent.com/u/46300090?s=40&v=4 + rishi-raj-jain + https://github.com/rishi-raj-jain + + + https://avatars.githubusercontent.com/u/4986045?s=40&v=4 + alerodrod + https://github.com/alerodrod + + + JustGoodUI/dante-astro-theme https://github.com/JustGoodUI/dante-astro-theme @@ -284,40 +284,30 @@ - manuelernestog/astrofy - https://github.com/manuelernestog/astrofy - Astrofy is a free and open-source template for your Personal Portfolio Website built with Astro and TailwindCSS. Create in minutes a website with Blog, CV, Project Section, Store and RSS Feed. - https://github.com/manuelernestog/astrofy + delucis/astro-embed + https://github.com/delucis/astro-embed + Low-JavaScript embed components for Astro websites + https://github.com/delucis/astro-embed Astro #ff5a03 - 972 - 301 - 5 + 245 + 39 + 10 - https://avatars.githubusercontent.com/u/53962116?s=40&v=4 - manuelernestog - https://github.com/manuelernestog + https://avatars.githubusercontent.com/u/357379?s=40&v=4 + delucis + https://github.com/delucis - https://avatars.githubusercontent.com/u/100631908?s=40&v=4 - GrungeElFz - https://github.com/GrungeElFz + https://avatars.githubusercontent.com/u/213306?s=40&v=4 + ascorbic + https://github.com/ascorbic - https://avatars.githubusercontent.com/u/17171838?s=40&v=4 - coreyryanhanson - https://github.com/coreyryanhanson - - - https://avatars.githubusercontent.com/u/47871510?s=40&v=4 - brahimABD98 - https://github.com/brahimABD98 - - - https://avatars.githubusercontent.com/u/37135912?s=40&v=4 - Kyxey - https://github.com/Kyxey + https://avatars.githubusercontent.com/u/885799?s=40&v=4 + anotheri + https://github.com/anotheri @@ -328,8 +318,8 @@ https://github.com/saicaca/fuwari Astro #ff5a03 - 1,423 - 327 + 1,424 + 328 32 @@ -359,6 +349,44 @@ + + manuelernestog/astrofy + https://github.com/manuelernestog/astrofy + Astrofy is a free and open-source template for your Personal Portfolio Website built with Astro and TailwindCSS. Create in minutes a website with Blog, CV, Project Section, Store and RSS Feed. + https://github.com/manuelernestog/astrofy + Astro + #ff5a03 + 972 + 301 + 5 + + + https://avatars.githubusercontent.com/u/53962116?s=40&v=4 + manuelernestog + https://github.com/manuelernestog + + + https://avatars.githubusercontent.com/u/100631908?s=40&v=4 + GrungeElFz + https://github.com/GrungeElFz + + + https://avatars.githubusercontent.com/u/17171838?s=40&v=4 + coreyryanhanson + https://github.com/coreyryanhanson + + + https://avatars.githubusercontent.com/u/47871510?s=40&v=4 + brahimABD98 + https://github.com/brahimABD98 + + + https://avatars.githubusercontent.com/u/37135912?s=40&v=4 + Kyxey + https://github.com/Kyxey + + + midudev/porfolio.dev https://github.com/midudev/porfolio.dev diff --git a/data/weekly/asymptote.json b/data/weekly/asymptote.json index 99888117605b..6689dd947385 100644 --- a/data/weekly/asymptote.json +++ b/data/weekly/asymptote.json @@ -2,6 +2,6 @@ "title": "GitHub Asymptote Languages Weekly Trending", "description": "Weekly Trending of Asymptote Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/asymptote.xml b/data/weekly/asymptote.xml index e056b6c0f2ca..c4193ec5bd00 100644 --- a/data/weekly/asymptote.xml +++ b/data/weekly/asymptote.xml @@ -3,6 +3,6 @@ GitHub Asymptote Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Asymptote Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ats.json b/data/weekly/ats.json index dde41880cb59..6aaf022f402a 100644 --- a/data/weekly/ats.json +++ b/data/weekly/ats.json @@ -2,6 +2,6 @@ "title": "GitHub Ats Languages Weekly Trending", "description": "Weekly Trending of Ats Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ats.xml b/data/weekly/ats.xml index 8276c41d7bee..c222d41d49e0 100644 --- a/data/weekly/ats.xml +++ b/data/weekly/ats.xml @@ -3,6 +3,6 @@ GitHub Ats Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ats Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/augeas.json b/data/weekly/augeas.json index 7fe1f1154982..a4b7a56c6efd 100644 --- a/data/weekly/augeas.json +++ b/data/weekly/augeas.json @@ -2,6 +2,6 @@ "title": "GitHub Augeas Languages Weekly Trending", "description": "Weekly Trending of Augeas Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/augeas.xml b/data/weekly/augeas.xml index 251f4bf798b8..f3c8425200aa 100644 --- a/data/weekly/augeas.xml +++ b/data/weekly/augeas.xml @@ -3,6 +3,6 @@ GitHub Augeas Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Augeas Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/autohotkey.json b/data/weekly/autohotkey.json index f33c2a645c93..28ceafbc9af7 100644 --- a/data/weekly/autohotkey.json +++ b/data/weekly/autohotkey.json @@ -2,7 +2,7 @@ "title": "GitHub Autohotkey Languages Weekly Trending", "description": "Weekly Trending of Autohotkey Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "kyokakawaii/DoroHelper", @@ -47,43 +47,6 @@ "url": "https://github.com/TFWol" } ] - }, - { - "title": "NatroTeam/NatroMacro", - "url": "https://github.com/NatroTeam/NatroMacro", - "description": "A Bee Swarm Simulator macro for Windows", - "language": "AutoHotkey", - "languageColor": "#6594b9", - "stars": "1,368", - "forks": "143", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/142021753?s=40&v=4", - "name": "zspz", - "url": "https://github.com/zspz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/109582490?s=40&v=4", - "name": "Noobyguy775", - "url": "https://github.com/Noobyguy775" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/155802?s=40&v=4", - "name": "djravine", - "url": "https://github.com/djravine" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2339691?s=40&v=4", - "name": "rpertusio", - "url": "https://github.com/rpertusio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89666016?s=40&v=4", - "name": "Natro42", - "url": "https://github.com/Natro42" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/autohotkey.xml b/data/weekly/autohotkey.xml index 7af73e9c4a99..a15fef80695f 100644 --- a/data/weekly/autohotkey.xml +++ b/data/weekly/autohotkey.xml @@ -3,7 +3,7 @@ GitHub Autohotkey Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Autohotkey Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT kyokakawaii/DoroHelper https://github.com/kyokakawaii/DoroHelper @@ -50,43 +50,5 @@ - - NatroTeam/NatroMacro - https://github.com/NatroTeam/NatroMacro - A Bee Swarm Simulator macro for Windows - https://github.com/NatroTeam/NatroMacro - AutoHotkey - #6594b9 - 1,368 - 143 - 7 - - - https://avatars.githubusercontent.com/u/142021753?s=40&v=4 - zspz - https://github.com/zspz - - - https://avatars.githubusercontent.com/u/109582490?s=40&v=4 - Noobyguy775 - https://github.com/Noobyguy775 - - - https://avatars.githubusercontent.com/u/155802?s=40&v=4 - djravine - https://github.com/djravine - - - https://avatars.githubusercontent.com/u/2339691?s=40&v=4 - rpertusio - https://github.com/rpertusio - - - https://avatars.githubusercontent.com/u/89666016?s=40&v=4 - Natro42 - https://github.com/Natro42 - - - \ No newline at end of file diff --git a/data/weekly/autoit.json b/data/weekly/autoit.json index 3c87903374bc..673a32705708 100644 --- a/data/weekly/autoit.json +++ b/data/weekly/autoit.json @@ -2,7 +2,7 @@ "title": "GitHub Autoit Languages Weekly Trending", "description": "Weekly Trending of Autoit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "rcmaehl/WhyNotWin11", @@ -36,45 +36,13 @@ } ] }, - { - "title": "rcmaehl/MSEdgeRedirect", - "url": "https://github.com/rcmaehl/MSEdgeRedirect", - "description": "A Tool to Redirect News, Search, Widgets, Weather and More to Your Default Browser", - "language": "AutoIt", - "languageColor": "#1C3552", - "stars": "4,243", - "forks": "94", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/716581?s=40&v=4", - "name": "rcmaehl", - "url": "https://github.com/rcmaehl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/78990165?s=40&v=4", - "name": "gnpaone", - "url": "https://github.com/gnpaone" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26381427?s=40&v=4", - "name": "Macleykun", - "url": "https://github.com/Macleykun" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/56180050?s=40&v=4", - "name": "sitiom", - "url": "https://github.com/sitiom" - } - ] - }, { "title": "Bioruebe/UniExtract2", "url": "https://github.com/Bioruebe/UniExtract2", "description": "Universal Extractor 2 is a tool to extract files from any type of archive or installer.", "language": "AutoIt", "languageColor": "#1C3552", - "stars": "3,594", + "stars": "3,595", "forks": "338", "addStars": "10", "contributors": [ @@ -104,6 +72,38 @@ "url": "https://github.com/YuriPet" } ] + }, + { + "title": "rcmaehl/MSEdgeRedirect", + "url": "https://github.com/rcmaehl/MSEdgeRedirect", + "description": "A Tool to Redirect News, Search, Widgets, Weather and More to Your Default Browser", + "language": "AutoIt", + "languageColor": "#1C3552", + "stars": "4,243", + "forks": "94", + "addStars": "14", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/716581?s=40&v=4", + "name": "rcmaehl", + "url": "https://github.com/rcmaehl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/78990165?s=40&v=4", + "name": "gnpaone", + "url": "https://github.com/gnpaone" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26381427?s=40&v=4", + "name": "Macleykun", + "url": "https://github.com/Macleykun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/56180050?s=40&v=4", + "name": "sitiom", + "url": "https://github.com/sitiom" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/autoit.xml b/data/weekly/autoit.xml index 3c922cef9e7c..f37dafb7f13d 100644 --- a/data/weekly/autoit.xml +++ b/data/weekly/autoit.xml @@ -3,7 +3,7 @@ GitHub Autoit Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Autoit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT rcmaehl/WhyNotWin11 https://github.com/rcmaehl/WhyNotWin11 @@ -37,39 +37,6 @@ - - rcmaehl/MSEdgeRedirect - https://github.com/rcmaehl/MSEdgeRedirect - A Tool to Redirect News, Search, Widgets, Weather and More to Your Default Browser - https://github.com/rcmaehl/MSEdgeRedirect - AutoIt - #1C3552 - 4,243 - 94 - 14 - - - https://avatars.githubusercontent.com/u/716581?s=40&v=4 - rcmaehl - https://github.com/rcmaehl - - - https://avatars.githubusercontent.com/u/78990165?s=40&v=4 - gnpaone - https://github.com/gnpaone - - - https://avatars.githubusercontent.com/u/26381427?s=40&v=4 - Macleykun - https://github.com/Macleykun - - - https://avatars.githubusercontent.com/u/56180050?s=40&v=4 - sitiom - https://github.com/sitiom - - - Bioruebe/UniExtract2 https://github.com/Bioruebe/UniExtract2 @@ -77,7 +44,7 @@ https://github.com/Bioruebe/UniExtract2 AutoIt #1C3552 - 3,594 + 3,595 338 10 @@ -108,5 +75,38 @@ + + rcmaehl/MSEdgeRedirect + https://github.com/rcmaehl/MSEdgeRedirect + A Tool to Redirect News, Search, Widgets, Weather and More to Your Default Browser + https://github.com/rcmaehl/MSEdgeRedirect + AutoIt + #1C3552 + 4,243 + 94 + 14 + + + https://avatars.githubusercontent.com/u/716581?s=40&v=4 + rcmaehl + https://github.com/rcmaehl + + + https://avatars.githubusercontent.com/u/78990165?s=40&v=4 + gnpaone + https://github.com/gnpaone + + + https://avatars.githubusercontent.com/u/26381427?s=40&v=4 + Macleykun + https://github.com/Macleykun + + + https://avatars.githubusercontent.com/u/56180050?s=40&v=4 + sitiom + https://github.com/sitiom + + + \ No newline at end of file diff --git a/data/weekly/avro-idl.json b/data/weekly/avro-idl.json index a66639880235..99e71d7a4ea8 100644 --- a/data/weekly/avro-idl.json +++ b/data/weekly/avro-idl.json @@ -2,6 +2,6 @@ "title": "GitHub Avro-idl Languages Weekly Trending", "description": "Weekly Trending of Avro-idl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/avro-idl.xml b/data/weekly/avro-idl.xml index 3840ed910258..64b2fbf0edfc 100644 --- a/data/weekly/avro-idl.xml +++ b/data/weekly/avro-idl.xml @@ -3,6 +3,6 @@ GitHub Avro-idl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Avro-idl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/awk.json b/data/weekly/awk.json index d2ce7259fddc..831718c30e7e 100644 --- a/data/weekly/awk.json +++ b/data/weekly/awk.json @@ -2,7 +2,7 @@ "title": "GitHub Awk Languages Weekly Trending", "description": "Weekly Trending of Awk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "x-cmd/x-cmd", @@ -10,7 +10,7 @@ "description": "X bootstrap 1000+ tools and scripts.", "language": "Awk", "languageColor": "#c30e9b", - "stars": "1,172", + "stars": "1,175", "forks": "28", "addStars": "84", "contributors": [ diff --git a/data/weekly/awk.xml b/data/weekly/awk.xml index 0d82b4372d44..4bea7a7a9c1a 100644 --- a/data/weekly/awk.xml +++ b/data/weekly/awk.xml @@ -3,7 +3,7 @@ GitHub Awk Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Awk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT x-cmd/x-cmd https://github.com/x-cmd/x-cmd @@ -11,7 +11,7 @@ https://github.com/x-cmd/x-cmd Awk #c30e9b - 1,172 + 1,175 28 84 diff --git a/data/weekly/ballerina.json b/data/weekly/ballerina.json index cb7a6d9a4f1e..95f0de1bcb17 100644 --- a/data/weekly/ballerina.json +++ b/data/weekly/ballerina.json @@ -2,7 +2,7 @@ "title": "GitHub Ballerina Languages Weekly Trending", "description": "Weekly Trending of Ballerina Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ballerina-platform/ballerina-lang", diff --git a/data/weekly/ballerina.xml b/data/weekly/ballerina.xml index b005275077fc..9e2d3f585a78 100644 --- a/data/weekly/ballerina.xml +++ b/data/weekly/ballerina.xml @@ -3,7 +3,7 @@ GitHub Ballerina Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ballerina Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ballerina-platform/ballerina-lang https://github.com/ballerina-platform/ballerina-lang diff --git a/data/weekly/basic.json b/data/weekly/basic.json index 3e2a15941a75..823821833f03 100644 --- a/data/weekly/basic.json +++ b/data/weekly/basic.json @@ -2,6 +2,6 @@ "title": "GitHub Basic Languages Weekly Trending", "description": "Weekly Trending of Basic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/basic.xml b/data/weekly/basic.xml index 9054bafff7c9..1eef2819d06e 100644 --- a/data/weekly/basic.xml +++ b/data/weekly/basic.xml @@ -3,6 +3,6 @@ GitHub Basic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Basic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/batchfile.json b/data/weekly/batchfile.json index 252dad2979c3..512b53127b51 100644 --- a/data/weekly/batchfile.json +++ b/data/weekly/batchfile.json @@ -2,16 +2,43 @@ "title": "GitHub Batchfile Languages Weekly Trending", "description": "Weekly Trending of Batchfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "lstprjct/IDM-Activation-Script", + "url": "https://github.com/lstprjct/IDM-Activation-Script", + "description": "IDM Activation & Trail Reset Script", + "language": "Batchfile", + "languageColor": "#C1F12E", + "stars": "10,021", + "forks": "1,466", + "addStars": "101", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/88411318?s=40&v=4", + "name": "lstprjct", + "url": "https://github.com/lstprjct" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/73337068?s=40&v=4", + "name": "LazyDevv", + "url": "https://github.com/LazyDevv" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/111123454?s=40&v=4", + "name": "sirpeealot", + "url": "https://github.com/sirpeealot" + } + ] + }, { "title": "enhorse/java-interview", "url": "https://github.com/enhorse/java-interview", "description": "Вопросы и ответы к интервью Java разработчика", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "5,259", - "forks": "1,912", + "stars": "5,260", + "forks": "1,914", "addStars": "9", "contributors": [ { @@ -69,66 +96,34 @@ ] }, { - "title": "lstprjct/IDM-Activation-Script", - "url": "https://github.com/lstprjct/IDM-Activation-Script", - "description": "IDM Activation & Trail Reset Script", - "language": "Batchfile", - "languageColor": "#C1F12E", - "stars": "10,018", - "forks": "1,463", - "addStars": "101", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/88411318?s=40&v=4", - "name": "lstprjct", - "url": "https://github.com/lstprjct" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73337068?s=40&v=4", - "name": "LazyDevv", - "url": "https://github.com/LazyDevv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/111123454?s=40&v=4", - "name": "sirpeealot", - "url": "https://github.com/sirpeealot" - } - ] - }, - { - "title": "ionuttbara/windows-defender-remover", - "url": "https://github.com/ionuttbara/windows-defender-remover", - "description": "A tool which is uses to remove Windows Defender in Windows 8.x, Windows 10 (every version) and Windows 11.", + "title": "awesome-windows11/windows11", + "url": "https://github.com/awesome-windows11/windows11", + "description": "🌎 Windows 11 Settings, Tweaks, Scripts", "language": "Batchfile", "languageColor": "#C1F12E", - "stars": "4,173", - "forks": "280", - "addStars": "70", + "stars": "2,206", + "forks": "121", + "addStars": "24", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/76656855?s=40&v=4", - "name": "ionuttbara", - "url": "https://github.com/ionuttbara" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1227781?s=40&v=4", - "name": "laramy2020", - "url": "https://github.com/laramy2020" + "avatar": "https://avatars.githubusercontent.com/u/87380272?s=40&v=4", + "name": "jestxfot", + "url": "https://github.com/jestxfot" }, { - "avatar": "https://avatars.githubusercontent.com/u/38593134?s=40&v=4", - "name": "drunkwinter", - "url": "https://github.com/drunkwinter" + "avatar": "https://avatars.githubusercontent.com/u/10544660?s=40&v=4", + "name": "farag2", + "url": "https://github.com/farag2" }, { - "avatar": "https://avatars.githubusercontent.com/u/952007?s=40&v=4", - "name": "szepeviktor", - "url": "https://github.com/szepeviktor" + "avatar": "https://avatars.githubusercontent.com/u/1519725?s=40&v=4", + "name": "ulquiomaru", + "url": "https://github.com/ulquiomaru" }, { - "avatar": "https://avatars.githubusercontent.com/u/9846948?s=40&v=4", - "name": "FadeMind", - "url": "https://github.com/FadeMind" + "avatar": "https://avatars.githubusercontent.com/u/4609678?s=40&v=4", + "name": "HUMENTH", + "url": "https://github.com/HUMENTH" } ] } diff --git a/data/weekly/batchfile.xml b/data/weekly/batchfile.xml index fd932419c9ee..20e3e404f5e1 100644 --- a/data/weekly/batchfile.xml +++ b/data/weekly/batchfile.xml @@ -3,7 +3,35 @@ GitHub Batchfile Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Batchfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + lstprjct/IDM-Activation-Script + https://github.com/lstprjct/IDM-Activation-Script + IDM Activation & Trail Reset Script + https://github.com/lstprjct/IDM-Activation-Script + Batchfile + #C1F12E + 10,021 + 1,466 + 101 + + + https://avatars.githubusercontent.com/u/88411318?s=40&v=4 + lstprjct + https://github.com/lstprjct + + + https://avatars.githubusercontent.com/u/73337068?s=40&v=4 + LazyDevv + https://github.com/LazyDevv + + + https://avatars.githubusercontent.com/u/111123454?s=40&v=4 + sirpeealot + https://github.com/sirpeealot + + + enhorse/java-interview https://github.com/enhorse/java-interview @@ -11,8 +39,8 @@ https://github.com/enhorse/java-interview Batchfile #C1F12E - 5,259 - 1,912 + 5,260 + 1,914 9 @@ -71,68 +99,35 @@ - lstprjct/IDM-Activation-Script - https://github.com/lstprjct/IDM-Activation-Script - IDM Activation & Trail Reset Script - https://github.com/lstprjct/IDM-Activation-Script - Batchfile - #C1F12E - 10,018 - 1,463 - 101 - - - https://avatars.githubusercontent.com/u/88411318?s=40&v=4 - lstprjct - https://github.com/lstprjct - - - https://avatars.githubusercontent.com/u/73337068?s=40&v=4 - LazyDevv - https://github.com/LazyDevv - - - https://avatars.githubusercontent.com/u/111123454?s=40&v=4 - sirpeealot - https://github.com/sirpeealot - - - - - ionuttbara/windows-defender-remover - https://github.com/ionuttbara/windows-defender-remover - A tool which is uses to remove Windows Defender in Windows 8.x, Windows 10 (every version) and Windows 11. - https://github.com/ionuttbara/windows-defender-remover + awesome-windows11/windows11 + https://github.com/awesome-windows11/windows11 + 🌎 Windows 11 Settings, Tweaks, Scripts + https://github.com/awesome-windows11/windows11 Batchfile #C1F12E - 4,173 - 280 - 70 + 2,206 + 121 + 24 - https://avatars.githubusercontent.com/u/76656855?s=40&v=4 - ionuttbara - https://github.com/ionuttbara - - - https://avatars.githubusercontent.com/u/1227781?s=40&v=4 - laramy2020 - https://github.com/laramy2020 + https://avatars.githubusercontent.com/u/87380272?s=40&v=4 + jestxfot + https://github.com/jestxfot - https://avatars.githubusercontent.com/u/38593134?s=40&v=4 - drunkwinter - https://github.com/drunkwinter + https://avatars.githubusercontent.com/u/10544660?s=40&v=4 + farag2 + https://github.com/farag2 - https://avatars.githubusercontent.com/u/952007?s=40&v=4 - szepeviktor - https://github.com/szepeviktor + https://avatars.githubusercontent.com/u/1519725?s=40&v=4 + ulquiomaru + https://github.com/ulquiomaru - https://avatars.githubusercontent.com/u/9846948?s=40&v=4 - FadeMind - https://github.com/FadeMind + https://avatars.githubusercontent.com/u/4609678?s=40&v=4 + HUMENTH + https://github.com/HUMENTH diff --git a/data/weekly/beef.json b/data/weekly/beef.json index 642ae9c0e5af..75a347e36fe1 100644 --- a/data/weekly/beef.json +++ b/data/weekly/beef.json @@ -2,6 +2,6 @@ "title": "GitHub Beef Languages Weekly Trending", "description": "Weekly Trending of Beef Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/beef.xml b/data/weekly/beef.xml index 4c2862b04e8f..8ad3fd12057d 100644 --- a/data/weekly/beef.xml +++ b/data/weekly/beef.xml @@ -3,6 +3,6 @@ GitHub Beef Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Beef Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/befunge.json b/data/weekly/befunge.json index 430cce6a7380..2fc452f411c9 100644 --- a/data/weekly/befunge.json +++ b/data/weekly/befunge.json @@ -2,6 +2,6 @@ "title": "GitHub Befunge Languages Weekly Trending", "description": "Weekly Trending of Befunge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/befunge.xml b/data/weekly/befunge.xml index d8b46f71988b..c02e89dcdb6f 100644 --- a/data/weekly/befunge.xml +++ b/data/weekly/befunge.xml @@ -3,6 +3,6 @@ GitHub Befunge Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Befunge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/berry.json b/data/weekly/berry.json index dc2d4468dedc..f34044bcccbb 100644 --- a/data/weekly/berry.json +++ b/data/weekly/berry.json @@ -2,6 +2,6 @@ "title": "GitHub Berry Languages Weekly Trending", "description": "Weekly Trending of Berry Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/berry.xml b/data/weekly/berry.xml index beb9c4e44279..43638f74470f 100644 --- a/data/weekly/berry.xml +++ b/data/weekly/berry.xml @@ -3,6 +3,6 @@ GitHub Berry Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Berry Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/bibtex.json b/data/weekly/bibtex.json index 8770fdde8c72..aa72126036f1 100644 --- a/data/weekly/bibtex.json +++ b/data/weekly/bibtex.json @@ -2,6 +2,6 @@ "title": "GitHub Bibtex Languages Weekly Trending", "description": "Weekly Trending of Bibtex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/bibtex.xml b/data/weekly/bibtex.xml index 8f7d6fe40a3d..20f0de208bca 100644 --- a/data/weekly/bibtex.xml +++ b/data/weekly/bibtex.xml @@ -3,6 +3,6 @@ GitHub Bibtex Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bibtex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/bicep.json b/data/weekly/bicep.json index 138e56be4021..59493dc46533 100644 --- a/data/weekly/bicep.json +++ b/data/weekly/bicep.json @@ -2,52 +2,15 @@ "title": "GitHub Bicep Languages Weekly Trending", "description": "Weekly Trending of Bicep Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "Azure-Samples/contoso-creative-writer", - "url": "https://github.com/Azure-Samples/contoso-creative-writer", - "description": "A creative writing multi-agent solution to help users write articles.", - "language": "Bicep", - "languageColor": "#519aba", - "stars": "230", - "forks": "1,081", - "addStars": "21", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/57748216?s=40&v=4", - "name": "marlenezw", - "url": "https://github.com/marlenezw" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/46505951?s=40&v=4", - "name": "cassiebreviu", - "url": "https://github.com/cassiebreviu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17804393?s=40&v=4", - "name": "qubitron", - "url": "https://github.com/qubitron" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33618?s=40&v=4", - "name": "cedricvidal", - "url": "https://github.com/cedricvidal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47988?s=40&v=4", - "name": "codebytes", - "url": "https://github.com/codebytes" - } - ] - }, { "title": "Azure/bicep-registry-modules", "url": "https://github.com/Azure/bicep-registry-modules", "description": "Bicep registry modules", "language": "Bicep", "languageColor": "#519aba", - "stars": "514", + "stars": "515", "forks": "362", "addStars": "5", "contributors": [ @@ -78,6 +41,43 @@ } ] }, + { + "title": "Azure-Samples/contoso-creative-writer", + "url": "https://github.com/Azure-Samples/contoso-creative-writer", + "description": "A creative writing multi-agent solution to help users write articles.", + "language": "Bicep", + "languageColor": "#519aba", + "stars": "230", + "forks": "1,080", + "addStars": "21", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/57748216?s=40&v=4", + "name": "marlenezw", + "url": "https://github.com/marlenezw" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46505951?s=40&v=4", + "name": "cassiebreviu", + "url": "https://github.com/cassiebreviu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17804393?s=40&v=4", + "name": "qubitron", + "url": "https://github.com/qubitron" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33618?s=40&v=4", + "name": "cedricvidal", + "url": "https://github.com/cedricvidal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47988?s=40&v=4", + "name": "codebytes", + "url": "https://github.com/codebytes" + } + ] + }, { "title": "Azure/azure-quickstart-templates", "url": "https://github.com/Azure/azure-quickstart-templates", @@ -185,39 +185,34 @@ ] }, { - "title": "Azure/GPT-RAG", - "url": "https://github.com/Azure/GPT-RAG", - "description": "Sharing the learning along the way we been gathering to enable Azure OpenAI at enterprise scale in a secure manner. GPT-RAG core is a Retrieval-Augmented Generation pattern running in Azure, using Azure Cognitive Search for retrieval and Azure OpenAI large language models to power ChatGPT-style and Q&A experiences.", + "title": "Azure/ALZ-Bicep", + "url": "https://github.com/Azure/ALZ-Bicep", + "description": "This repository contains the Azure Landing Zones (ALZ) Bicep modules that help deliver and deploy the Azure Landing Zone conceptual architecture in a modular approach. https://aka.ms/alz/docs", "language": "Bicep", "languageColor": "#519aba", - "stars": "883", - "forks": "182", - "addStars": "6", + "stars": "764", + "forks": "514", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6265211?s=40&v=4", - "name": "placerda", - "url": "https://github.com/placerda" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6539041?s=40&v=4", - "name": "gbecerra1982", - "url": "https://github.com/gbecerra1982" + "avatar": "https://avatars.githubusercontent.com/u/41163455?s=40&v=4", + "name": "jtracey93", + "url": "https://github.com/jtracey93" }, { - "avatar": "https://avatars.githubusercontent.com/u/46355540?s=40&v=4", - "name": "Martin-Sciarrillo", - "url": "https://github.com/Martin-Sciarrillo" + "avatar": "https://avatars.githubusercontent.com/u/30884663?s=40&v=4", + "name": "oZakari", + "url": "https://github.com/oZakari" }, { - "avatar": "https://avatars.githubusercontent.com/u/24213737?s=40&v=4", - "name": "vhvb1989", - "url": "https://github.com/vhvb1989" + "avatar": "https://avatars.githubusercontent.com/u/22591930?s=40&v=4", + "name": "jfaurskov", + "url": "https://github.com/jfaurskov" }, { - "avatar": "https://avatars.githubusercontent.com/u/156124776?s=40&v=4", - "name": "vladborys", - "url": "https://github.com/vladborys" + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" } ] }, @@ -258,38 +253,6 @@ } ] }, - { - "title": "Azure/ALZ-Bicep", - "url": "https://github.com/Azure/ALZ-Bicep", - "description": "This repository contains the Azure Landing Zones (ALZ) Bicep modules that help deliver and deploy the Azure Landing Zone conceptual architecture in a modular approach. https://aka.ms/alz/docs", - "language": "Bicep", - "languageColor": "#519aba", - "stars": "764", - "forks": "514", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/41163455?s=40&v=4", - "name": "jtracey93", - "url": "https://github.com/jtracey93" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30884663?s=40&v=4", - "name": "oZakari", - "url": "https://github.com/oZakari" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22591930?s=40&v=4", - "name": "jfaurskov", - "url": "https://github.com/jfaurskov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" - } - ] - }, { "title": "Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app", "url": "https://github.com/Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app", @@ -297,7 +260,7 @@ "language": "Bicep", "languageColor": "#519aba", "stars": "31", - "forks": "668", + "forks": "667", "addStars": "0", "contributors": [ { @@ -359,38 +322,6 @@ } ] }, - { - "title": "Azure-Samples/aks-store-demo", - "url": "https://github.com/Azure-Samples/aks-store-demo", - "description": "Sample microservices app for AKS demos, tutorials, and experiments", - "language": "Bicep", - "languageColor": "#519aba", - "stars": "164", - "forks": "296", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/45927715?s=40&v=4", - "name": "pauldotyu", - "url": "https://github.com/pauldotyu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10157853?s=40&v=4", - "name": "chzbrgr71", - "url": "https://github.com/chzbrgr71" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34049862?s=40&v=4", - "name": "mosabami", - "url": "https://github.com/mosabami" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4006985?s=40&v=4", - "name": "smurawski", - "url": "https://github.com/smurawski" - } - ] - }, { "title": "Azure/azure-monitor-baseline-alerts", "url": "https://github.com/Azure/azure-monitor-baseline-alerts", @@ -429,34 +360,71 @@ ] }, { - "title": "Azure-Samples/openai-chat-app-quickstart", - "url": "https://github.com/Azure-Samples/openai-chat-app-quickstart", - "description": "A simple chat application that uses managed identity for Azure OpenAI access. Designed for deployment on Azure Container Apps with the Azure Developer CLI.", + "title": "Azure-Samples/aks-store-demo", + "url": "https://github.com/Azure-Samples/aks-store-demo", + "description": "Sample microservices app for AKS demos, tutorials, and experiments", "language": "Bicep", "languageColor": "#519aba", - "stars": "167", - "forks": "103", - "addStars": "2", + "stars": "164", + "forks": "296", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/297042?s=40&v=4", - "name": "pamelafox", - "url": "https://github.com/pamelafox" + "avatar": "https://avatars.githubusercontent.com/u/45927715?s=40&v=4", + "name": "pauldotyu", + "url": "https://github.com/pauldotyu" }, { - "avatar": "https://avatars.githubusercontent.com/u/14188700?s=40&v=4", - "name": "achandmsft", - "url": "https://github.com/achandmsft" + "avatar": "https://avatars.githubusercontent.com/u/10157853?s=40&v=4", + "name": "chzbrgr71", + "url": "https://github.com/chzbrgr71" }, { - "avatar": "https://avatars.githubusercontent.com/u/174467815?s=40&v=4", - "name": "ms-johnalex", - "url": "https://github.com/ms-johnalex" + "avatar": "https://avatars.githubusercontent.com/u/34049862?s=40&v=4", + "name": "mosabami", + "url": "https://github.com/mosabami" }, { - "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", - "name": "microsoftopensource", - "url": "https://github.com/microsoftopensource" + "avatar": "https://avatars.githubusercontent.com/u/4006985?s=40&v=4", + "name": "smurawski", + "url": "https://github.com/smurawski" + } + ] + }, + { + "title": "Azure/GPT-RAG", + "url": "https://github.com/Azure/GPT-RAG", + "description": "Sharing the learning along the way we been gathering to enable Azure OpenAI at enterprise scale in a secure manner. GPT-RAG core is a Retrieval-Augmented Generation pattern running in Azure, using Azure Cognitive Search for retrieval and Azure OpenAI large language models to power ChatGPT-style and Q&A experiences.", + "language": "Bicep", + "languageColor": "#519aba", + "stars": "883", + "forks": "182", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6265211?s=40&v=4", + "name": "placerda", + "url": "https://github.com/placerda" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6539041?s=40&v=4", + "name": "gbecerra1982", + "url": "https://github.com/gbecerra1982" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/46355540?s=40&v=4", + "name": "Martin-Sciarrillo", + "url": "https://github.com/Martin-Sciarrillo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24213737?s=40&v=4", + "name": "vhvb1989", + "url": "https://github.com/vhvb1989" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/156124776?s=40&v=4", + "name": "vladborys", + "url": "https://github.com/vladborys" } ] } diff --git a/data/weekly/bicep.xml b/data/weekly/bicep.xml index e5ac2d8e109f..725c947f7fa3 100644 --- a/data/weekly/bicep.xml +++ b/data/weekly/bicep.xml @@ -3,45 +3,7 @@ GitHub Bicep Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bicep Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - Azure-Samples/contoso-creative-writer - https://github.com/Azure-Samples/contoso-creative-writer - A creative writing multi-agent solution to help users write articles. - https://github.com/Azure-Samples/contoso-creative-writer - Bicep - #519aba - 230 - 1,081 - 21 - - - https://avatars.githubusercontent.com/u/57748216?s=40&v=4 - marlenezw - https://github.com/marlenezw - - - https://avatars.githubusercontent.com/u/46505951?s=40&v=4 - cassiebreviu - https://github.com/cassiebreviu - - - https://avatars.githubusercontent.com/u/17804393?s=40&v=4 - qubitron - https://github.com/qubitron - - - https://avatars.githubusercontent.com/u/33618?s=40&v=4 - cedricvidal - https://github.com/cedricvidal - - - https://avatars.githubusercontent.com/u/47988?s=40&v=4 - codebytes - https://github.com/codebytes - - - + Mon, 25 Nov 2024 13:30:36 GMT Azure/bicep-registry-modules https://github.com/Azure/bicep-registry-modules @@ -49,7 +11,7 @@ https://github.com/Azure/bicep-registry-modules Bicep #519aba - 514 + 515 362 5 @@ -80,6 +42,44 @@ + + Azure-Samples/contoso-creative-writer + https://github.com/Azure-Samples/contoso-creative-writer + A creative writing multi-agent solution to help users write articles. + https://github.com/Azure-Samples/contoso-creative-writer + Bicep + #519aba + 230 + 1,080 + 21 + + + https://avatars.githubusercontent.com/u/57748216?s=40&v=4 + marlenezw + https://github.com/marlenezw + + + https://avatars.githubusercontent.com/u/46505951?s=40&v=4 + cassiebreviu + https://github.com/cassiebreviu + + + https://avatars.githubusercontent.com/u/17804393?s=40&v=4 + qubitron + https://github.com/qubitron + + + https://avatars.githubusercontent.com/u/33618?s=40&v=4 + cedricvidal + https://github.com/cedricvidal + + + https://avatars.githubusercontent.com/u/47988?s=40&v=4 + codebytes + https://github.com/codebytes + + + Azure/azure-quickstart-templates https://github.com/Azure/azure-quickstart-templates @@ -190,40 +190,35 @@ - Azure/GPT-RAG - https://github.com/Azure/GPT-RAG - Sharing the learning along the way we been gathering to enable Azure OpenAI at enterprise scale in a secure manner. GPT-RAG core is a Retrieval-Augmented Generation pattern running in Azure, using Azure Cognitive Search for retrieval and Azure OpenAI large language models to power ChatGPT-style and Q&A experiences. - https://github.com/Azure/GPT-RAG + Azure/ALZ-Bicep + https://github.com/Azure/ALZ-Bicep + This repository contains the Azure Landing Zones (ALZ) Bicep modules that help deliver and deploy the Azure Landing Zone conceptual architecture in a modular approach. https://aka.ms/alz/docs + https://github.com/Azure/ALZ-Bicep Bicep #519aba - 883 - 182 - 6 + 764 + 514 + 3 - https://avatars.githubusercontent.com/u/6265211?s=40&v=4 - placerda - https://github.com/placerda - - - https://avatars.githubusercontent.com/u/6539041?s=40&v=4 - gbecerra1982 - https://github.com/gbecerra1982 + https://avatars.githubusercontent.com/u/41163455?s=40&v=4 + jtracey93 + https://github.com/jtracey93 - https://avatars.githubusercontent.com/u/46355540?s=40&v=4 - Martin-Sciarrillo - https://github.com/Martin-Sciarrillo + https://avatars.githubusercontent.com/u/30884663?s=40&v=4 + oZakari + https://github.com/oZakari - https://avatars.githubusercontent.com/u/24213737?s=40&v=4 - vhvb1989 - https://github.com/vhvb1989 + https://avatars.githubusercontent.com/u/22591930?s=40&v=4 + jfaurskov + https://github.com/jfaurskov - https://avatars.githubusercontent.com/u/156124776?s=40&v=4 - vladborys - https://github.com/vladborys + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user @@ -265,39 +260,6 @@ - - Azure/ALZ-Bicep - https://github.com/Azure/ALZ-Bicep - This repository contains the Azure Landing Zones (ALZ) Bicep modules that help deliver and deploy the Azure Landing Zone conceptual architecture in a modular approach. https://aka.ms/alz/docs - https://github.com/Azure/ALZ-Bicep - Bicep - #519aba - 764 - 514 - 3 - - - https://avatars.githubusercontent.com/u/41163455?s=40&v=4 - jtracey93 - https://github.com/jtracey93 - - - https://avatars.githubusercontent.com/u/30884663?s=40&v=4 - oZakari - https://github.com/oZakari - - - https://avatars.githubusercontent.com/u/22591930?s=40&v=4 - jfaurskov - https://github.com/jfaurskov - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - - Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app https://github.com/Azure-Samples/msdocs-nodejs-mongodb-azure-sample-app @@ -306,7 +268,7 @@ Bicep #519aba 31 - 668 + 667 0 @@ -369,39 +331,6 @@ - - Azure-Samples/aks-store-demo - https://github.com/Azure-Samples/aks-store-demo - Sample microservices app for AKS demos, tutorials, and experiments - https://github.com/Azure-Samples/aks-store-demo - Bicep - #519aba - 164 - 296 - 1 - - - https://avatars.githubusercontent.com/u/45927715?s=40&v=4 - pauldotyu - https://github.com/pauldotyu - - - https://avatars.githubusercontent.com/u/10157853?s=40&v=4 - chzbrgr71 - https://github.com/chzbrgr71 - - - https://avatars.githubusercontent.com/u/34049862?s=40&v=4 - mosabami - https://github.com/mosabami - - - https://avatars.githubusercontent.com/u/4006985?s=40&v=4 - smurawski - https://github.com/smurawski - - - Azure/azure-monitor-baseline-alerts https://github.com/Azure/azure-monitor-baseline-alerts @@ -441,35 +370,73 @@ - Azure-Samples/openai-chat-app-quickstart - https://github.com/Azure-Samples/openai-chat-app-quickstart - A simple chat application that uses managed identity for Azure OpenAI access. Designed for deployment on Azure Container Apps with the Azure Developer CLI. - https://github.com/Azure-Samples/openai-chat-app-quickstart + Azure-Samples/aks-store-demo + https://github.com/Azure-Samples/aks-store-demo + Sample microservices app for AKS demos, tutorials, and experiments + https://github.com/Azure-Samples/aks-store-demo Bicep #519aba - 167 - 103 - 2 + 164 + 296 + 1 - https://avatars.githubusercontent.com/u/297042?s=40&v=4 - pamelafox - https://github.com/pamelafox + https://avatars.githubusercontent.com/u/45927715?s=40&v=4 + pauldotyu + https://github.com/pauldotyu - https://avatars.githubusercontent.com/u/14188700?s=40&v=4 - achandmsft - https://github.com/achandmsft + https://avatars.githubusercontent.com/u/10157853?s=40&v=4 + chzbrgr71 + https://github.com/chzbrgr71 - https://avatars.githubusercontent.com/u/174467815?s=40&v=4 - ms-johnalex - https://github.com/ms-johnalex + https://avatars.githubusercontent.com/u/34049862?s=40&v=4 + mosabami + https://github.com/mosabami - https://avatars.githubusercontent.com/u/22527892?s=40&v=4 - microsoftopensource - https://github.com/microsoftopensource + https://avatars.githubusercontent.com/u/4006985?s=40&v=4 + smurawski + https://github.com/smurawski + + + + + Azure/GPT-RAG + https://github.com/Azure/GPT-RAG + Sharing the learning along the way we been gathering to enable Azure OpenAI at enterprise scale in a secure manner. GPT-RAG core is a Retrieval-Augmented Generation pattern running in Azure, using Azure Cognitive Search for retrieval and Azure OpenAI large language models to power ChatGPT-style and Q&A experiences. + https://github.com/Azure/GPT-RAG + Bicep + #519aba + 883 + 182 + 6 + + + https://avatars.githubusercontent.com/u/6265211?s=40&v=4 + placerda + https://github.com/placerda + + + https://avatars.githubusercontent.com/u/6539041?s=40&v=4 + gbecerra1982 + https://github.com/gbecerra1982 + + + https://avatars.githubusercontent.com/u/46355540?s=40&v=4 + Martin-Sciarrillo + https://github.com/Martin-Sciarrillo + + + https://avatars.githubusercontent.com/u/24213737?s=40&v=4 + vhvb1989 + https://github.com/vhvb1989 + + + https://avatars.githubusercontent.com/u/156124776?s=40&v=4 + vladborys + https://github.com/vladborys diff --git a/data/weekly/bikeshed.json b/data/weekly/bikeshed.json index 545dcbd67661..bb0d24b0b8f4 100644 --- a/data/weekly/bikeshed.json +++ b/data/weekly/bikeshed.json @@ -2,7 +2,7 @@ "title": "GitHub Bikeshed Languages Weekly Trending", "description": "Weekly Trending of Bikeshed Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "w3c/csswg-drafts", @@ -47,7 +47,7 @@ "description": "Where the GPU for the Web work happens!", "language": "Bikeshed", "languageColor": "#5562ac", - "stars": "4,848", + "stars": "4,849", "forks": "321", "addStars": "11", "contributors": [ @@ -173,70 +173,6 @@ "url": "https://github.com/Malvoz" } ] - }, - { - "title": "WICG/storage-buckets", - "url": "https://github.com/WICG/storage-buckets", - "description": "API proposal for managing multiple storage buckets", - "language": "Bikeshed", - "languageColor": "#5562ac", - "stars": "45", - "forks": "20", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/48255136?s=40&v=4", - "name": "ayuishii", - "url": "https://github.com/ayuishii" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11460?s=40&v=4", - "name": "pwnall", - "url": "https://github.com/pwnall" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1127449?s=40&v=4", - "name": "evanstade", - "url": "https://github.com/evanstade" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/83420?s=40&v=4", - "name": "jyasskin", - "url": "https://github.com/jyasskin" - } - ] - }, - { - "title": "WebBluetoothCG/web-bluetooth", - "url": "https://github.com/WebBluetoothCG/web-bluetooth", - "description": "Bluetooth support for the Web.", - "language": "Bikeshed", - "languageColor": "#5562ac", - "stars": "1,396", - "forks": "189", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/83420?s=40&v=4", - "name": "jyasskin", - "url": "https://github.com/jyasskin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/634478?s=40&v=4", - "name": "beaufortfrancois", - "url": "https://github.com/beaufortfrancois" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/386971?s=40&v=4", - "name": "scheib", - "url": "https://github.com/scheib" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/152137?s=40&v=4", - "name": "reillyeon", - "url": "https://github.com/reillyeon" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/bikeshed.xml b/data/weekly/bikeshed.xml index 3792404fef1e..c05d552c0e0c 100644 --- a/data/weekly/bikeshed.xml +++ b/data/weekly/bikeshed.xml @@ -3,7 +3,7 @@ GitHub Bikeshed Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bikeshed Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT w3c/csswg-drafts https://github.com/w3c/csswg-drafts @@ -49,7 +49,7 @@ https://github.com/gpuweb/gpuweb Bikeshed #5562ac - 4,848 + 4,849 321 11 @@ -179,71 +179,5 @@ - - WICG/storage-buckets - https://github.com/WICG/storage-buckets - API proposal for managing multiple storage buckets - https://github.com/WICG/storage-buckets - Bikeshed - #5562ac - 45 - 20 - 1 - - - https://avatars.githubusercontent.com/u/48255136?s=40&v=4 - ayuishii - https://github.com/ayuishii - - - https://avatars.githubusercontent.com/u/11460?s=40&v=4 - pwnall - https://github.com/pwnall - - - https://avatars.githubusercontent.com/u/1127449?s=40&v=4 - evanstade - https://github.com/evanstade - - - https://avatars.githubusercontent.com/u/83420?s=40&v=4 - jyasskin - https://github.com/jyasskin - - - - - WebBluetoothCG/web-bluetooth - https://github.com/WebBluetoothCG/web-bluetooth - Bluetooth support for the Web. - https://github.com/WebBluetoothCG/web-bluetooth - Bikeshed - #5562ac - 1,396 - 189 - 3 - - - https://avatars.githubusercontent.com/u/83420?s=40&v=4 - jyasskin - https://github.com/jyasskin - - - https://avatars.githubusercontent.com/u/634478?s=40&v=4 - beaufortfrancois - https://github.com/beaufortfrancois - - - https://avatars.githubusercontent.com/u/386971?s=40&v=4 - scheib - https://github.com/scheib - - - https://avatars.githubusercontent.com/u/152137?s=40&v=4 - reillyeon - https://github.com/reillyeon - - - \ No newline at end of file diff --git a/data/weekly/bison.json b/data/weekly/bison.json index 98d1425aba03..b0262bd0cdb6 100644 --- a/data/weekly/bison.json +++ b/data/weekly/bison.json @@ -2,6 +2,6 @@ "title": "GitHub Bison Languages Weekly Trending", "description": "Weekly Trending of Bison Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/bison.xml b/data/weekly/bison.xml index 89aa474a0c7c..38e0716d8830 100644 --- a/data/weekly/bison.xml +++ b/data/weekly/bison.xml @@ -3,6 +3,6 @@ GitHub Bison Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bison Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/bitbake.json b/data/weekly/bitbake.json index 7f44d47d9d4a..cc5b2535a0c4 100644 --- a/data/weekly/bitbake.json +++ b/data/weekly/bitbake.json @@ -2,7 +2,7 @@ "title": "GitHub Bitbake Languages Weekly Trending", "description": "Weekly Trending of Bitbake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "openembedded/meta-openembedded", @@ -152,117 +152,6 @@ } ] }, - { - "title": "openembedded/openembedded-core", - "url": "https://github.com/openembedded/openembedded-core", - "description": "The official Git repository is at https://git.openembedded.org/openembedded-core/.", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "364", - "forks": "499", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1184070?s=40&v=4", - "name": "rpurdie", - "url": "https://github.com/rpurdie" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", - "name": "rossburton", - "url": "https://github.com/rossburton" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1011938?s=40&v=4", - "name": "kanavin", - "url": "https://github.com/kanavin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", - "name": "kraj", - "url": "https://github.com/kraj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", - "name": "wangmingyu84", - "url": "https://github.com/wangmingyu84" - } - ] - }, - { - "title": "Freescale/meta-freescale-3rdparty", - "url": "https://github.com/Freescale/meta-freescale-3rdparty", - "description": "OpenEmbedded/Yocto BSP layer for Freescale's ARM based platforms", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "92", - "forks": "512", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", - "name": "otavio", - "url": "https://github.com/otavio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2940537?s=40&v=4", - "name": "fbertux", - "url": "https://github.com/fbertux" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9402633?s=40&v=4", - "name": "MaxKrummenacher", - "url": "https://github.com/MaxKrummenacher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1485212?s=40&v=4", - "name": "ericnelsonaz", - "url": "https://github.com/ericnelsonaz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8184161?s=40&v=4", - "name": "iancoolidge89", - "url": "https://github.com/iancoolidge89" - } - ] - }, - { - "title": "meta-rust/meta-rust", - "url": "https://github.com/meta-rust/meta-rust", - "description": "OpenEmbedded/Yocto layer for Rust and Cargo", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "208", - "forks": "124", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/103437?s=40&v=4", - "name": "codyps", - "url": "https://github.com/codyps" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8839?s=40&v=4", - "name": "cardoe", - "url": "https://github.com/cardoe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79127?s=40&v=4", - "name": "srwalter", - "url": "https://github.com/srwalter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9665276?s=40&v=4", - "name": "derekstraka", - "url": "https://github.com/derekstraka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1528839?s=40&v=4", - "name": "janderholm", - "url": "https://github.com/janderholm" - } - ] - }, { "title": "rust-embedded/meta-rust-bin", "url": "https://github.com/rust-embedded/meta-rust-bin", @@ -338,39 +227,39 @@ ] }, { - "title": "kraj/meta-clang", - "url": "https://github.com/kraj/meta-clang", - "description": "Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project", + "title": "Freescale/meta-freescale-3rdparty", + "url": "https://github.com/Freescale/meta-freescale-3rdparty", + "description": "OpenEmbedded/Yocto BSP layer for Freescale's ARM based platforms", "language": "BitBake", "languageColor": "#00bce4", - "stars": "162", - "forks": "206", + "stars": "92", + "forks": "512", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", - "name": "kraj", - "url": "https://github.com/kraj" + "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", + "name": "otavio", + "url": "https://github.com/otavio" }, { - "avatar": "https://avatars.githubusercontent.com/u/1234642?s=40&v=4", - "name": "shr-project", - "url": "https://github.com/shr-project" + "avatar": "https://avatars.githubusercontent.com/u/2940537?s=40&v=4", + "name": "fbertux", + "url": "https://github.com/fbertux" }, { - "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", - "name": "rossburton", - "url": "https://github.com/rossburton" + "avatar": "https://avatars.githubusercontent.com/u/9402633?s=40&v=4", + "name": "MaxKrummenacher", + "url": "https://github.com/MaxKrummenacher" }, { - "avatar": "https://avatars.githubusercontent.com/u/179131?s=40&v=4", - "name": "dankm", - "url": "https://github.com/dankm" + "avatar": "https://avatars.githubusercontent.com/u/1485212?s=40&v=4", + "name": "ericnelsonaz", + "url": "https://github.com/ericnelsonaz" }, { - "avatar": "https://avatars.githubusercontent.com/u/9674237?s=40&v=4", - "name": "Ecordonnier", - "url": "https://github.com/Ecordonnier" + "avatar": "https://avatars.githubusercontent.com/u/8184161?s=40&v=4", + "name": "iancoolidge89", + "url": "https://github.com/iancoolidge89" } ] }, @@ -412,76 +301,76 @@ ] }, { - "title": "Freescale/meta-freescale", - "url": "https://github.com/Freescale/meta-freescale", - "description": "Layer containing NXP hardware support metadata", + "title": "openembedded/openembedded-core", + "url": "https://github.com/openembedded/openembedded-core", + "description": "The official Git repository is at https://git.openembedded.org/openembedded-core/.", "language": "BitBake", "languageColor": "#00bce4", - "stars": "174", - "forks": "258", + "stars": "364", + "forks": "499", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", - "name": "otavio", - "url": "https://github.com/otavio" + "avatar": "https://avatars.githubusercontent.com/u/1184070?s=40&v=4", + "name": "rpurdie", + "url": "https://github.com/rpurdie" }, { - "avatar": "https://avatars.githubusercontent.com/u/42187149?s=40&v=4", - "name": "thochstein", - "url": "https://github.com/thochstein" + "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", + "name": "rossburton", + "url": "https://github.com/rossburton" }, { - "avatar": "https://avatars.githubusercontent.com/u/29722247?s=40&v=4", - "name": "guochunrong", - "url": "https://github.com/guochunrong" + "avatar": "https://avatars.githubusercontent.com/u/1011938?s=40&v=4", + "name": "kanavin", + "url": "https://github.com/kanavin" }, { - "avatar": "https://avatars.githubusercontent.com/u/13019911?s=40&v=4", - "name": "zandrey", - "url": "https://github.com/zandrey" + "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", + "name": "kraj", + "url": "https://github.com/kraj" }, { - "avatar": "https://avatars.githubusercontent.com/u/20961645?s=40&v=4", - "name": "ting-liu", - "url": "https://github.com/ting-liu" + "avatar": "https://avatars.githubusercontent.com/u/37888291?s=40&v=4", + "name": "wangmingyu84", + "url": "https://github.com/wangmingyu84" } ] }, { - "title": "meta-qt5/meta-qt5", - "url": "https://github.com/meta-qt5/meta-qt5", - "description": "QT5 layer for openembedded", + "title": "meta-rust/meta-rust", + "url": "https://github.com/meta-rust/meta-rust", + "description": "OpenEmbedded/Yocto layer for Rust and Cargo", "language": "BitBake", "languageColor": "#00bce4", - "stars": "260", - "forks": "329", - "addStars": "1", + "stars": "208", + "forks": "124", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1234642?s=40&v=4", - "name": "shr-project", - "url": "https://github.com/shr-project" + "avatar": "https://avatars.githubusercontent.com/u/103437?s=40&v=4", + "name": "codyps", + "url": "https://github.com/codyps" }, { - "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", - "name": "kraj", - "url": "https://github.com/kraj" + "avatar": "https://avatars.githubusercontent.com/u/8839?s=40&v=4", + "name": "cardoe", + "url": "https://github.com/cardoe" }, { - "avatar": "https://avatars.githubusercontent.com/u/13902279?s=40&v=4", - "name": "sapiippo", - "url": "https://github.com/sapiippo" + "avatar": "https://avatars.githubusercontent.com/u/79127?s=40&v=4", + "name": "srwalter", + "url": "https://github.com/srwalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/2571823?s=40&v=4", - "name": "schnitzeltony", - "url": "https://github.com/schnitzeltony" + "avatar": "https://avatars.githubusercontent.com/u/9665276?s=40&v=4", + "name": "derekstraka", + "url": "https://github.com/derekstraka" }, { - "avatar": "https://avatars.githubusercontent.com/u/884177?s=40&v=4", - "name": "net147", - "url": "https://github.com/net147" + "avatar": "https://avatars.githubusercontent.com/u/1528839?s=40&v=4", + "name": "janderholm", + "url": "https://github.com/janderholm" } ] }, @@ -523,52 +412,15 @@ ] }, { - "title": "mendersoftware/meta-mender", - "url": "https://github.com/mendersoftware/meta-mender", - "description": "Yocto Project meta layer for the Mender client", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "167", - "forks": "191", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4037094?s=40&v=4", - "name": "kacf", - "url": "https://github.com/kacf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3168644?s=40&v=4", - "name": "lluiscampos", - "url": "https://github.com/lluiscampos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29454137?s=40&v=4", - "name": "oleorhagen", - "url": "https://github.com/oleorhagen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41870?s=40&v=4", - "name": "bboozzoo", - "url": "https://github.com/bboozzoo" - } - ] - }, - { - "title": "OSSystems/meta-browser", - "url": "https://github.com/OSSystems/meta-browser", - "description": "OpenEmbedded/Yocto BSP layer for Web Browsers", + "title": "kraj/meta-clang", + "url": "https://github.com/kraj/meta-clang", + "description": "Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project", "language": "BitBake", "languageColor": "#00bce4", - "stars": "186", - "forks": "195", + "stars": "162", + "forks": "206", "addStars": "0", "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", - "name": "otavio", - "url": "https://github.com/otavio" - }, { "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", "name": "kraj", @@ -580,31 +432,36 @@ "url": "https://github.com/shr-project" }, { - "avatar": "https://avatars.githubusercontent.com/u/5306904?s=40&v=4", - "name": "msisov", - "url": "https://github.com/msisov" + "avatar": "https://avatars.githubusercontent.com/u/32394?s=40&v=4", + "name": "rossburton", + "url": "https://github.com/rossburton" }, { - "avatar": "https://avatars.githubusercontent.com/u/93915379?s=40&v=4", - "name": "MaxIhlenfeldt", - "url": "https://github.com/MaxIhlenfeldt" + "avatar": "https://avatars.githubusercontent.com/u/179131?s=40&v=4", + "name": "dankm", + "url": "https://github.com/dankm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9674237?s=40&v=4", + "name": "Ecordonnier", + "url": "https://github.com/Ecordonnier" } ] }, { - "title": "nxp-imx/meta-imx", - "url": "https://github.com/nxp-imx/meta-imx", - "description": "i.MX Yocto Project i.MX BSP Layer", + "title": "Freescale/meta-freescale", + "url": "https://github.com/Freescale/meta-freescale", + "description": "Layer containing NXP hardware support metadata", "language": "BitBake", "languageColor": "#00bce4", - "stars": "61", - "forks": "74", - "addStars": "0", + "stars": "174", + "forks": "258", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37591159?s=40&v=4", - "name": "laurenpost", - "url": "https://github.com/laurenpost" + "avatar": "https://avatars.githubusercontent.com/u/25278?s=40&v=4", + "name": "otavio", + "url": "https://github.com/otavio" }, { "avatar": "https://avatars.githubusercontent.com/u/42187149?s=40&v=4", @@ -612,93 +469,56 @@ "url": "https://github.com/thochstein" }, { - "avatar": "https://avatars.githubusercontent.com/u/34228843?s=40&v=4", - "name": "junzhuimx", - "url": "https://github.com/junzhuimx" + "avatar": "https://avatars.githubusercontent.com/u/29722247?s=40&v=4", + "name": "guochunrong", + "url": "https://github.com/guochunrong" }, { - "avatar": "https://avatars.githubusercontent.com/u/33496511?s=40&v=4", - "name": "neenareddi", - "url": "https://github.com/neenareddi" + "avatar": "https://avatars.githubusercontent.com/u/13019911?s=40&v=4", + "name": "zandrey", + "url": "https://github.com/zandrey" }, { - "avatar": "https://avatars.githubusercontent.com/u/50397761?s=40&v=4", - "name": "CarolZhuYuqing", - "url": "https://github.com/CarolZhuYuqing" + "avatar": "https://avatars.githubusercontent.com/u/20961645?s=40&v=4", + "name": "ting-liu", + "url": "https://github.com/ting-liu" } ] }, { - "title": "OE4T/meta-tegra", - "url": "https://github.com/OE4T/meta-tegra", - "description": "BSP layer for NVIDIA Jetson platforms, based on L4T", + "title": "meta-qt5/meta-qt5", + "url": "https://github.com/meta-qt5/meta-qt5", + "description": "QT5 layer for openembedded", "language": "BitBake", "languageColor": "#00bce4", - "stars": "419", - "forks": "230", - "addStars": "3", + "stars": "260", + "forks": "329", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3137284?s=40&v=4", - "name": "madisongh", - "url": "https://github.com/madisongh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48104?s=40&v=4", - "name": "kekiefer", - "url": "https://github.com/kekiefer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15719516?s=40&v=4", - "name": "ichergui", - "url": "https://github.com/ichergui" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/227565?s=40&v=4", - "name": "dwalkes", - "url": "https://github.com/dwalkes" + "avatar": "https://avatars.githubusercontent.com/u/1234642?s=40&v=4", + "name": "shr-project", + "url": "https://github.com/shr-project" }, { "avatar": "https://avatars.githubusercontent.com/u/465279?s=40&v=4", "name": "kraj", "url": "https://github.com/kraj" - } - ] - }, - { - "title": "rauc/meta-rauc", - "url": "https://github.com/rauc/meta-rauc", - "description": "Yocto/Open Embedded meta layer for RAUC, the embedded Linux update framework", - "language": "BitBake", - "languageColor": "#00bce4", - "stars": "166", - "forks": "92", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1416326?s=40&v=4", - "name": "ejoerns", - "url": "https://github.com/ejoerns" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3678062?s=40&v=4", - "name": "jluebbe", - "url": "https://github.com/jluebbe" }, { - "avatar": "https://avatars.githubusercontent.com/u/19584951?s=40&v=4", - "name": "OnkelUlla", - "url": "https://github.com/OnkelUlla" + "avatar": "https://avatars.githubusercontent.com/u/13902279?s=40&v=4", + "name": "sapiippo", + "url": "https://github.com/sapiippo" }, { - "avatar": "https://avatars.githubusercontent.com/u/31402500?s=40&v=4", - "name": "mschwan-phytec", - "url": "https://github.com/mschwan-phytec" + "avatar": "https://avatars.githubusercontent.com/u/2571823?s=40&v=4", + "name": "schnitzeltony", + "url": "https://github.com/schnitzeltony" }, { - "avatar": "https://avatars.githubusercontent.com/u/19491045?s=40&v=4", - "name": "mnhu", - "url": "https://github.com/mnhu" + "avatar": "https://avatars.githubusercontent.com/u/884177?s=40&v=4", + "name": "net147", + "url": "https://github.com/net147" } ] } diff --git a/data/weekly/bitbake.xml b/data/weekly/bitbake.xml index 774209bf178d..99f1d473ad79 100644 --- a/data/weekly/bitbake.xml +++ b/data/weekly/bitbake.xml @@ -3,7 +3,7 @@ GitHub Bitbake Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bitbake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT openembedded/meta-openembedded https://github.com/openembedded/meta-openembedded @@ -156,120 +156,6 @@ - - openembedded/openembedded-core - https://github.com/openembedded/openembedded-core - The official Git repository is at https://git.openembedded.org/openembedded-core/. - https://github.com/openembedded/openembedded-core - BitBake - #00bce4 - 364 - 499 - 1 - - - https://avatars.githubusercontent.com/u/1184070?s=40&v=4 - rpurdie - https://github.com/rpurdie - - - https://avatars.githubusercontent.com/u/32394?s=40&v=4 - rossburton - https://github.com/rossburton - - - https://avatars.githubusercontent.com/u/1011938?s=40&v=4 - kanavin - https://github.com/kanavin - - - https://avatars.githubusercontent.com/u/465279?s=40&v=4 - kraj - https://github.com/kraj - - - https://avatars.githubusercontent.com/u/37888291?s=40&v=4 - wangmingyu84 - https://github.com/wangmingyu84 - - - - - Freescale/meta-freescale-3rdparty - https://github.com/Freescale/meta-freescale-3rdparty - OpenEmbedded/Yocto BSP layer for Freescale's ARM based platforms - https://github.com/Freescale/meta-freescale-3rdparty - BitBake - #00bce4 - 92 - 512 - 0 - - - https://avatars.githubusercontent.com/u/25278?s=40&v=4 - otavio - https://github.com/otavio - - - https://avatars.githubusercontent.com/u/2940537?s=40&v=4 - fbertux - https://github.com/fbertux - - - https://avatars.githubusercontent.com/u/9402633?s=40&v=4 - MaxKrummenacher - https://github.com/MaxKrummenacher - - - https://avatars.githubusercontent.com/u/1485212?s=40&v=4 - ericnelsonaz - https://github.com/ericnelsonaz - - - https://avatars.githubusercontent.com/u/8184161?s=40&v=4 - iancoolidge89 - https://github.com/iancoolidge89 - - - - - meta-rust/meta-rust - https://github.com/meta-rust/meta-rust - OpenEmbedded/Yocto layer for Rust and Cargo - https://github.com/meta-rust/meta-rust - BitBake - #00bce4 - 208 - 124 - 0 - - - https://avatars.githubusercontent.com/u/103437?s=40&v=4 - codyps - https://github.com/codyps - - - https://avatars.githubusercontent.com/u/8839?s=40&v=4 - cardoe - https://github.com/cardoe - - - https://avatars.githubusercontent.com/u/79127?s=40&v=4 - srwalter - https://github.com/srwalter - - - https://avatars.githubusercontent.com/u/9665276?s=40&v=4 - derekstraka - https://github.com/derekstraka - - - https://avatars.githubusercontent.com/u/1528839?s=40&v=4 - janderholm - https://github.com/janderholm - - - rust-embedded/meta-rust-bin https://github.com/rust-embedded/meta-rust-bin @@ -347,40 +233,40 @@ - kraj/meta-clang - https://github.com/kraj/meta-clang - Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project - https://github.com/kraj/meta-clang + Freescale/meta-freescale-3rdparty + https://github.com/Freescale/meta-freescale-3rdparty + OpenEmbedded/Yocto BSP layer for Freescale's ARM based platforms + https://github.com/Freescale/meta-freescale-3rdparty BitBake #00bce4 - 162 - 206 + 92 + 512 0 - https://avatars.githubusercontent.com/u/465279?s=40&v=4 - kraj - https://github.com/kraj + https://avatars.githubusercontent.com/u/25278?s=40&v=4 + otavio + https://github.com/otavio - https://avatars.githubusercontent.com/u/1234642?s=40&v=4 - shr-project - https://github.com/shr-project + https://avatars.githubusercontent.com/u/2940537?s=40&v=4 + fbertux + https://github.com/fbertux - https://avatars.githubusercontent.com/u/32394?s=40&v=4 - rossburton - https://github.com/rossburton + https://avatars.githubusercontent.com/u/9402633?s=40&v=4 + MaxKrummenacher + https://github.com/MaxKrummenacher - https://avatars.githubusercontent.com/u/179131?s=40&v=4 - dankm - https://github.com/dankm + https://avatars.githubusercontent.com/u/1485212?s=40&v=4 + ericnelsonaz + https://github.com/ericnelsonaz - https://avatars.githubusercontent.com/u/9674237?s=40&v=4 - Ecordonnier - https://github.com/Ecordonnier + https://avatars.githubusercontent.com/u/8184161?s=40&v=4 + iancoolidge89 + https://github.com/iancoolidge89 @@ -423,78 +309,78 @@ - Freescale/meta-freescale - https://github.com/Freescale/meta-freescale - Layer containing NXP hardware support metadata - https://github.com/Freescale/meta-freescale + openembedded/openembedded-core + https://github.com/openembedded/openembedded-core + The official Git repository is at https://git.openembedded.org/openembedded-core/. + https://github.com/openembedded/openembedded-core BitBake #00bce4 - 174 - 258 + 364 + 499 1 - https://avatars.githubusercontent.com/u/25278?s=40&v=4 - otavio - https://github.com/otavio + https://avatars.githubusercontent.com/u/1184070?s=40&v=4 + rpurdie + https://github.com/rpurdie - https://avatars.githubusercontent.com/u/42187149?s=40&v=4 - thochstein - https://github.com/thochstein + https://avatars.githubusercontent.com/u/32394?s=40&v=4 + rossburton + https://github.com/rossburton - https://avatars.githubusercontent.com/u/29722247?s=40&v=4 - guochunrong - https://github.com/guochunrong + https://avatars.githubusercontent.com/u/1011938?s=40&v=4 + kanavin + https://github.com/kanavin - https://avatars.githubusercontent.com/u/13019911?s=40&v=4 - zandrey - https://github.com/zandrey + https://avatars.githubusercontent.com/u/465279?s=40&v=4 + kraj + https://github.com/kraj - https://avatars.githubusercontent.com/u/20961645?s=40&v=4 - ting-liu - https://github.com/ting-liu + https://avatars.githubusercontent.com/u/37888291?s=40&v=4 + wangmingyu84 + https://github.com/wangmingyu84 - meta-qt5/meta-qt5 - https://github.com/meta-qt5/meta-qt5 - QT5 layer for openembedded - https://github.com/meta-qt5/meta-qt5 + meta-rust/meta-rust + https://github.com/meta-rust/meta-rust + OpenEmbedded/Yocto layer for Rust and Cargo + https://github.com/meta-rust/meta-rust BitBake #00bce4 - 260 - 329 - 1 + 208 + 124 + 0 - https://avatars.githubusercontent.com/u/1234642?s=40&v=4 - shr-project - https://github.com/shr-project + https://avatars.githubusercontent.com/u/103437?s=40&v=4 + codyps + https://github.com/codyps - https://avatars.githubusercontent.com/u/465279?s=40&v=4 - kraj - https://github.com/kraj + https://avatars.githubusercontent.com/u/8839?s=40&v=4 + cardoe + https://github.com/cardoe - https://avatars.githubusercontent.com/u/13902279?s=40&v=4 - sapiippo - https://github.com/sapiippo + https://avatars.githubusercontent.com/u/79127?s=40&v=4 + srwalter + https://github.com/srwalter - https://avatars.githubusercontent.com/u/2571823?s=40&v=4 - schnitzeltony - https://github.com/schnitzeltony + https://avatars.githubusercontent.com/u/9665276?s=40&v=4 + derekstraka + https://github.com/derekstraka - https://avatars.githubusercontent.com/u/884177?s=40&v=4 - net147 - https://github.com/net147 + https://avatars.githubusercontent.com/u/1528839?s=40&v=4 + janderholm + https://github.com/janderholm @@ -537,54 +423,16 @@ - mendersoftware/meta-mender - https://github.com/mendersoftware/meta-mender - Yocto Project meta layer for the Mender client - https://github.com/mendersoftware/meta-mender - BitBake - #00bce4 - 167 - 191 - 1 - - - https://avatars.githubusercontent.com/u/4037094?s=40&v=4 - kacf - https://github.com/kacf - - - https://avatars.githubusercontent.com/u/3168644?s=40&v=4 - lluiscampos - https://github.com/lluiscampos - - - https://avatars.githubusercontent.com/u/29454137?s=40&v=4 - oleorhagen - https://github.com/oleorhagen - - - https://avatars.githubusercontent.com/u/41870?s=40&v=4 - bboozzoo - https://github.com/bboozzoo - - - - - OSSystems/meta-browser - https://github.com/OSSystems/meta-browser - OpenEmbedded/Yocto BSP layer for Web Browsers - https://github.com/OSSystems/meta-browser + kraj/meta-clang + https://github.com/kraj/meta-clang + Clang C/C++ cross compiler and runtime for OpenEmbedded/Yocto Project + https://github.com/kraj/meta-clang BitBake #00bce4 - 186 - 195 + 162 + 206 0 - - https://avatars.githubusercontent.com/u/25278?s=40&v=4 - otavio - https://github.com/otavio - https://avatars.githubusercontent.com/u/465279?s=40&v=4 kraj @@ -596,32 +444,37 @@ https://github.com/shr-project - https://avatars.githubusercontent.com/u/5306904?s=40&v=4 - msisov - https://github.com/msisov + https://avatars.githubusercontent.com/u/32394?s=40&v=4 + rossburton + https://github.com/rossburton + + + https://avatars.githubusercontent.com/u/179131?s=40&v=4 + dankm + https://github.com/dankm - https://avatars.githubusercontent.com/u/93915379?s=40&v=4 - MaxIhlenfeldt - https://github.com/MaxIhlenfeldt + https://avatars.githubusercontent.com/u/9674237?s=40&v=4 + Ecordonnier + https://github.com/Ecordonnier - nxp-imx/meta-imx - https://github.com/nxp-imx/meta-imx - i.MX Yocto Project i.MX BSP Layer - https://github.com/nxp-imx/meta-imx + Freescale/meta-freescale + https://github.com/Freescale/meta-freescale + Layer containing NXP hardware support metadata + https://github.com/Freescale/meta-freescale BitBake #00bce4 - 61 - 74 - 0 + 174 + 258 + 1 - https://avatars.githubusercontent.com/u/37591159?s=40&v=4 - laurenpost - https://github.com/laurenpost + https://avatars.githubusercontent.com/u/25278?s=40&v=4 + otavio + https://github.com/otavio https://avatars.githubusercontent.com/u/42187149?s=40&v=4 @@ -629,95 +482,57 @@ https://github.com/thochstein - https://avatars.githubusercontent.com/u/34228843?s=40&v=4 - junzhuimx - https://github.com/junzhuimx + https://avatars.githubusercontent.com/u/29722247?s=40&v=4 + guochunrong + https://github.com/guochunrong - https://avatars.githubusercontent.com/u/33496511?s=40&v=4 - neenareddi - https://github.com/neenareddi + https://avatars.githubusercontent.com/u/13019911?s=40&v=4 + zandrey + https://github.com/zandrey - https://avatars.githubusercontent.com/u/50397761?s=40&v=4 - CarolZhuYuqing - https://github.com/CarolZhuYuqing + https://avatars.githubusercontent.com/u/20961645?s=40&v=4 + ting-liu + https://github.com/ting-liu - OE4T/meta-tegra - https://github.com/OE4T/meta-tegra - BSP layer for NVIDIA Jetson platforms, based on L4T - https://github.com/OE4T/meta-tegra + meta-qt5/meta-qt5 + https://github.com/meta-qt5/meta-qt5 + QT5 layer for openembedded + https://github.com/meta-qt5/meta-qt5 BitBake #00bce4 - 419 - 230 - 3 + 260 + 329 + 1 - https://avatars.githubusercontent.com/u/3137284?s=40&v=4 - madisongh - https://github.com/madisongh - - - https://avatars.githubusercontent.com/u/48104?s=40&v=4 - kekiefer - https://github.com/kekiefer - - - https://avatars.githubusercontent.com/u/15719516?s=40&v=4 - ichergui - https://github.com/ichergui - - - https://avatars.githubusercontent.com/u/227565?s=40&v=4 - dwalkes - https://github.com/dwalkes + https://avatars.githubusercontent.com/u/1234642?s=40&v=4 + shr-project + https://github.com/shr-project https://avatars.githubusercontent.com/u/465279?s=40&v=4 kraj https://github.com/kraj - - - - rauc/meta-rauc - https://github.com/rauc/meta-rauc - Yocto/Open Embedded meta layer for RAUC, the embedded Linux update framework - https://github.com/rauc/meta-rauc - BitBake - #00bce4 - 166 - 92 - 1 - - https://avatars.githubusercontent.com/u/1416326?s=40&v=4 - ejoerns - https://github.com/ejoerns - - - https://avatars.githubusercontent.com/u/3678062?s=40&v=4 - jluebbe - https://github.com/jluebbe - - - https://avatars.githubusercontent.com/u/19584951?s=40&v=4 - OnkelUlla - https://github.com/OnkelUlla + https://avatars.githubusercontent.com/u/13902279?s=40&v=4 + sapiippo + https://github.com/sapiippo - https://avatars.githubusercontent.com/u/31402500?s=40&v=4 - mschwan-phytec - https://github.com/mschwan-phytec + https://avatars.githubusercontent.com/u/2571823?s=40&v=4 + schnitzeltony + https://github.com/schnitzeltony - https://avatars.githubusercontent.com/u/19491045?s=40&v=4 - mnhu - https://github.com/mnhu + https://avatars.githubusercontent.com/u/884177?s=40&v=4 + net147 + https://github.com/net147 diff --git a/data/weekly/blade.json b/data/weekly/blade.json index 632e3d8df606..2b315888440e 100644 --- a/data/weekly/blade.json +++ b/data/weekly/blade.json @@ -2,7 +2,7 @@ "title": "GitHub Blade Languages Weekly Trending", "description": "Weekly Trending of Blade Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "creativetimofficial/material-dashboard-laravel-livewire", @@ -42,56 +42,39 @@ ] }, { - "title": "FahimAnzamDip/triangle-pos", - "url": "https://github.com/FahimAnzamDip/triangle-pos", - "description": "Triangle POS is an open source Inventory Management with POS System. Developed with Laravel 10, Bootstrap 4 & Livewire 3. It's completely free to use.", + "title": "krayin/laravel-crm", + "url": "https://github.com/krayin/laravel-crm", + "description": "Free & Opensource Laravel CRM solution for SMEs and Enterprises for complete customer lifecycle management.", "language": "Blade", "languageColor": "#f7523f", - "stars": "637", - "forks": "204", - "addStars": "12", + "stars": "11,308", + "forks": "769", + "addStars": "99", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37371749?s=40&v=4", - "name": "FahimAnzamDip", - "url": "https://github.com/FahimAnzamDip" + "avatar": "https://avatars.githubusercontent.com/u/39991107?s=40&v=4", + "name": "jitendra-webkul", + "url": "https://github.com/jitendra-webkul" }, { - "avatar": "https://avatars.githubusercontent.com/u/98791485?s=40&v=4", - "name": "mzbk", - "url": "https://github.com/mzbk" - } - ] - }, - { - "title": "stancl/tenancy-docs", - "url": "https://github.com/stancl/tenancy-docs", - "description": "stancl/tenancy docs & website", - "language": "Blade", - "languageColor": "#f7523f", - "stars": "64", - "forks": "633", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/33033094?s=40&v=4", - "name": "stancl", - "url": "https://github.com/stancl" + "avatar": "https://avatars.githubusercontent.com/u/121420732?s=40&v=4", + "name": "suraj-webkul", + "url": "https://github.com/suraj-webkul" }, { - "avatar": "https://avatars.githubusercontent.com/u/34375937?s=40&v=4", - "name": "lukinovec", - "url": "https://github.com/lukinovec" + "avatar": "https://avatars.githubusercontent.com/u/68321766?s=40&v=4", + "name": "devansh-webkul", + "url": "https://github.com/devansh-webkul" }, { - "avatar": "https://avatars.githubusercontent.com/u/404472?s=40&v=4", - "name": "drbyte", - "url": "https://github.com/drbyte" + "avatar": "https://avatars.githubusercontent.com/u/41280839?s=40&v=4", + "name": "shubhwebkul", + "url": "https://github.com/shubhwebkul" }, { - "avatar": "https://avatars.githubusercontent.com/u/54532330?s=40&v=4", - "name": "abrardev99", - "url": "https://github.com/abrardev99" + "avatar": "https://avatars.githubusercontent.com/u/121868701?s=40&v=4", + "name": "shivendra-webkul", + "url": "https://github.com/shivendra-webkul" } ] }, @@ -133,76 +116,56 @@ ] }, { - "title": "krayin/laravel-crm", - "url": "https://github.com/krayin/laravel-crm", - "description": "Free & Opensource Laravel CRM solution for SMEs and Enterprises for complete customer lifecycle management.", + "title": "FahimAnzamDip/triangle-pos", + "url": "https://github.com/FahimAnzamDip/triangle-pos", + "description": "Triangle POS is an open source Inventory Management with POS System. Developed with Laravel 10, Bootstrap 4 & Livewire 3. It's completely free to use.", "language": "Blade", "languageColor": "#f7523f", - "stars": "11,309", - "forks": "768", - "addStars": "99", + "stars": "637", + "forks": "204", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/39991107?s=40&v=4", - "name": "jitendra-webkul", - "url": "https://github.com/jitendra-webkul" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/121420732?s=40&v=4", - "name": "suraj-webkul", - "url": "https://github.com/suraj-webkul" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68321766?s=40&v=4", - "name": "devansh-webkul", - "url": "https://github.com/devansh-webkul" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41280839?s=40&v=4", - "name": "shubhwebkul", - "url": "https://github.com/shubhwebkul" + "avatar": "https://avatars.githubusercontent.com/u/37371749?s=40&v=4", + "name": "FahimAnzamDip", + "url": "https://github.com/FahimAnzamDip" }, { - "avatar": "https://avatars.githubusercontent.com/u/121868701?s=40&v=4", - "name": "shivendra-webkul", - "url": "https://github.com/shivendra-webkul" + "avatar": "https://avatars.githubusercontent.com/u/98791485?s=40&v=4", + "name": "mzbk", + "url": "https://github.com/mzbk" } ] }, { - "title": "grocy/grocy", - "url": "https://github.com/grocy/grocy", - "description": "ERP beyond your fridge - Grocy is a web-based self-hosted groceries & household management solution for your home", + "title": "stancl/tenancy-docs", + "url": "https://github.com/stancl/tenancy-docs", + "description": "stancl/tenancy docs & website", "language": "Blade", "languageColor": "#f7523f", - "stars": "6,901", - "forks": "566", - "addStars": "17", + "stars": "64", + "forks": "633", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2161815?s=40&v=4", - "name": "berrnd", - "url": "https://github.com/berrnd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54413450?s=40&v=4", - "name": "kriddles", - "url": "https://github.com/kriddles" + "avatar": "https://avatars.githubusercontent.com/u/33033094?s=40&v=4", + "name": "stancl", + "url": "https://github.com/stancl" }, { - "avatar": "https://avatars.githubusercontent.com/u/6298655?s=40&v=4", - "name": "BlizzWave", - "url": "https://github.com/BlizzWave" + "avatar": "https://avatars.githubusercontent.com/u/34375937?s=40&v=4", + "name": "lukinovec", + "url": "https://github.com/lukinovec" }, { - "avatar": "https://avatars.githubusercontent.com/u/1593467?s=40&v=4", - "name": "Forceu", - "url": "https://github.com/Forceu" + "avatar": "https://avatars.githubusercontent.com/u/404472?s=40&v=4", + "name": "drbyte", + "url": "https://github.com/drbyte" }, { - "avatar": "https://avatars.githubusercontent.com/u/29818044?s=40&v=4", - "name": "fipwmaqzufheoxq92ebc", - "url": "https://github.com/fipwmaqzufheoxq92ebc" + "avatar": "https://avatars.githubusercontent.com/u/54532330?s=40&v=4", + "name": "abrardev99", + "url": "https://github.com/abrardev99" } ] }, diff --git a/data/weekly/blade.xml b/data/weekly/blade.xml index a12147e31c7c..c04b35117b28 100644 --- a/data/weekly/blade.xml +++ b/data/weekly/blade.xml @@ -3,7 +3,7 @@ GitHub Blade Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Blade Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT creativetimofficial/material-dashboard-laravel-livewire https://github.com/creativetimofficial/material-dashboard-laravel-livewire @@ -43,58 +43,40 @@ - FahimAnzamDip/triangle-pos - https://github.com/FahimAnzamDip/triangle-pos - Triangle POS is an open source Inventory Management with POS System. Developed with Laravel 10, Bootstrap 4 & Livewire 3. It's completely free to use. - https://github.com/FahimAnzamDip/triangle-pos + krayin/laravel-crm + https://github.com/krayin/laravel-crm + Free & Opensource Laravel CRM solution for SMEs and Enterprises for complete customer lifecycle management. + https://github.com/krayin/laravel-crm Blade #f7523f - 637 - 204 - 12 + 11,308 + 769 + 99 - https://avatars.githubusercontent.com/u/37371749?s=40&v=4 - FahimAnzamDip - https://github.com/FahimAnzamDip - - - https://avatars.githubusercontent.com/u/98791485?s=40&v=4 - mzbk - https://github.com/mzbk + https://avatars.githubusercontent.com/u/39991107?s=40&v=4 + jitendra-webkul + https://github.com/jitendra-webkul - - - - stancl/tenancy-docs - https://github.com/stancl/tenancy-docs - stancl/tenancy docs & website - https://github.com/stancl/tenancy-docs - Blade - #f7523f - 64 - 633 - 0 - - https://avatars.githubusercontent.com/u/33033094?s=40&v=4 - stancl - https://github.com/stancl + https://avatars.githubusercontent.com/u/121420732?s=40&v=4 + suraj-webkul + https://github.com/suraj-webkul - https://avatars.githubusercontent.com/u/34375937?s=40&v=4 - lukinovec - https://github.com/lukinovec + https://avatars.githubusercontent.com/u/68321766?s=40&v=4 + devansh-webkul + https://github.com/devansh-webkul - https://avatars.githubusercontent.com/u/404472?s=40&v=4 - drbyte - https://github.com/drbyte + https://avatars.githubusercontent.com/u/41280839?s=40&v=4 + shubhwebkul + https://github.com/shubhwebkul - https://avatars.githubusercontent.com/u/54532330?s=40&v=4 - abrardev99 - https://github.com/abrardev99 + https://avatars.githubusercontent.com/u/121868701?s=40&v=4 + shivendra-webkul + https://github.com/shivendra-webkul @@ -137,78 +119,58 @@ - krayin/laravel-crm - https://github.com/krayin/laravel-crm - Free & Opensource Laravel CRM solution for SMEs and Enterprises for complete customer lifecycle management. - https://github.com/krayin/laravel-crm + FahimAnzamDip/triangle-pos + https://github.com/FahimAnzamDip/triangle-pos + Triangle POS is an open source Inventory Management with POS System. Developed with Laravel 10, Bootstrap 4 & Livewire 3. It's completely free to use. + https://github.com/FahimAnzamDip/triangle-pos Blade #f7523f - 11,309 - 768 - 99 + 637 + 204 + 12 - https://avatars.githubusercontent.com/u/39991107?s=40&v=4 - jitendra-webkul - https://github.com/jitendra-webkul - - - https://avatars.githubusercontent.com/u/121420732?s=40&v=4 - suraj-webkul - https://github.com/suraj-webkul - - - https://avatars.githubusercontent.com/u/68321766?s=40&v=4 - devansh-webkul - https://github.com/devansh-webkul - - - https://avatars.githubusercontent.com/u/41280839?s=40&v=4 - shubhwebkul - https://github.com/shubhwebkul + https://avatars.githubusercontent.com/u/37371749?s=40&v=4 + FahimAnzamDip + https://github.com/FahimAnzamDip - https://avatars.githubusercontent.com/u/121868701?s=40&v=4 - shivendra-webkul - https://github.com/shivendra-webkul + https://avatars.githubusercontent.com/u/98791485?s=40&v=4 + mzbk + https://github.com/mzbk - grocy/grocy - https://github.com/grocy/grocy - ERP beyond your fridge - Grocy is a web-based self-hosted groceries & household management solution for your home - https://github.com/grocy/grocy + stancl/tenancy-docs + https://github.com/stancl/tenancy-docs + stancl/tenancy docs & website + https://github.com/stancl/tenancy-docs Blade #f7523f - 6,901 - 566 - 17 + 64 + 633 + 0 - https://avatars.githubusercontent.com/u/2161815?s=40&v=4 - berrnd - https://github.com/berrnd - - - https://avatars.githubusercontent.com/u/54413450?s=40&v=4 - kriddles - https://github.com/kriddles + https://avatars.githubusercontent.com/u/33033094?s=40&v=4 + stancl + https://github.com/stancl - https://avatars.githubusercontent.com/u/6298655?s=40&v=4 - BlizzWave - https://github.com/BlizzWave + https://avatars.githubusercontent.com/u/34375937?s=40&v=4 + lukinovec + https://github.com/lukinovec - https://avatars.githubusercontent.com/u/1593467?s=40&v=4 - Forceu - https://github.com/Forceu + https://avatars.githubusercontent.com/u/404472?s=40&v=4 + drbyte + https://github.com/drbyte - https://avatars.githubusercontent.com/u/29818044?s=40&v=4 - fipwmaqzufheoxq92ebc - https://github.com/fipwmaqzufheoxq92ebc + https://avatars.githubusercontent.com/u/54532330?s=40&v=4 + abrardev99 + https://github.com/abrardev99 diff --git a/data/weekly/blitzbasic.json b/data/weekly/blitzbasic.json index fc7d85618090..620098ba962b 100644 --- a/data/weekly/blitzbasic.json +++ b/data/weekly/blitzbasic.json @@ -2,6 +2,6 @@ "title": "GitHub Blitzbasic Languages Weekly Trending", "description": "Weekly Trending of Blitzbasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/blitzbasic.xml b/data/weekly/blitzbasic.xml index 6983f5129d40..bec3a7ee257e 100644 --- a/data/weekly/blitzbasic.xml +++ b/data/weekly/blitzbasic.xml @@ -3,6 +3,6 @@ GitHub Blitzbasic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Blitzbasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/blitzmax.json b/data/weekly/blitzmax.json index 3cd0fa6cbece..be50243ba710 100644 --- a/data/weekly/blitzmax.json +++ b/data/weekly/blitzmax.json @@ -2,6 +2,6 @@ "title": "GitHub Blitzmax Languages Weekly Trending", "description": "Weekly Trending of Blitzmax Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/blitzmax.xml b/data/weekly/blitzmax.xml index 17f49a3d402a..4925d24e1128 100644 --- a/data/weekly/blitzmax.xml +++ b/data/weekly/blitzmax.xml @@ -3,6 +3,6 @@ GitHub Blitzmax Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Blitzmax Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/bluespec-bh.json b/data/weekly/bluespec-bh.json index 918f1561de6b..608ff103a179 100644 --- a/data/weekly/bluespec-bh.json +++ b/data/weekly/bluespec-bh.json @@ -2,6 +2,6 @@ "title": "GitHub Bluespec-bh Languages Weekly Trending", "description": "Weekly Trending of Bluespec-bh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/bluespec-bh.xml b/data/weekly/bluespec-bh.xml index 08354ce555cb..7c01ffe1624e 100644 --- a/data/weekly/bluespec-bh.xml +++ b/data/weekly/bluespec-bh.xml @@ -3,6 +3,6 @@ GitHub Bluespec-bh Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bluespec-bh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/bluespec.json b/data/weekly/bluespec.json index 1f194e0aef73..e791069d8162 100644 --- a/data/weekly/bluespec.json +++ b/data/weekly/bluespec.json @@ -2,6 +2,6 @@ "title": "GitHub Bluespec Languages Weekly Trending", "description": "Weekly Trending of Bluespec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/bluespec.xml b/data/weekly/bluespec.xml index 2afe70c1e219..e7decc954d72 100644 --- a/data/weekly/bluespec.xml +++ b/data/weekly/bluespec.xml @@ -3,6 +3,6 @@ GitHub Bluespec Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bluespec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/boo.json b/data/weekly/boo.json index e4e69c9a357e..9c6711c45407 100644 --- a/data/weekly/boo.json +++ b/data/weekly/boo.json @@ -2,6 +2,6 @@ "title": "GitHub Boo Languages Weekly Trending", "description": "Weekly Trending of Boo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/boo.xml b/data/weekly/boo.xml index a4e921e138df..2b43cd2f4da4 100644 --- a/data/weekly/boo.xml +++ b/data/weekly/boo.xml @@ -3,6 +3,6 @@ GitHub Boo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Boo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/boogie.json b/data/weekly/boogie.json index a7d671a33de2..3b51e9608e3b 100644 --- a/data/weekly/boogie.json +++ b/data/weekly/boogie.json @@ -2,6 +2,6 @@ "title": "GitHub Boogie Languages Weekly Trending", "description": "Weekly Trending of Boogie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/boogie.xml b/data/weekly/boogie.xml index c50bd0bce9c3..0d5e617f1e7b 100644 --- a/data/weekly/boogie.xml +++ b/data/weekly/boogie.xml @@ -3,6 +3,6 @@ GitHub Boogie Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Boogie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/bqn.json b/data/weekly/bqn.json index adc18917a013..234f017d1819 100644 --- a/data/weekly/bqn.json +++ b/data/weekly/bqn.json @@ -2,6 +2,6 @@ "title": "GitHub Bqn Languages Weekly Trending", "description": "Weekly Trending of Bqn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/bqn.xml b/data/weekly/bqn.xml index 7fe03dc4ff85..c3b25ee07842 100644 --- a/data/weekly/bqn.xml +++ b/data/weekly/bqn.xml @@ -3,6 +3,6 @@ GitHub Bqn Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Bqn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/brainfuck.json b/data/weekly/brainfuck.json index 583627ea4a96..709acf6c1adb 100644 --- a/data/weekly/brainfuck.json +++ b/data/weekly/brainfuck.json @@ -2,6 +2,6 @@ "title": "GitHub Brainfuck Languages Weekly Trending", "description": "Weekly Trending of Brainfuck Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/brainfuck.xml b/data/weekly/brainfuck.xml index d762ef42d3d2..0231d6926c92 100644 --- a/data/weekly/brainfuck.xml +++ b/data/weekly/brainfuck.xml @@ -3,6 +3,6 @@ GitHub Brainfuck Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Brainfuck Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/brighterscript.json b/data/weekly/brighterscript.json index cf1e3e18c7f1..81718107c795 100644 --- a/data/weekly/brighterscript.json +++ b/data/weekly/brighterscript.json @@ -2,6 +2,6 @@ "title": "GitHub Brighterscript Languages Weekly Trending", "description": "Weekly Trending of Brighterscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/brighterscript.xml b/data/weekly/brighterscript.xml index 9c3b46daf1ae..4f98b77776de 100644 --- a/data/weekly/brighterscript.xml +++ b/data/weekly/brighterscript.xml @@ -3,6 +3,6 @@ GitHub Brighterscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Brighterscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/brightscript.json b/data/weekly/brightscript.json index ccedc12552f9..3f37e6601e11 100644 --- a/data/weekly/brightscript.json +++ b/data/weekly/brightscript.json @@ -2,6 +2,6 @@ "title": "GitHub Brightscript Languages Weekly Trending", "description": "Weekly Trending of Brightscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/brightscript.xml b/data/weekly/brightscript.xml index 475ac22d7330..ec670f5168f8 100644 --- a/data/weekly/brightscript.xml +++ b/data/weekly/brightscript.xml @@ -3,6 +3,6 @@ GitHub Brightscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Brightscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/browserslist.json b/data/weekly/browserslist.json index 369fd291cc50..339bfb08e734 100644 --- a/data/weekly/browserslist.json +++ b/data/weekly/browserslist.json @@ -2,6 +2,6 @@ "title": "GitHub Browserslist Languages Weekly Trending", "description": "Weekly Trending of Browserslist Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/browserslist.xml b/data/weekly/browserslist.xml index 141350ea7295..6dbfea430f04 100644 --- a/data/weekly/browserslist.xml +++ b/data/weekly/browserslist.xml @@ -3,6 +3,6 @@ GitHub Browserslist Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Browserslist Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/c#.json b/data/weekly/c#.json index edbcf444e3ad..a96dffeb6034 100644 --- a/data/weekly/c#.json +++ b/data/weekly/c#.json @@ -2,281 +2,286 @@ "title": "GitHub C# Languages Weekly Trending", "description": "Weekly Trending of C# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "xmrig/xmrig", - "url": "https://github.com/xmrig/xmrig", - "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", + "title": "capstone-engine/capstone", + "url": "https://github.com/capstone-engine/capstone", + "description": "Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86.", "language": "C", "languageColor": "#555555", - "stars": "8,793", - "forks": "3,462", - "addStars": "5", + "stars": "7,623", + "forks": "1,556", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", - "name": "xmrig", - "url": "https://github.com/xmrig" + "avatar": "https://avatars.githubusercontent.com/u/5965536?s=40&v=4", + "name": "aquynh", + "url": "https://github.com/aquynh" }, { - "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", - "name": "SChernykh", - "url": "https://github.com/SChernykh" + "avatar": "https://avatars.githubusercontent.com/u/34511237?s=40&v=4", + "name": "kabeor", + "url": "https://github.com/kabeor" }, { - "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", - "name": "Spudz76", - "url": "https://github.com/Spudz76" + "avatar": "https://avatars.githubusercontent.com/u/24983369?s=40&v=4", + "name": "imbillow", + "url": "https://github.com/imbillow" }, { - "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", - "name": "Foudge", - "url": "https://github.com/Foudge" + "avatar": "https://avatars.githubusercontent.com/u/45763064?s=40&v=4", + "name": "Rot127", + "url": "https://github.com/Rot127" }, { - "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", - "name": "pdxwebdev", - "url": "https://github.com/pdxwebdev" + "avatar": "https://avatars.githubusercontent.com/u/35799796?s=40&v=4", + "name": "catenacyber", + "url": "https://github.com/catenacyber" } ] }, { - "title": "RfidResearchGroup/proxmark3", - "url": "https://github.com/RfidResearchGroup/proxmark3", - "description": "Iceman Fork - Proxmark3", + "title": "bol-van/zapret", + "url": "https://github.com/bol-van/zapret", + "description": "DPI bypass multi platform", "language": "C", "languageColor": "#555555", - "stars": "4,056", - "forks": "1,066", - "addStars": "7", + "stars": "8,501", + "forks": "639", + "addStars": "25", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8577004?s=40&v=4", - "name": "iceman1001", - "url": "https://github.com/iceman1001" + "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", + "name": "bol-van", + "url": "https://github.com/bol-van" }, { - "avatar": "https://avatars.githubusercontent.com/u/60773?s=40&v=4", - "name": "doegox", - "url": "https://github.com/doegox" + "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", + "name": "maximilionus", + "url": "https://github.com/maximilionus" }, { - "avatar": "https://avatars.githubusercontent.com/u/807634?s=40&v=4", - "name": "merlokk", - "url": "https://github.com/merlokk" + "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", + "name": "anphsw", + "url": "https://github.com/anphsw" }, { - "avatar": "https://avatars.githubusercontent.com/u/61853685?s=40&v=4", - "name": "tharexde", - "url": "https://github.com/tharexde" + "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", + "name": "SashaXser", + "url": "https://github.com/SashaXser" }, { - "avatar": "https://avatars.githubusercontent.com/u/51802811?s=40&v=4", - "name": "mwalker33", - "url": "https://github.com/mwalker33" + "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", + "name": "ataniazov", + "url": "https://github.com/ataniazov" } ] }, { - "title": "git/git", - "url": "https://github.com/git/git", - "description": "Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements.", + "title": "ValdikSS/GoodbyeDPI", + "url": "https://github.com/ValdikSS/GoodbyeDPI", + "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", "language": "C", "languageColor": "#555555", - "stars": "52,653", - "forks": "25,667", - "addStars": "13", + "stars": "25,589", + "forks": "1,873", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/54884?s=40&v=4", - "name": "gitster", - "url": "https://github.com/gitster" + "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", + "name": "ValdikSS", + "url": "https://github.com/ValdikSS" }, { - "avatar": "https://avatars.githubusercontent.com/u/45925?s=40&v=4", - "name": "peff", - "url": "https://github.com/peff" + "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", + "name": "EgorWeders", + "url": "https://github.com/EgorWeders" }, { - "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", - "name": "dscho", - "url": "https://github.com/dscho" + "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", + "name": "KOLANICH", + "url": "https://github.com/KOLANICH" }, { - "avatar": "https://avatars.githubusercontent.com/u/45301?s=40&v=4", - "name": "avar", - "url": "https://github.com/avar" + "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", + "name": "pash7ka", + "url": "https://github.com/pash7ka" }, { - "avatar": "https://avatars.githubusercontent.com/u/720?s=40&v=4", - "name": "pclouds", - "url": "https://github.com/pclouds" + "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", + "name": "eltociear", + "url": "https://github.com/eltociear" } ] }, { - "title": "mpv-player/mpv", - "url": "https://github.com/mpv-player/mpv", - "description": "🎥 Command line video player", + "title": "xmrig/xmrig", + "url": "https://github.com/xmrig/xmrig", + "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", "language": "C", "languageColor": "#555555", - "stars": "28,703", - "forks": "2,927", - "addStars": "17", + "stars": "8,801", + "forks": "3,464", + "addStars": "50", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1126053?s=40&v=4", - "name": "kasper93", - "url": "https://github.com/kasper93" + "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", + "name": "xmrig", + "url": "https://github.com/xmrig" }, { - "avatar": "https://avatars.githubusercontent.com/u/5105515?s=40&v=4", - "name": "Dudemanguy", - "url": "https://github.com/Dudemanguy" + "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", + "name": "SChernykh", + "url": "https://github.com/SChernykh" }, { - "avatar": "https://avatars.githubusercontent.com/u/1149047?s=40&v=4", - "name": "haasn", - "url": "https://github.com/haasn" + "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", + "name": "Spudz76", + "url": "https://github.com/Spudz76" }, { - "avatar": "https://avatars.githubusercontent.com/u/24681?s=40&v=4", - "name": "pigoz", - "url": "https://github.com/pigoz" + "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", + "name": "Foudge", + "url": "https://github.com/Foudge" }, { - "avatar": "https://avatars.githubusercontent.com/u/680386?s=40&v=4", - "name": "Akemi", - "url": "https://github.com/Akemi" + "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", + "name": "pdxwebdev", + "url": "https://github.com/pdxwebdev" } ] }, { - "title": "acidanthera/OpenCorePkg", - "url": "https://github.com/acidanthera/OpenCorePkg", - "description": "OpenCore bootloader", + "title": "brunodev85/winlator", + "url": "https://github.com/brunodev85/winlator", + "description": "Android application for running Windows applications with Wine and Box86/Box64", "language": "C", "languageColor": "#555555", - "stars": "13,470", - "forks": "2,116", - "addStars": "7", + "stars": "9,236", + "forks": "457", + "addStars": "21", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", - "name": "vit9696", - "url": "https://github.com/vit9696" + "avatar": "https://avatars.githubusercontent.com/u/11407071?s=40&v=4", + "name": "brunodev85", + "url": "https://github.com/brunodev85" }, { - "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", - "name": "mhaeuser", - "url": "https://github.com/mhaeuser" + "avatar": "https://avatars.githubusercontent.com/u/88626244?s=40&v=4", + "name": "kazimaruf991", + "url": "https://github.com/kazimaruf991" }, { - "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", - "name": "Andrey1970AppleLife", - "url": "https://github.com/Andrey1970AppleLife" + "avatar": "https://avatars.githubusercontent.com/u/100040786?s=40&v=4", + "name": "Kashinathpat", + "url": "https://github.com/Kashinathpat" }, { - "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", - "name": "mikebeaton", - "url": "https://github.com/mikebeaton" + "avatar": "https://avatars.githubusercontent.com/u/518438?s=40&v=4", + "name": "hackcasual", + "url": "https://github.com/hackcasual" }, { - "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", - "name": "PMheart", - "url": "https://github.com/PMheart" + "avatar": "https://avatars.githubusercontent.com/u/6472545?s=40&v=4", + "name": "lvonasek", + "url": "https://github.com/lvonasek" } ] }, { - "title": "Genymobile/scrcpy", - "url": "https://github.com/Genymobile/scrcpy", - "description": "Display and control your Android device", + "title": "glfw/glfw", + "url": "https://github.com/glfw/glfw", + "description": "A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input", "language": "C", "languageColor": "#555555", - "stars": "112,588", - "forks": "10,767", - "addStars": "48", + "stars": "13,128", + "forks": "5,271", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/543275?s=40&v=4", - "name": "rom1v", - "url": "https://github.com/rom1v" + "avatar": "https://avatars.githubusercontent.com/u/133714?s=40&v=4", + "name": "elmindreda", + "url": "https://github.com/elmindreda" }, { - "avatar": "https://avatars.githubusercontent.com/u/1330321?s=40&v=4", - "name": "yume-chan", - "url": "https://github.com/yume-chan" + "avatar": "https://avatars.githubusercontent.com/u/7755816?s=40&v=4", + "name": "linkmauve", + "url": "https://github.com/linkmauve" }, { - "avatar": "https://avatars.githubusercontent.com/u/5494432?s=40&v=4", - "name": "npes87184", - "url": "https://github.com/npes87184" + "avatar": "https://avatars.githubusercontent.com/u/872946?s=40&v=4", + "name": "dougbinks", + "url": "https://github.com/dougbinks" }, { - "avatar": "https://avatars.githubusercontent.com/u/639467?s=40&v=4", - "name": "brunoais", - "url": "https://github.com/brunoais" + "avatar": "https://avatars.githubusercontent.com/u/84891?s=40&v=4", + "name": "adrianbroher", + "url": "https://github.com/adrianbroher" }, { - "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", - "name": "rootkea", - "url": "https://github.com/rootkea" + "avatar": "https://avatars.githubusercontent.com/u/1075690?s=40&v=4", + "name": "siavashserver", + "url": "https://github.com/siavashserver" } ] }, { - "title": "bol-van/zapret", - "url": "https://github.com/bol-van/zapret", - "description": "DPI bypass multi platform", + "title": "HandBrake/HandBrake", + "url": "https://github.com/HandBrake/HandBrake", + "description": "HandBrake's main development repository", "language": "C", "languageColor": "#555555", - "stars": "8,491", - "forks": "639", - "addStars": "25", + "stars": "17,939", + "forks": "1,345", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9076680?s=40&v=4", - "name": "bol-van", - "url": "https://github.com/bol-van" + "avatar": "https://avatars.githubusercontent.com/u/628593?s=40&v=4", + "name": "sr55", + "url": "https://github.com/sr55" }, { - "avatar": "https://avatars.githubusercontent.com/u/29492157?s=40&v=4", - "name": "maximilionus", - "url": "https://github.com/maximilionus" + "avatar": "https://avatars.githubusercontent.com/u/709720?s=40&v=4", + "name": "jstebbins", + "url": "https://github.com/jstebbins" }, { - "avatar": "https://avatars.githubusercontent.com/u/21102535?s=40&v=4", - "name": "anphsw", - "url": "https://github.com/anphsw" + "avatar": "https://avatars.githubusercontent.com/u/4305519?s=40&v=4", + "name": "galad87", + "url": "https://github.com/galad87" }, { - "avatar": "https://avatars.githubusercontent.com/u/24498484?s=40&v=4", - "name": "SashaXser", - "url": "https://github.com/SashaXser" + "avatar": "https://avatars.githubusercontent.com/u/70239?s=40&v=4", + "name": "bradleysepos", + "url": "https://github.com/bradleysepos" }, { - "avatar": "https://avatars.githubusercontent.com/u/4177942?s=40&v=4", - "name": "ataniazov", - "url": "https://github.com/ataniazov" + "avatar": "https://avatars.githubusercontent.com/u/374913?s=40&v=4", + "name": "jbrjake", + "url": "https://github.com/jbrjake" } ] }, { - "title": "Immediate-Mode-UI/Nuklear", - "url": "https://github.com/Immediate-Mode-UI/Nuklear", - "description": "A single-header ANSI C immediate mode cross-platform GUI library", + "title": "raysan5/raygui", + "url": "https://github.com/raysan5/raygui", + "description": "A simple and easy-to-use immediate-mode gui library", "language": "C", "languageColor": "#555555", - "stars": "9,335", - "forks": "571", - "addStars": "7", + "stars": "3,597", + "forks": "304", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8057201?s=40&v=4", - "name": "vurtun", - "url": "https://github.com/vurtun" + "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", + "name": "raysan5", + "url": "https://github.com/raysan5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9116727?s=40&v=4", + "name": "anidealgift", + "url": "https://github.com/anidealgift" }, { "avatar": "https://avatars.githubusercontent.com/u/25086?s=40&v=4", @@ -284,569 +289,495 @@ "url": "https://github.com/RobLoach" }, { - "avatar": "https://avatars.githubusercontent.com/u/2451044?s=40&v=4", - "name": "dumblob", - "url": "https://github.com/dumblob" + "avatar": "https://avatars.githubusercontent.com/u/27865535?s=40&v=4", + "name": "Demizdor", + "url": "https://github.com/Demizdor" }, { - "avatar": "https://avatars.githubusercontent.com/u/176167?s=40&v=4", - "name": "riri", - "url": "https://github.com/riri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4019495?s=40&v=4", - "name": "DeXP", - "url": "https://github.com/DeXP" - } - ] - }, - { - "title": "libretro/RetroArch", - "url": "https://github.com/libretro/RetroArch", - "description": "Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3.", - "language": "C", - "languageColor": "#555555", - "stars": "10,373", - "forks": "1,838", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1075274?s=40&v=4", - "name": "inactive123", - "url": "https://github.com/inactive123" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/163683?s=40&v=4", - "name": "Themaister", - "url": "https://github.com/Themaister" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/105389611?s=40&v=4", - "name": "LibretroAdmin", - "url": "https://github.com/LibretroAdmin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1721040?s=40&v=4", - "name": "andres-asm", - "url": "https://github.com/andres-asm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/442722?s=40&v=4", - "name": "kivutar", - "url": "https://github.com/kivutar" + "avatar": "https://avatars.githubusercontent.com/u/87268284?s=40&v=4", + "name": "hanaxar", + "url": "https://github.com/hanaxar" } ] }, { - "title": "floooh/sokol", - "url": "https://github.com/floooh/sokol", - "description": "minimal cross-platform standalone C headers", + "title": "qmk/qmk_firmware", + "url": "https://github.com/qmk/qmk_firmware", + "description": "Open-source keyboard firmware for Atmel AVR and Arm USB families", "language": "C", "languageColor": "#555555", - "stars": "7,109", - "forks": "500", - "addStars": "8", + "stars": "18,343", + "forks": "39,502", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1699414?s=40&v=4", - "name": "floooh", - "url": "https://github.com/floooh" + "avatar": "https://avatars.githubusercontent.com/u/4781841?s=40&v=4", + "name": "fauxpark", + "url": "https://github.com/fauxpark" }, { - "avatar": "https://avatars.githubusercontent.com/u/178582?s=40&v=4", - "name": "waywardmonkeys", - "url": "https://github.com/waywardmonkeys" + "avatar": "https://avatars.githubusercontent.com/u/26984769?s=40&v=4", + "name": "qmk-bot", + "url": "https://github.com/qmk-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/66876057?s=40&v=4", - "name": "jakubtomsu", - "url": "https://github.com/jakubtomsu" + "avatar": "https://avatars.githubusercontent.com/u/2584963?s=40&v=4", + "name": "drashna", + "url": "https://github.com/drashna" }, { - "avatar": "https://avatars.githubusercontent.com/u/1472250?s=40&v=4", - "name": "danielchasehooper", - "url": "https://github.com/danielchasehooper" + "avatar": "https://avatars.githubusercontent.com/u/18669334?s=40&v=4", + "name": "noroadsleft", + "url": "https://github.com/noroadsleft" }, { - "avatar": "https://avatars.githubusercontent.com/u/322030?s=40&v=4", - "name": "edubart", - "url": "https://github.com/edubart" + "avatar": "https://avatars.githubusercontent.com/u/141431?s=40&v=4", + "name": "jackhumbert", + "url": "https://github.com/jackhumbert" } ] }, { - "title": "aircrack-ng/aircrack-ng", - "url": "https://github.com/aircrack-ng/aircrack-ng", - "description": "WiFi security auditing tools suite", + "title": "curl/curl", + "url": "https://github.com/curl/curl", + "description": "A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features", "language": "C", "languageColor": "#555555", - "stars": "5,500", - "forks": "953", - "addStars": "1", + "stars": "36,015", + "forks": "6,446", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3520734?s=40&v=4", - "name": "Mister-X-", - "url": "https://github.com/Mister-X-" + "avatar": "https://avatars.githubusercontent.com/u/177011?s=40&v=4", + "name": "bagder", + "url": "https://github.com/bagder" }, { - "avatar": "https://avatars.githubusercontent.com/u/73767?s=40&v=4", - "name": "jbenden", - "url": "https://github.com/jbenden" + "avatar": "https://avatars.githubusercontent.com/u/242954?s=40&v=4", + "name": "yangtse", + "url": "https://github.com/yangtse" }, { - "avatar": "https://avatars.githubusercontent.com/u/59890178?s=40&v=4", - "name": "gemesa", - "url": "https://github.com/gemesa" + "avatar": "https://avatars.githubusercontent.com/u/1523871?s=40&v=4", + "name": "captain-caveman2k", + "url": "https://github.com/captain-caveman2k" }, { - "avatar": "https://avatars.githubusercontent.com/u/1670905?s=40&v=4", - "name": "ZeroChaos-", - "url": "https://github.com/ZeroChaos-" + "avatar": "https://avatars.githubusercontent.com/u/228259?s=40&v=4", + "name": "dfandrich", + "url": "https://github.com/dfandrich" }, { - "avatar": "https://avatars.githubusercontent.com/u/5159728?s=40&v=4", - "name": "jmberg", - "url": "https://github.com/jmberg" + "avatar": "https://avatars.githubusercontent.com/u/1446897?s=40&v=4", + "name": "vszakats", + "url": "https://github.com/vszakats" } ] }, { - "title": "apache/cloudberry", - "url": "https://github.com/apache/cloudberry", - "description": "One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database.", + "title": "flipperdevices/flipperzero-firmware", + "url": "https://github.com/flipperdevices/flipperzero-firmware", + "description": "Flipper Zero firmware source code", "language": "C", "languageColor": "#555555", - "stars": "463", - "forks": "107", + "stars": "12,961", + "forks": "2,743", "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17311022?s=40&v=4", - "name": "avamingli", - "url": "https://github.com/avamingli" + "avatar": "https://avatars.githubusercontent.com/u/1633132?s=40&v=4", + "name": "skotopes", + "url": "https://github.com/skotopes" }, { - "avatar": "https://avatars.githubusercontent.com/u/37101401?s=40&v=4", - "name": "gfphoenix78", - "url": "https://github.com/gfphoenix78" + "avatar": "https://avatars.githubusercontent.com/u/277532?s=40&v=4", + "name": "hedger", + "url": "https://github.com/hedger" }, { - "avatar": "https://avatars.githubusercontent.com/u/53178068?s=40&v=4", - "name": "wenchaozhang-123", - "url": "https://github.com/wenchaozhang-123" + "avatar": "https://avatars.githubusercontent.com/u/4784169?s=40&v=4", + "name": "DrZlo13", + "url": "https://github.com/DrZlo13" }, { - "avatar": "https://avatars.githubusercontent.com/u/30709931?s=40&v=4", - "name": "SmartKeyerror", - "url": "https://github.com/SmartKeyerror" + "avatar": "https://avatars.githubusercontent.com/u/44112859?s=40&v=4", + "name": "gornekich", + "url": "https://github.com/gornekich" }, { - "avatar": "https://avatars.githubusercontent.com/u/1284465?s=40&v=4", - "name": "tuhaihe", - "url": "https://github.com/tuhaihe" + "avatar": "https://avatars.githubusercontent.com/u/12886640?s=40&v=4", + "name": "glitchcore", + "url": "https://github.com/glitchcore" } ] }, { - "title": "espressif/esp-idf", - "url": "https://github.com/espressif/esp-idf", - "description": "Espressif IoT Development Framework. Official development framework for Espressif SoCs.", + "title": "libsdl-org/SDL", + "url": "https://github.com/libsdl-org/SDL", + "description": "Simple Directmedia Layer", "language": "C", "languageColor": "#555555", - "stars": "13,827", - "forks": "7,318", - "addStars": "4", + "stars": "10,171", + "forks": "1,858", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4349050?s=40&v=4", - "name": "igrr", - "url": "https://github.com/igrr" + "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", + "name": "slouken", + "url": "https://github.com/slouken" }, { - "avatar": "https://avatars.githubusercontent.com/u/205573?s=40&v=4", - "name": "projectgus", - "url": "https://github.com/projectgus" + "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", + "name": "icculus", + "url": "https://github.com/icculus" }, { - "avatar": "https://avatars.githubusercontent.com/u/21117421?s=40&v=4", - "name": "jack0c", - "url": "https://github.com/jack0c" + "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", + "name": "sezero", + "url": "https://github.com/sezero" }, { - "avatar": "https://avatars.githubusercontent.com/u/8869469?s=40&v=4", - "name": "suda-morris", - "url": "https://github.com/suda-morris" + "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", + "name": "1bsyl", + "url": "https://github.com/1bsyl" }, { - "avatar": "https://avatars.githubusercontent.com/u/902446?s=40&v=4", - "name": "mahavirj", - "url": "https://github.com/mahavirj" + "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", + "name": "madebr", + "url": "https://github.com/madebr" } ] }, { - "title": "pbatard/rufus", - "url": "https://github.com/pbatard/rufus", - "description": "The Reliable USB Formatting Utility", + "title": "betaflight/betaflight", + "url": "https://github.com/betaflight/betaflight", + "description": "Open Source Flight Controller Firmware", "language": "C", "languageColor": "#555555", - "stars": "29,397", - "forks": "2,606", - "addStars": "11", + "stars": "8,649", + "forks": "3,051", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1206968?s=40&v=4", - "name": "pbatard", - "url": "https://github.com/pbatard" + "avatar": "https://avatars.githubusercontent.com/u/4742747?s=40&v=4", + "name": "mikeller", + "url": "https://github.com/mikeller" }, { - "avatar": "https://avatars.githubusercontent.com/u/1262554?s=40&v=4", - "name": "bovirus", - "url": "https://github.com/bovirus" + "avatar": "https://avatars.githubusercontent.com/u/57075?s=40&v=4", + "name": "hydra", + "url": "https://github.com/hydra" }, { - "avatar": "https://avatars.githubusercontent.com/u/2923889?s=40&v=4", - "name": "ThiloL", - "url": "https://github.com/ThiloL" + "avatar": "https://avatars.githubusercontent.com/u/194586?s=40&v=4", + "name": "martinbudden", + "url": "https://github.com/martinbudden" }, { - "avatar": "https://avatars.githubusercontent.com/u/5827053?s=40&v=4", - "name": "MehmetaliKuran", - "url": "https://github.com/MehmetaliKuran" + "avatar": "https://avatars.githubusercontent.com/u/10757508?s=40&v=4", + "name": "borisbstyle", + "url": "https://github.com/borisbstyle" }, { - "avatar": "https://avatars.githubusercontent.com/u/5789283?s=40&v=4", - "name": "Sopor", - "url": "https://github.com/Sopor" + "avatar": "https://avatars.githubusercontent.com/u/6168871?s=40&v=4", + "name": "blckmn", + "url": "https://github.com/blckmn" } ] }, { - "title": "EdgeTX/edgetx", - "url": "https://github.com/EdgeTX/edgetx", - "description": "EdgeTX is the cutting edge open source firmware for your R/C radio", + "title": "Ysurac/openmptcprouter", + "url": "https://github.com/Ysurac/openmptcprouter", + "description": "OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt", "language": "C", "languageColor": "#555555", - "stars": "1,629", - "forks": "343", - "addStars": "3", + "stars": "1,910", + "forks": "273", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5603504?s=40&v=4", - "name": "bsongis", - "url": "https://github.com/bsongis" + "avatar": "https://avatars.githubusercontent.com/u/6342954?s=40&v=4", + "name": "Ysurac", + "url": "https://github.com/Ysurac" }, { - "avatar": "https://avatars.githubusercontent.com/u/1050031?s=40&v=4", - "name": "raphaelcoeffic", - "url": "https://github.com/raphaelcoeffic" + "avatar": "https://avatars.githubusercontent.com/u/19888555?s=40&v=4", + "name": "WelterRocks", + "url": "https://github.com/WelterRocks" }, { - "avatar": "https://avatars.githubusercontent.com/u/5167938?s=40&v=4", - "name": "3djc", - "url": "https://github.com/3djc" + "avatar": "https://avatars.githubusercontent.com/u/25760697?s=40&v=4", + "name": "user747", + "url": "https://github.com/user747" }, { - "avatar": "https://avatars.githubusercontent.com/u/6065069?s=40&v=4", - "name": "kilrah", - "url": "https://github.com/kilrah" + "avatar": "https://avatars.githubusercontent.com/u/221256?s=40&v=4", + "name": "asmodehn", + "url": "https://github.com/asmodehn" }, { - "avatar": "https://avatars.githubusercontent.com/u/5950438?s=40&v=4", - "name": "projectkk2glider", - "url": "https://github.com/projectkk2glider" + "avatar": "https://avatars.githubusercontent.com/u/873469?s=40&v=4", + "name": "anaelorlinski", + "url": "https://github.com/anaelorlinski" } ] }, { - "title": "ValdikSS/GoodbyeDPI", - "url": "https://github.com/ValdikSS/GoodbyeDPI", - "description": "GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows)", + "title": "greatscottgadgets/hackrf", + "url": "https://github.com/greatscottgadgets/hackrf", + "description": "low cost software radio platform", "language": "C", "languageColor": "#555555", - "stars": "25,587", - "forks": "1,873", - "addStars": "22", + "stars": "6,629", + "forks": "1,537", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3054729?s=40&v=4", - "name": "ValdikSS", - "url": "https://github.com/ValdikSS" + "avatar": "https://avatars.githubusercontent.com/u/1195107?s=40&v=4", + "name": "mossmann", + "url": "https://github.com/mossmann" }, { - "avatar": "https://avatars.githubusercontent.com/u/157705588?s=40&v=4", - "name": "EgorWeders", - "url": "https://github.com/EgorWeders" + "avatar": "https://avatars.githubusercontent.com/u/778248?s=40&v=4", + "name": "jboone", + "url": "https://github.com/jboone" }, { - "avatar": "https://avatars.githubusercontent.com/u/240344?s=40&v=4", - "name": "KOLANICH", - "url": "https://github.com/KOLANICH" + "avatar": "https://avatars.githubusercontent.com/u/91747?s=40&v=4", + "name": "dominicgs", + "url": "https://github.com/dominicgs" }, { - "avatar": "https://avatars.githubusercontent.com/u/2335215?s=40&v=4", - "name": "pash7ka", - "url": "https://github.com/pash7ka" + "avatar": "https://avatars.githubusercontent.com/u/673823?s=40&v=4", + "name": "martinling", + "url": "https://github.com/martinling" }, { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" + "avatar": "https://avatars.githubusercontent.com/u/432709?s=40&v=4", + "name": "bvernoux", + "url": "https://github.com/bvernoux" } ] }, { - "title": "nothings/stb", - "url": "https://github.com/nothings/stb", - "description": "stb single-file public domain libraries for C/C++", + "title": "erincatto/box2d", + "url": "https://github.com/erincatto/box2d", + "description": "Box2D is a 2D physics engine for games", "language": "C", "languageColor": "#555555", - "stars": "27,037", - "forks": "7,721", - "addStars": "12", + "stars": "8,347", + "forks": "1,548", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7525026?s=40&v=4", - "name": "nothings", - "url": "https://github.com/nothings" + "avatar": "https://avatars.githubusercontent.com/u/7284063?s=40&v=4", + "name": "erincatto", + "url": "https://github.com/erincatto" }, { - "avatar": "https://avatars.githubusercontent.com/u/1077496?s=40&v=4", - "name": "rygorous", - "url": "https://github.com/rygorous" + "avatar": "https://avatars.githubusercontent.com/u/427410?s=40&v=4", + "name": "wub", + "url": "https://github.com/wub" }, { - "avatar": "https://avatars.githubusercontent.com/u/815310?s=40&v=4", - "name": "BSVino", - "url": "https://github.com/BSVino" + "avatar": "https://avatars.githubusercontent.com/u/1216696?s=40&v=4", + "name": "flyover", + "url": "https://github.com/flyover" }, { - "avatar": "https://avatars.githubusercontent.com/u/8225057?s=40&v=4", - "name": "ocornut", - "url": "https://github.com/ocornut" + "avatar": "https://avatars.githubusercontent.com/u/1635228?s=40&v=4", + "name": "sbeca", + "url": "https://github.com/sbeca" }, { - "avatar": "https://avatars.githubusercontent.com/u/7214365?s=40&v=4", - "name": "rwhitworth", - "url": "https://github.com/rwhitworth" + "avatar": "https://avatars.githubusercontent.com/u/1213082?s=40&v=4", + "name": "zammitjames", + "url": "https://github.com/zammitjames" } ] }, { - "title": "swaywm/sway", - "url": "https://github.com/swaywm/sway", - "description": "i3-compatible Wayland compositor", + "title": "ventoy/Ventoy", + "url": "https://github.com/ventoy/Ventoy", + "description": "A new bootable USB solution.", "language": "C", "languageColor": "#555555", - "stars": "14,725", - "forks": "1,111", - "addStars": "2", + "stars": "63,108", + "forks": "4,104", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1310872?s=40&v=4", - "name": "ddevault", - "url": "https://github.com/ddevault" + "avatar": "https://avatars.githubusercontent.com/u/59477474?s=40&v=4", + "name": "ventoy", + "url": "https://github.com/ventoy" }, { - "avatar": "https://avatars.githubusercontent.com/u/506932?s=40&v=4", - "name": "emersion", - "url": "https://github.com/emersion" + "avatar": "https://avatars.githubusercontent.com/u/67432394?s=40&v=4", + "name": "wiz64", + "url": "https://github.com/wiz64" }, { - "avatar": "https://avatars.githubusercontent.com/u/2436833?s=40&v=4", - "name": "RyanDwyer", - "url": "https://github.com/RyanDwyer" + "avatar": "https://avatars.githubusercontent.com/u/53147200?s=40&v=4", + "name": "VenusGirl", + "url": "https://github.com/VenusGirl" }, { - "avatar": "https://avatars.githubusercontent.com/u/2997061?s=40&v=4", - "name": "RedSoxFan", - "url": "https://github.com/RedSoxFan" + "avatar": "https://avatars.githubusercontent.com/u/54566818?s=40&v=4", + "name": "crasadure", + "url": "https://github.com/crasadure" }, { - "avatar": "https://avatars.githubusercontent.com/u/128566?s=40&v=4", - "name": "mikkeloscar", - "url": "https://github.com/mikkeloscar" + "avatar": "https://avatars.githubusercontent.com/u/31486344?s=40&v=4", + "name": "AnomSanjaya", + "url": "https://github.com/AnomSanjaya" } ] }, { - "title": "libsdl-org/SDL", - "url": "https://github.com/libsdl-org/SDL", - "description": "Simple Directmedia Layer", + "title": "openwrt/openwrt", + "url": "https://github.com/openwrt/openwrt", + "description": "This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git.", "language": "C", "languageColor": "#555555", - "stars": "10,169", - "forks": "1,857", - "addStars": "15", + "stars": "20,463", + "forks": "10,545", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", - "name": "slouken", - "url": "https://github.com/slouken" + "avatar": "https://avatars.githubusercontent.com/u/19352056?s=40&v=4", + "name": "juhosg", + "url": "https://github.com/juhosg" }, { - "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", - "name": "icculus", - "url": "https://github.com/icculus" + "avatar": "https://avatars.githubusercontent.com/u/1110044?s=40&v=4", + "name": "ffainelli", + "url": "https://github.com/ffainelli" }, { - "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", - "name": "sezero", - "url": "https://github.com/sezero" + "avatar": "https://avatars.githubusercontent.com/u/2528802?s=40&v=4", + "name": "jow-", + "url": "https://github.com/jow-" }, { - "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", - "name": "1bsyl", - "url": "https://github.com/1bsyl" + "avatar": "https://avatars.githubusercontent.com/u/78494?s=40&v=4", + "name": "hauke", + "url": "https://github.com/hauke" }, { - "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", - "name": "madebr", - "url": "https://github.com/madebr" + "avatar": "https://avatars.githubusercontent.com/u/2529314?s=40&v=4", + "name": "nbd168", + "url": "https://github.com/nbd168" } ] }, { - "title": "bellard/quickjs", - "url": "https://github.com/bellard/quickjs", - "description": "Public repository of the QuickJS Javascript Engine.", + "title": "Klipper3d/klipper", + "url": "https://github.com/Klipper3d/klipper", + "description": "Klipper is a 3d-printer firmware", "language": "C", "languageColor": "#555555", - "stars": "8,539", - "forks": "894", - "addStars": "8", + "stars": "9,566", + "forks": "5,337", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20602538?s=40&v=4", - "name": "chqrlie", - "url": "https://github.com/chqrlie" + "avatar": "https://avatars.githubusercontent.com/u/3004890?s=40&v=4", + "name": "KevinOConnor", + "url": "https://github.com/KevinOConnor" }, { - "avatar": "https://avatars.githubusercontent.com/u/6490144?s=40&v=4", - "name": "bellard", - "url": "https://github.com/bellard" + "avatar": "https://avatars.githubusercontent.com/u/9563098?s=40&v=4", + "name": "Arksine", + "url": "https://github.com/Arksine" }, { - "avatar": "https://avatars.githubusercontent.com/u/275871?s=40&v=4", - "name": "bnoordhuis", - "url": "https://github.com/bnoordhuis" + "avatar": "https://avatars.githubusercontent.com/u/53491797?s=40&v=4", + "name": "dmbutyugin", + "url": "https://github.com/dmbutyugin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1174718?s=40&v=4", - "name": "kasperisager", - "url": "https://github.com/kasperisager" + "avatar": "https://avatars.githubusercontent.com/u/1433580?s=40&v=4", + "name": "mcmatrix", + "url": "https://github.com/mcmatrix" }, { - "avatar": "https://avatars.githubusercontent.com/u/1129322?s=40&v=4", - "name": "pinotree", - "url": "https://github.com/pinotree" + "avatar": "https://avatars.githubusercontent.com/u/4352664?s=40&v=4", + "name": "FHeilmann", + "url": "https://github.com/FHeilmann" } ] }, { - "title": "redis/redis", - "url": "https://github.com/redis/redis", - "description": "Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps.", + "title": "acidanthera/OpenCorePkg", + "url": "https://github.com/acidanthera/OpenCorePkg", + "description": "OpenCore bootloader", "language": "C", "languageColor": "#555555", - "stars": "67,153", - "forks": "23,813", - "addStars": "15", + "stars": "13,470", + "forks": "2,117", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/65632?s=40&v=4", - "name": "antirez", - "url": "https://github.com/antirez" + "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", + "name": "vit9696", + "url": "https://github.com/vit9696" }, { - "avatar": "https://avatars.githubusercontent.com/u/7045099?s=40&v=4", - "name": "oranagra", - "url": "https://github.com/oranagra" + "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", + "name": "mhaeuser", + "url": "https://github.com/mhaeuser" }, { - "avatar": "https://avatars.githubusercontent.com/u/9845?s=40&v=4", - "name": "pietern", - "url": "https://github.com/pietern" + "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", + "name": "Andrey1970AppleLife", + "url": "https://github.com/Andrey1970AppleLife" }, { - "avatar": "https://avatars.githubusercontent.com/u/22811481?s=40&v=4", - "name": "enjoy-binbin", - "url": "https://github.com/enjoy-binbin" + "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", + "name": "mikebeaton", + "url": "https://github.com/mikebeaton" }, { - "avatar": "https://avatars.githubusercontent.com/u/1481195?s=40&v=4", - "name": "yossigo", - "url": "https://github.com/yossigo" + "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", + "name": "PMheart", + "url": "https://github.com/PMheart" } ] }, { - "title": "nginx/nginx", - "url": "https://github.com/nginx/nginx", - "description": "The official NGINX Open Source repository.", + "title": "OnionUI/Onion", + "url": "https://github.com/OnionUI/Onion", + "description": "OS overhaul for Miyoo Mini and Mini+", "language": "C", "languageColor": "#555555", - "stars": "25,277", - "forks": "7,001", - "addStars": "9", + "stars": "3,466", + "forks": "218", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/201187?s=40&v=4", - "name": "mdounin", - "url": "https://github.com/mdounin" + "avatar": "https://avatars.githubusercontent.com/u/44569252?s=40&v=4", + "name": "Aemiii91", + "url": "https://github.com/Aemiii91" }, { - "avatar": "https://avatars.githubusercontent.com/u/11629712?s=40&v=4", - "name": "vl-homutov", - "url": "https://github.com/vl-homutov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1236368?s=40&v=4", - "name": "arut", - "url": "https://github.com/arut" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2750827?s=40&v=4", - "name": "VBart", - "url": "https://github.com/VBart" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/190297?s=40&v=4", - "name": "PiotrSikora", - "url": "https://github.com/PiotrSikora" - } - ] - }, - { - "title": "sandboxie-plus/Sandboxie", - "url": "https://github.com/sandboxie-plus/Sandboxie", - "description": "Sandboxie Plus & Classic", - "language": "C", - "languageColor": "#555555", - "stars": "13,931", - "forks": "1,547", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3890945?s=40&v=4", - "name": "DavidXanatos", - "url": "https://github.com/DavidXanatos" + "avatar": "https://avatars.githubusercontent.com/u/16885275?s=40&v=4", + "name": "Sichroteph", + "url": "https://github.com/Sichroteph" }, { - "avatar": "https://avatars.githubusercontent.com/u/12372772?s=40&v=4", - "name": "isaak654", - "url": "https://github.com/isaak654" + "avatar": "https://avatars.githubusercontent.com/u/7110113?s=40&v=4", + "name": "schmurtzm", + "url": "https://github.com/schmurtzm" }, { - "avatar": "https://avatars.githubusercontent.com/u/92030377?s=40&v=4", - "name": "love-code-yeyixiao", - "url": "https://github.com/love-code-yeyixiao" + "avatar": "https://avatars.githubusercontent.com/u/47260768?s=40&v=4", + "name": "XK9274", + "url": "https://github.com/XK9274" }, { - "avatar": "https://avatars.githubusercontent.com/u/6871698?s=40&v=4", - "name": "offhub", - "url": "https://github.com/offhub" + "avatar": "https://avatars.githubusercontent.com/u/85693713?s=40&v=4", + "name": "jimgraygit", + "url": "https://github.com/jimgraygit" } ] } diff --git a/data/weekly/c#.xml b/data/weekly/c#.xml index 2368d4c89ed9..d6c65d1e5c60 100644 --- a/data/weekly/c#.xml +++ b/data/weekly/c#.xml @@ -3,288 +3,293 @@ GitHub C# Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of C# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - xmrig/xmrig - https://github.com/xmrig/xmrig - RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark - https://github.com/xmrig/xmrig + capstone-engine/capstone + https://github.com/capstone-engine/capstone + Capstone disassembly/disassembler framework for ARM, ARM64 (ARMv8), Alpha, BPF, Ethereum VM, HPPA, LoongArch, M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86. + https://github.com/capstone-engine/capstone C #555555 - 8,793 - 3,462 - 5 + 7,623 + 1,556 + 4 - https://avatars.githubusercontent.com/u/27528955?s=40&v=4 - xmrig - https://github.com/xmrig + https://avatars.githubusercontent.com/u/5965536?s=40&v=4 + aquynh + https://github.com/aquynh - https://avatars.githubusercontent.com/u/15806605?s=40&v=4 - SChernykh - https://github.com/SChernykh + https://avatars.githubusercontent.com/u/34511237?s=40&v=4 + kabeor + https://github.com/kabeor - https://avatars.githubusercontent.com/u/2391234?s=40&v=4 - Spudz76 - https://github.com/Spudz76 + https://avatars.githubusercontent.com/u/24983369?s=40&v=4 + imbillow + https://github.com/imbillow - https://avatars.githubusercontent.com/u/8947059?s=40&v=4 - Foudge - https://github.com/Foudge + https://avatars.githubusercontent.com/u/45763064?s=40&v=4 + Rot127 + https://github.com/Rot127 - https://avatars.githubusercontent.com/u/490176?s=40&v=4 - pdxwebdev - https://github.com/pdxwebdev + https://avatars.githubusercontent.com/u/35799796?s=40&v=4 + catenacyber + https://github.com/catenacyber - RfidResearchGroup/proxmark3 - https://github.com/RfidResearchGroup/proxmark3 - Iceman Fork - Proxmark3 - https://github.com/RfidResearchGroup/proxmark3 + bol-van/zapret + https://github.com/bol-van/zapret + DPI bypass multi platform + https://github.com/bol-van/zapret C #555555 - 4,056 - 1,066 - 7 + 8,501 + 639 + 25 - https://avatars.githubusercontent.com/u/8577004?s=40&v=4 - iceman1001 - https://github.com/iceman1001 + https://avatars.githubusercontent.com/u/9076680?s=40&v=4 + bol-van + https://github.com/bol-van - https://avatars.githubusercontent.com/u/60773?s=40&v=4 - doegox - https://github.com/doegox + https://avatars.githubusercontent.com/u/29492157?s=40&v=4 + maximilionus + https://github.com/maximilionus - https://avatars.githubusercontent.com/u/807634?s=40&v=4 - merlokk - https://github.com/merlokk + https://avatars.githubusercontent.com/u/21102535?s=40&v=4 + anphsw + https://github.com/anphsw - https://avatars.githubusercontent.com/u/61853685?s=40&v=4 - tharexde - https://github.com/tharexde + https://avatars.githubusercontent.com/u/24498484?s=40&v=4 + SashaXser + https://github.com/SashaXser - https://avatars.githubusercontent.com/u/51802811?s=40&v=4 - mwalker33 - https://github.com/mwalker33 + https://avatars.githubusercontent.com/u/4177942?s=40&v=4 + ataniazov + https://github.com/ataniazov - git/git - https://github.com/git/git - Git Source Code Mirror - This is a publish-only repository but pull requests can be turned into patches to the mailing list via GitGitGadget (https://gitgitgadget.github.io/). Please follow Documentation/SubmittingPatches procedure for any of your improvements. - https://github.com/git/git + ValdikSS/GoodbyeDPI + https://github.com/ValdikSS/GoodbyeDPI + GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) + https://github.com/ValdikSS/GoodbyeDPI C #555555 - 52,653 - 25,667 - 13 + 25,589 + 1,873 + 22 - https://avatars.githubusercontent.com/u/54884?s=40&v=4 - gitster - https://github.com/gitster + https://avatars.githubusercontent.com/u/3054729?s=40&v=4 + ValdikSS + https://github.com/ValdikSS - https://avatars.githubusercontent.com/u/45925?s=40&v=4 - peff - https://github.com/peff + https://avatars.githubusercontent.com/u/157705588?s=40&v=4 + EgorWeders + https://github.com/EgorWeders - https://avatars.githubusercontent.com/u/127790?s=40&v=4 - dscho - https://github.com/dscho + https://avatars.githubusercontent.com/u/240344?s=40&v=4 + KOLANICH + https://github.com/KOLANICH - https://avatars.githubusercontent.com/u/45301?s=40&v=4 - avar - https://github.com/avar + https://avatars.githubusercontent.com/u/2335215?s=40&v=4 + pash7ka + https://github.com/pash7ka - https://avatars.githubusercontent.com/u/720?s=40&v=4 - pclouds - https://github.com/pclouds + https://avatars.githubusercontent.com/u/22633385?s=40&v=4 + eltociear + https://github.com/eltociear - mpv-player/mpv - https://github.com/mpv-player/mpv - 🎥 Command line video player - https://github.com/mpv-player/mpv + xmrig/xmrig + https://github.com/xmrig/xmrig + RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark + https://github.com/xmrig/xmrig C #555555 - 28,703 - 2,927 - 17 + 8,801 + 3,464 + 50 - https://avatars.githubusercontent.com/u/1126053?s=40&v=4 - kasper93 - https://github.com/kasper93 + https://avatars.githubusercontent.com/u/27528955?s=40&v=4 + xmrig + https://github.com/xmrig - https://avatars.githubusercontent.com/u/5105515?s=40&v=4 - Dudemanguy - https://github.com/Dudemanguy + https://avatars.githubusercontent.com/u/15806605?s=40&v=4 + SChernykh + https://github.com/SChernykh - https://avatars.githubusercontent.com/u/1149047?s=40&v=4 - haasn - https://github.com/haasn + https://avatars.githubusercontent.com/u/2391234?s=40&v=4 + Spudz76 + https://github.com/Spudz76 - https://avatars.githubusercontent.com/u/24681?s=40&v=4 - pigoz - https://github.com/pigoz + https://avatars.githubusercontent.com/u/8947059?s=40&v=4 + Foudge + https://github.com/Foudge - https://avatars.githubusercontent.com/u/680386?s=40&v=4 - Akemi - https://github.com/Akemi + https://avatars.githubusercontent.com/u/490176?s=40&v=4 + pdxwebdev + https://github.com/pdxwebdev - acidanthera/OpenCorePkg - https://github.com/acidanthera/OpenCorePkg - OpenCore bootloader - https://github.com/acidanthera/OpenCorePkg + brunodev85/winlator + https://github.com/brunodev85/winlator + Android application for running Windows applications with Wine and Box86/Box64 + https://github.com/brunodev85/winlator C #555555 - 13,470 - 2,116 - 7 + 9,236 + 457 + 21 - https://avatars.githubusercontent.com/u/4348897?s=40&v=4 - vit9696 - https://github.com/vit9696 + https://avatars.githubusercontent.com/u/11407071?s=40&v=4 + brunodev85 + https://github.com/brunodev85 - https://avatars.githubusercontent.com/u/8659494?s=40&v=4 - mhaeuser - https://github.com/mhaeuser + https://avatars.githubusercontent.com/u/88626244?s=40&v=4 + kazimaruf991 + https://github.com/kazimaruf991 - https://avatars.githubusercontent.com/u/17758753?s=40&v=4 - Andrey1970AppleLife - https://github.com/Andrey1970AppleLife + https://avatars.githubusercontent.com/u/100040786?s=40&v=4 + Kashinathpat + https://github.com/Kashinathpat - https://avatars.githubusercontent.com/u/11946605?s=40&v=4 - mikebeaton - https://github.com/mikebeaton + https://avatars.githubusercontent.com/u/518438?s=40&v=4 + hackcasual + https://github.com/hackcasual - https://avatars.githubusercontent.com/u/17109513?s=40&v=4 - PMheart - https://github.com/PMheart + https://avatars.githubusercontent.com/u/6472545?s=40&v=4 + lvonasek + https://github.com/lvonasek - Genymobile/scrcpy - https://github.com/Genymobile/scrcpy - Display and control your Android device - https://github.com/Genymobile/scrcpy + glfw/glfw + https://github.com/glfw/glfw + A multi-platform library for OpenGL, OpenGL ES, Vulkan, window and input + https://github.com/glfw/glfw C #555555 - 112,588 - 10,767 - 48 + 13,128 + 5,271 + 5 - https://avatars.githubusercontent.com/u/543275?s=40&v=4 - rom1v - https://github.com/rom1v + https://avatars.githubusercontent.com/u/133714?s=40&v=4 + elmindreda + https://github.com/elmindreda - https://avatars.githubusercontent.com/u/1330321?s=40&v=4 - yume-chan - https://github.com/yume-chan + https://avatars.githubusercontent.com/u/7755816?s=40&v=4 + linkmauve + https://github.com/linkmauve - https://avatars.githubusercontent.com/u/5494432?s=40&v=4 - npes87184 - https://github.com/npes87184 + https://avatars.githubusercontent.com/u/872946?s=40&v=4 + dougbinks + https://github.com/dougbinks - https://avatars.githubusercontent.com/u/639467?s=40&v=4 - brunoais - https://github.com/brunoais + https://avatars.githubusercontent.com/u/84891?s=40&v=4 + adrianbroher + https://github.com/adrianbroher - https://avatars.githubusercontent.com/u/2694559?s=40&v=4 - rootkea - https://github.com/rootkea + https://avatars.githubusercontent.com/u/1075690?s=40&v=4 + siavashserver + https://github.com/siavashserver - bol-van/zapret - https://github.com/bol-van/zapret - DPI bypass multi platform - https://github.com/bol-van/zapret + HandBrake/HandBrake + https://github.com/HandBrake/HandBrake + HandBrake's main development repository + https://github.com/HandBrake/HandBrake C #555555 - 8,491 - 639 - 25 + 17,939 + 1,345 + 12 - https://avatars.githubusercontent.com/u/9076680?s=40&v=4 - bol-van - https://github.com/bol-van + https://avatars.githubusercontent.com/u/628593?s=40&v=4 + sr55 + https://github.com/sr55 - https://avatars.githubusercontent.com/u/29492157?s=40&v=4 - maximilionus - https://github.com/maximilionus + https://avatars.githubusercontent.com/u/709720?s=40&v=4 + jstebbins + https://github.com/jstebbins - https://avatars.githubusercontent.com/u/21102535?s=40&v=4 - anphsw - https://github.com/anphsw + https://avatars.githubusercontent.com/u/4305519?s=40&v=4 + galad87 + https://github.com/galad87 - https://avatars.githubusercontent.com/u/24498484?s=40&v=4 - SashaXser - https://github.com/SashaXser + https://avatars.githubusercontent.com/u/70239?s=40&v=4 + bradleysepos + https://github.com/bradleysepos - https://avatars.githubusercontent.com/u/4177942?s=40&v=4 - ataniazov - https://github.com/ataniazov + https://avatars.githubusercontent.com/u/374913?s=40&v=4 + jbrjake + https://github.com/jbrjake - Immediate-Mode-UI/Nuklear - https://github.com/Immediate-Mode-UI/Nuklear - A single-header ANSI C immediate mode cross-platform GUI library - https://github.com/Immediate-Mode-UI/Nuklear + raysan5/raygui + https://github.com/raysan5/raygui + A simple and easy-to-use immediate-mode gui library + https://github.com/raysan5/raygui C #555555 - 9,335 - 571 - 7 + 3,597 + 304 + 11 - https://avatars.githubusercontent.com/u/8057201?s=40&v=4 - vurtun - https://github.com/vurtun + https://avatars.githubusercontent.com/u/5766837?s=40&v=4 + raysan5 + https://github.com/raysan5 + + + https://avatars.githubusercontent.com/u/9116727?s=40&v=4 + anidealgift + https://github.com/anidealgift https://avatars.githubusercontent.com/u/25086?s=40&v=4 @@ -292,584 +297,508 @@ https://github.com/RobLoach - https://avatars.githubusercontent.com/u/2451044?s=40&v=4 - dumblob - https://github.com/dumblob + https://avatars.githubusercontent.com/u/27865535?s=40&v=4 + Demizdor + https://github.com/Demizdor - https://avatars.githubusercontent.com/u/176167?s=40&v=4 - riri - https://github.com/riri - - - https://avatars.githubusercontent.com/u/4019495?s=40&v=4 - DeXP - https://github.com/DeXP + https://avatars.githubusercontent.com/u/87268284?s=40&v=4 + hanaxar + https://github.com/hanaxar - libretro/RetroArch - https://github.com/libretro/RetroArch - Cross-platform, sophisticated frontend for the libretro API. Licensed GPLv3. - https://github.com/libretro/RetroArch + qmk/qmk_firmware + https://github.com/qmk/qmk_firmware + Open-source keyboard firmware for Atmel AVR and Arm USB families + https://github.com/qmk/qmk_firmware C #555555 - 10,373 - 1,838 - 10 - - - https://avatars.githubusercontent.com/u/1075274?s=40&v=4 - inactive123 - https://github.com/inactive123 - - - https://avatars.githubusercontent.com/u/163683?s=40&v=4 - Themaister - https://github.com/Themaister - - - https://avatars.githubusercontent.com/u/105389611?s=40&v=4 - LibretroAdmin - https://github.com/LibretroAdmin - - - https://avatars.githubusercontent.com/u/1721040?s=40&v=4 - andres-asm - https://github.com/andres-asm - - - https://avatars.githubusercontent.com/u/442722?s=40&v=4 - kivutar - https://github.com/kivutar - - - - - floooh/sokol - https://github.com/floooh/sokol - minimal cross-platform standalone C headers - https://github.com/floooh/sokol - C - #555555 - 7,109 - 500 - 8 + 18,343 + 39,502 + 7 - https://avatars.githubusercontent.com/u/1699414?s=40&v=4 - floooh - https://github.com/floooh + https://avatars.githubusercontent.com/u/4781841?s=40&v=4 + fauxpark + https://github.com/fauxpark - https://avatars.githubusercontent.com/u/178582?s=40&v=4 - waywardmonkeys - https://github.com/waywardmonkeys + https://avatars.githubusercontent.com/u/26984769?s=40&v=4 + qmk-bot + https://github.com/qmk-bot - https://avatars.githubusercontent.com/u/66876057?s=40&v=4 - jakubtomsu - https://github.com/jakubtomsu + https://avatars.githubusercontent.com/u/2584963?s=40&v=4 + drashna + https://github.com/drashna - https://avatars.githubusercontent.com/u/1472250?s=40&v=4 - danielchasehooper - https://github.com/danielchasehooper + https://avatars.githubusercontent.com/u/18669334?s=40&v=4 + noroadsleft + https://github.com/noroadsleft - https://avatars.githubusercontent.com/u/322030?s=40&v=4 - edubart - https://github.com/edubart + https://avatars.githubusercontent.com/u/141431?s=40&v=4 + jackhumbert + https://github.com/jackhumbert - aircrack-ng/aircrack-ng - https://github.com/aircrack-ng/aircrack-ng - WiFi security auditing tools suite - https://github.com/aircrack-ng/aircrack-ng + curl/curl + https://github.com/curl/curl + A command line tool and library for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, GOPHERS, HTTP, HTTPS, IMAP, IMAPS, LDAP, LDAPS, MQTT, POP3, POP3S, RTMP, RTMPS, RTSP, SCP, SFTP, SMB, SMBS, SMTP, SMTPS, TELNET, TFTP, WS and WSS. libcurl offers a myriad of powerful features + https://github.com/curl/curl C #555555 - 5,500 - 953 - 1 + 36,015 + 6,446 + 13 - https://avatars.githubusercontent.com/u/3520734?s=40&v=4 - Mister-X- - https://github.com/Mister-X- + https://avatars.githubusercontent.com/u/177011?s=40&v=4 + bagder + https://github.com/bagder - https://avatars.githubusercontent.com/u/73767?s=40&v=4 - jbenden - https://github.com/jbenden + https://avatars.githubusercontent.com/u/242954?s=40&v=4 + yangtse + https://github.com/yangtse - https://avatars.githubusercontent.com/u/59890178?s=40&v=4 - gemesa - https://github.com/gemesa + https://avatars.githubusercontent.com/u/1523871?s=40&v=4 + captain-caveman2k + https://github.com/captain-caveman2k - https://avatars.githubusercontent.com/u/1670905?s=40&v=4 - ZeroChaos- - https://github.com/ZeroChaos- + https://avatars.githubusercontent.com/u/228259?s=40&v=4 + dfandrich + https://github.com/dfandrich - https://avatars.githubusercontent.com/u/5159728?s=40&v=4 - jmberg - https://github.com/jmberg + https://avatars.githubusercontent.com/u/1446897?s=40&v=4 + vszakats + https://github.com/vszakats - apache/cloudberry - https://github.com/apache/cloudberry - One advanced and mature open-source MPP (Massively Parallel Processing) database. Open source alternative to Greenplum Database. - https://github.com/apache/cloudberry + flipperdevices/flipperzero-firmware + https://github.com/flipperdevices/flipperzero-firmware + Flipper Zero firmware source code + https://github.com/flipperdevices/flipperzero-firmware C #555555 - 463 - 107 + 12,961 + 2,743 8 - https://avatars.githubusercontent.com/u/17311022?s=40&v=4 - avamingli - https://github.com/avamingli + https://avatars.githubusercontent.com/u/1633132?s=40&v=4 + skotopes + https://github.com/skotopes - https://avatars.githubusercontent.com/u/37101401?s=40&v=4 - gfphoenix78 - https://github.com/gfphoenix78 + https://avatars.githubusercontent.com/u/277532?s=40&v=4 + hedger + https://github.com/hedger - https://avatars.githubusercontent.com/u/53178068?s=40&v=4 - wenchaozhang-123 - https://github.com/wenchaozhang-123 + https://avatars.githubusercontent.com/u/4784169?s=40&v=4 + DrZlo13 + https://github.com/DrZlo13 - https://avatars.githubusercontent.com/u/30709931?s=40&v=4 - SmartKeyerror - https://github.com/SmartKeyerror + https://avatars.githubusercontent.com/u/44112859?s=40&v=4 + gornekich + https://github.com/gornekich - https://avatars.githubusercontent.com/u/1284465?s=40&v=4 - tuhaihe - https://github.com/tuhaihe + https://avatars.githubusercontent.com/u/12886640?s=40&v=4 + glitchcore + https://github.com/glitchcore - espressif/esp-idf - https://github.com/espressif/esp-idf - Espressif IoT Development Framework. Official development framework for Espressif SoCs. - https://github.com/espressif/esp-idf + libsdl-org/SDL + https://github.com/libsdl-org/SDL + Simple Directmedia Layer + https://github.com/libsdl-org/SDL C #555555 - 13,827 - 7,318 - 4 + 10,171 + 1,858 + 15 - https://avatars.githubusercontent.com/u/4349050?s=40&v=4 - igrr - https://github.com/igrr + https://avatars.githubusercontent.com/u/2100061?s=40&v=4 + slouken + https://github.com/slouken - https://avatars.githubusercontent.com/u/205573?s=40&v=4 - projectgus - https://github.com/projectgus + https://avatars.githubusercontent.com/u/673562?s=40&v=4 + icculus + https://github.com/icculus - https://avatars.githubusercontent.com/u/21117421?s=40&v=4 - jack0c - https://github.com/jack0c + https://avatars.githubusercontent.com/u/4222725?s=40&v=4 + sezero + https://github.com/sezero - https://avatars.githubusercontent.com/u/8869469?s=40&v=4 - suda-morris - https://github.com/suda-morris + https://avatars.githubusercontent.com/u/818728?s=40&v=4 + 1bsyl + https://github.com/1bsyl - https://avatars.githubusercontent.com/u/902446?s=40&v=4 - mahavirj - https://github.com/mahavirj + https://avatars.githubusercontent.com/u/4138939?s=40&v=4 + madebr + https://github.com/madebr - pbatard/rufus - https://github.com/pbatard/rufus - The Reliable USB Formatting Utility - https://github.com/pbatard/rufus + betaflight/betaflight + https://github.com/betaflight/betaflight + Open Source Flight Controller Firmware + https://github.com/betaflight/betaflight C #555555 - 29,397 - 2,606 - 11 + 8,649 + 3,051 + 3 - https://avatars.githubusercontent.com/u/1206968?s=40&v=4 - pbatard - https://github.com/pbatard + https://avatars.githubusercontent.com/u/4742747?s=40&v=4 + mikeller + https://github.com/mikeller - https://avatars.githubusercontent.com/u/1262554?s=40&v=4 - bovirus - https://github.com/bovirus + https://avatars.githubusercontent.com/u/57075?s=40&v=4 + hydra + https://github.com/hydra - https://avatars.githubusercontent.com/u/2923889?s=40&v=4 - ThiloL - https://github.com/ThiloL + https://avatars.githubusercontent.com/u/194586?s=40&v=4 + martinbudden + https://github.com/martinbudden - https://avatars.githubusercontent.com/u/5827053?s=40&v=4 - MehmetaliKuran - https://github.com/MehmetaliKuran + https://avatars.githubusercontent.com/u/10757508?s=40&v=4 + borisbstyle + https://github.com/borisbstyle - https://avatars.githubusercontent.com/u/5789283?s=40&v=4 - Sopor - https://github.com/Sopor + https://avatars.githubusercontent.com/u/6168871?s=40&v=4 + blckmn + https://github.com/blckmn - EdgeTX/edgetx - https://github.com/EdgeTX/edgetx - EdgeTX is the cutting edge open source firmware for your R/C radio - https://github.com/EdgeTX/edgetx + Ysurac/openmptcprouter + https://github.com/Ysurac/openmptcprouter + OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt + https://github.com/Ysurac/openmptcprouter C #555555 - 1,629 - 343 - 3 + 1,910 + 273 + 9 - https://avatars.githubusercontent.com/u/5603504?s=40&v=4 - bsongis - https://github.com/bsongis + https://avatars.githubusercontent.com/u/6342954?s=40&v=4 + Ysurac + https://github.com/Ysurac - https://avatars.githubusercontent.com/u/1050031?s=40&v=4 - raphaelcoeffic - https://github.com/raphaelcoeffic + https://avatars.githubusercontent.com/u/19888555?s=40&v=4 + WelterRocks + https://github.com/WelterRocks - https://avatars.githubusercontent.com/u/5167938?s=40&v=4 - 3djc - https://github.com/3djc + https://avatars.githubusercontent.com/u/25760697?s=40&v=4 + user747 + https://github.com/user747 - https://avatars.githubusercontent.com/u/6065069?s=40&v=4 - kilrah - https://github.com/kilrah + https://avatars.githubusercontent.com/u/221256?s=40&v=4 + asmodehn + https://github.com/asmodehn - https://avatars.githubusercontent.com/u/5950438?s=40&v=4 - projectkk2glider - https://github.com/projectkk2glider + https://avatars.githubusercontent.com/u/873469?s=40&v=4 + anaelorlinski + https://github.com/anaelorlinski - ValdikSS/GoodbyeDPI - https://github.com/ValdikSS/GoodbyeDPI - GoodbyeDPI — Deep Packet Inspection circumvention utility (for Windows) - https://github.com/ValdikSS/GoodbyeDPI + greatscottgadgets/hackrf + https://github.com/greatscottgadgets/hackrf + low cost software radio platform + https://github.com/greatscottgadgets/hackrf C #555555 - 25,587 - 1,873 - 22 + 6,629 + 1,537 + 5 - https://avatars.githubusercontent.com/u/3054729?s=40&v=4 - ValdikSS - https://github.com/ValdikSS + https://avatars.githubusercontent.com/u/1195107?s=40&v=4 + mossmann + https://github.com/mossmann - https://avatars.githubusercontent.com/u/157705588?s=40&v=4 - EgorWeders - https://github.com/EgorWeders + https://avatars.githubusercontent.com/u/778248?s=40&v=4 + jboone + https://github.com/jboone - https://avatars.githubusercontent.com/u/240344?s=40&v=4 - KOLANICH - https://github.com/KOLANICH + https://avatars.githubusercontent.com/u/91747?s=40&v=4 + dominicgs + https://github.com/dominicgs - https://avatars.githubusercontent.com/u/2335215?s=40&v=4 - pash7ka - https://github.com/pash7ka + https://avatars.githubusercontent.com/u/673823?s=40&v=4 + martinling + https://github.com/martinling - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear + https://avatars.githubusercontent.com/u/432709?s=40&v=4 + bvernoux + https://github.com/bvernoux - nothings/stb - https://github.com/nothings/stb - stb single-file public domain libraries for C/C++ - https://github.com/nothings/stb + erincatto/box2d + https://github.com/erincatto/box2d + Box2D is a 2D physics engine for games + https://github.com/erincatto/box2d C #555555 - 27,037 - 7,721 - 12 + 8,347 + 1,548 + 3 - https://avatars.githubusercontent.com/u/7525026?s=40&v=4 - nothings - https://github.com/nothings + https://avatars.githubusercontent.com/u/7284063?s=40&v=4 + erincatto + https://github.com/erincatto - https://avatars.githubusercontent.com/u/1077496?s=40&v=4 - rygorous - https://github.com/rygorous + https://avatars.githubusercontent.com/u/427410?s=40&v=4 + wub + https://github.com/wub - https://avatars.githubusercontent.com/u/815310?s=40&v=4 - BSVino - https://github.com/BSVino + https://avatars.githubusercontent.com/u/1216696?s=40&v=4 + flyover + https://github.com/flyover - https://avatars.githubusercontent.com/u/8225057?s=40&v=4 - ocornut - https://github.com/ocornut + https://avatars.githubusercontent.com/u/1635228?s=40&v=4 + sbeca + https://github.com/sbeca - https://avatars.githubusercontent.com/u/7214365?s=40&v=4 - rwhitworth - https://github.com/rwhitworth + https://avatars.githubusercontent.com/u/1213082?s=40&v=4 + zammitjames + https://github.com/zammitjames - swaywm/sway - https://github.com/swaywm/sway - i3-compatible Wayland compositor - https://github.com/swaywm/sway + ventoy/Ventoy + https://github.com/ventoy/Ventoy + A new bootable USB solution. + https://github.com/ventoy/Ventoy C #555555 - 14,725 - 1,111 - 2 + 63,108 + 4,104 + 19 - https://avatars.githubusercontent.com/u/1310872?s=40&v=4 - ddevault - https://github.com/ddevault + https://avatars.githubusercontent.com/u/59477474?s=40&v=4 + ventoy + https://github.com/ventoy - https://avatars.githubusercontent.com/u/506932?s=40&v=4 - emersion - https://github.com/emersion + https://avatars.githubusercontent.com/u/67432394?s=40&v=4 + wiz64 + https://github.com/wiz64 - https://avatars.githubusercontent.com/u/2436833?s=40&v=4 - RyanDwyer - https://github.com/RyanDwyer + https://avatars.githubusercontent.com/u/53147200?s=40&v=4 + VenusGirl + https://github.com/VenusGirl - https://avatars.githubusercontent.com/u/2997061?s=40&v=4 - RedSoxFan - https://github.com/RedSoxFan + https://avatars.githubusercontent.com/u/54566818?s=40&v=4 + crasadure + https://github.com/crasadure - https://avatars.githubusercontent.com/u/128566?s=40&v=4 - mikkeloscar - https://github.com/mikkeloscar + https://avatars.githubusercontent.com/u/31486344?s=40&v=4 + AnomSanjaya + https://github.com/AnomSanjaya - libsdl-org/SDL - https://github.com/libsdl-org/SDL - Simple Directmedia Layer - https://github.com/libsdl-org/SDL + openwrt/openwrt + https://github.com/openwrt/openwrt + This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git. + https://github.com/openwrt/openwrt C #555555 - 10,169 - 1,857 - 15 + 20,463 + 10,545 + 19 - https://avatars.githubusercontent.com/u/2100061?s=40&v=4 - slouken - https://github.com/slouken + https://avatars.githubusercontent.com/u/19352056?s=40&v=4 + juhosg + https://github.com/juhosg - https://avatars.githubusercontent.com/u/673562?s=40&v=4 - icculus - https://github.com/icculus + https://avatars.githubusercontent.com/u/1110044?s=40&v=4 + ffainelli + https://github.com/ffainelli - https://avatars.githubusercontent.com/u/4222725?s=40&v=4 - sezero - https://github.com/sezero + https://avatars.githubusercontent.com/u/2528802?s=40&v=4 + jow- + https://github.com/jow- - https://avatars.githubusercontent.com/u/818728?s=40&v=4 - 1bsyl - https://github.com/1bsyl + https://avatars.githubusercontent.com/u/78494?s=40&v=4 + hauke + https://github.com/hauke - https://avatars.githubusercontent.com/u/4138939?s=40&v=4 - madebr - https://github.com/madebr + https://avatars.githubusercontent.com/u/2529314?s=40&v=4 + nbd168 + https://github.com/nbd168 - bellard/quickjs - https://github.com/bellard/quickjs - Public repository of the QuickJS Javascript Engine. - https://github.com/bellard/quickjs + Klipper3d/klipper + https://github.com/Klipper3d/klipper + Klipper is a 3d-printer firmware + https://github.com/Klipper3d/klipper C #555555 - 8,539 - 894 - 8 + 9,566 + 5,337 + 3 - https://avatars.githubusercontent.com/u/20602538?s=40&v=4 - chqrlie - https://github.com/chqrlie + https://avatars.githubusercontent.com/u/3004890?s=40&v=4 + KevinOConnor + https://github.com/KevinOConnor - https://avatars.githubusercontent.com/u/6490144?s=40&v=4 - bellard - https://github.com/bellard + https://avatars.githubusercontent.com/u/9563098?s=40&v=4 + Arksine + https://github.com/Arksine - https://avatars.githubusercontent.com/u/275871?s=40&v=4 - bnoordhuis - https://github.com/bnoordhuis + https://avatars.githubusercontent.com/u/53491797?s=40&v=4 + dmbutyugin + https://github.com/dmbutyugin - https://avatars.githubusercontent.com/u/1174718?s=40&v=4 - kasperisager - https://github.com/kasperisager + https://avatars.githubusercontent.com/u/1433580?s=40&v=4 + mcmatrix + https://github.com/mcmatrix - https://avatars.githubusercontent.com/u/1129322?s=40&v=4 - pinotree - https://github.com/pinotree + https://avatars.githubusercontent.com/u/4352664?s=40&v=4 + FHeilmann + https://github.com/FHeilmann - redis/redis - https://github.com/redis/redis - Redis is an in-memory database that persists on disk. The data model is key-value, but many different kind of values are supported: Strings, Lists, Sets, Sorted Sets, Hashes, Streams, HyperLogLogs, Bitmaps. - https://github.com/redis/redis + acidanthera/OpenCorePkg + https://github.com/acidanthera/OpenCorePkg + OpenCore bootloader + https://github.com/acidanthera/OpenCorePkg C #555555 - 67,153 - 23,813 - 15 + 13,470 + 2,117 + 7 - https://avatars.githubusercontent.com/u/65632?s=40&v=4 - antirez - https://github.com/antirez + https://avatars.githubusercontent.com/u/4348897?s=40&v=4 + vit9696 + https://github.com/vit9696 - https://avatars.githubusercontent.com/u/7045099?s=40&v=4 - oranagra - https://github.com/oranagra + https://avatars.githubusercontent.com/u/8659494?s=40&v=4 + mhaeuser + https://github.com/mhaeuser - https://avatars.githubusercontent.com/u/9845?s=40&v=4 - pietern - https://github.com/pietern + https://avatars.githubusercontent.com/u/17758753?s=40&v=4 + Andrey1970AppleLife + https://github.com/Andrey1970AppleLife - https://avatars.githubusercontent.com/u/22811481?s=40&v=4 - enjoy-binbin - https://github.com/enjoy-binbin + https://avatars.githubusercontent.com/u/11946605?s=40&v=4 + mikebeaton + https://github.com/mikebeaton - https://avatars.githubusercontent.com/u/1481195?s=40&v=4 - yossigo - https://github.com/yossigo + https://avatars.githubusercontent.com/u/17109513?s=40&v=4 + PMheart + https://github.com/PMheart - nginx/nginx - https://github.com/nginx/nginx - The official NGINX Open Source repository. - https://github.com/nginx/nginx + OnionUI/Onion + https://github.com/OnionUI/Onion + OS overhaul for Miyoo Mini and Mini+ + https://github.com/OnionUI/Onion C #555555 - 25,277 - 7,001 - 9 + 3,466 + 218 + 3 - https://avatars.githubusercontent.com/u/201187?s=40&v=4 - mdounin - https://github.com/mdounin - - - https://avatars.githubusercontent.com/u/11629712?s=40&v=4 - vl-homutov - https://github.com/vl-homutov - - - https://avatars.githubusercontent.com/u/1236368?s=40&v=4 - arut - https://github.com/arut - - - https://avatars.githubusercontent.com/u/2750827?s=40&v=4 - VBart - https://github.com/VBart - - - https://avatars.githubusercontent.com/u/190297?s=40&v=4 - PiotrSikora - https://github.com/PiotrSikora + https://avatars.githubusercontent.com/u/44569252?s=40&v=4 + Aemiii91 + https://github.com/Aemiii91 - - - - sandboxie-plus/Sandboxie - https://github.com/sandboxie-plus/Sandboxie - Sandboxie Plus & Classic - https://github.com/sandboxie-plus/Sandboxie - C - #555555 - 13,931 - 1,547 - 7 - - https://avatars.githubusercontent.com/u/3890945?s=40&v=4 - DavidXanatos - https://github.com/DavidXanatos + https://avatars.githubusercontent.com/u/16885275?s=40&v=4 + Sichroteph + https://github.com/Sichroteph - https://avatars.githubusercontent.com/u/12372772?s=40&v=4 - isaak654 - https://github.com/isaak654 + https://avatars.githubusercontent.com/u/7110113?s=40&v=4 + schmurtzm + https://github.com/schmurtzm - https://avatars.githubusercontent.com/u/92030377?s=40&v=4 - love-code-yeyixiao - https://github.com/love-code-yeyixiao + https://avatars.githubusercontent.com/u/47260768?s=40&v=4 + XK9274 + https://github.com/XK9274 - https://avatars.githubusercontent.com/u/6871698?s=40&v=4 - offhub - https://github.com/offhub + https://avatars.githubusercontent.com/u/85693713?s=40&v=4 + jimgraygit + https://github.com/jimgraygit diff --git a/data/weekly/c++.json b/data/weekly/c++.json index 69f65f05df53..cb7d7863922a 100644 --- a/data/weekly/c++.json +++ b/data/weekly/c++.json @@ -2,7 +2,7 @@ "title": "GitHub C++ Languages Weekly Trending", "description": "Weekly Trending of C++ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "NASA-SW-VnV/ikos", @@ -10,7 +10,7 @@ "description": "Static analyzer for C/C++ based on the theory of Abstract Interpretation.", "language": "C++", "languageColor": "#f34b7d", - "stars": "2,505", + "stars": "2,508", "forks": "180", "addStars": "206", "contributors": [ @@ -47,8 +47,8 @@ "description": "This is the official source code of FreeCAD, a free and opensource multiplatform 3D parametric modeler.", "language": "C++", "languageColor": "#f34b7d", - "stars": "20,945", - "forks": "4,118", + "stars": "20,964", + "forks": "4,120", "addStars": "1,090", "contributors": [ { @@ -84,8 +84,8 @@ "description": "Bitcoin Core integration/staging tree", "language": "C++", "languageColor": "#f34b7d", - "stars": "79,786", - "forks": "36,454", + "stars": "79,801", + "forks": "36,457", "addStars": "227", "contributors": [ { @@ -121,8 +121,8 @@ "description": "GoogleTest - Google Testing and Mocking Framework", "language": "C++", "languageColor": "#f34b7d", - "stars": "34,902", - "forks": "10,157", + "stars": "34,905", + "forks": "10,158", "addStars": "120", "contributors": [ { @@ -147,14 +147,51 @@ } ] }, + { + "title": "gabime/spdlog", + "url": "https://github.com/gabime/spdlog", + "description": "Fast C++ logging library.", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "24,486", + "forks": "4,564", + "addStars": "86", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6052198?s=40&v=4", + "name": "gabime", + "url": "https://github.com/gabime" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/609139?s=40&v=4", + "name": "Gabi120", + "url": "https://github.com/Gabi120" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6440374?s=40&v=4", + "name": "sylveon", + "url": "https://github.com/sylveon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10281628?s=40&v=4", + "name": "DanielChabrowski", + "url": "https://github.com/DanielChabrowski" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12413639?s=40&v=4", + "name": "mguludag", + "url": "https://github.com/mguludag" + } + ] + }, { "title": "aseprite/aseprite", "url": "https://github.com/aseprite/aseprite", "description": "Animated sprite editor & pixel art tool (Windows, macOS, Linux)", "language": "C++", "languageColor": "#f34b7d", - "stars": "29,619", - "forks": "6,257", + "stars": "29,625", + "forks": "6,258", "addStars": "120", "contributors": [ { @@ -185,150 +222,150 @@ ] }, { - "title": "official-stockfish/Stockfish", - "url": "https://github.com/official-stockfish/Stockfish", - "description": "A free and strong UCI chess engine", + "title": "ArduPilot/ardupilot", + "url": "https://github.com/ArduPilot/ardupilot", + "description": "ArduPlane, ArduCopter, ArduRover, ArduSub source", "language": "C++", "languageColor": "#f34b7d", - "stars": "11,702", - "forks": "2,295", - "addStars": "55", + "stars": "11,055", + "forks": "17,611", + "addStars": "34", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4202567?s=40&v=4", - "name": "vondele", - "url": "https://github.com/vondele" + "avatar": "https://avatars.githubusercontent.com/u/831867?s=40&v=4", + "name": "tridge", + "url": "https://github.com/tridge" }, { - "avatar": "https://avatars.githubusercontent.com/u/6761856?s=40&v=4", - "name": "snicolet", - "url": "https://github.com/snicolet" + "avatar": "https://avatars.githubusercontent.com/u/7077857?s=40&v=4", + "name": "peterbarker", + "url": "https://github.com/peterbarker" }, { - "avatar": "https://avatars.githubusercontent.com/u/26898827?s=40&v=4", - "name": "Vizvezdenec", - "url": "https://github.com/Vizvezdenec" + "avatar": "https://avatars.githubusercontent.com/u/1498098?s=40&v=4", + "name": "rmackay9", + "url": "https://github.com/rmackay9" }, { - "avatar": "https://avatars.githubusercontent.com/u/11150271?s=40&v=4", - "name": "FauziAkram", - "url": "https://github.com/FauziAkram" + "avatar": "https://avatars.githubusercontent.com/u/33176108?s=40&v=4", + "name": "IamPete1", + "url": "https://github.com/IamPete1" }, { - "avatar": "https://avatars.githubusercontent.com/u/953505?s=40&v=4", - "name": "locutus2", - "url": "https://github.com/locutus2" + "avatar": "https://avatars.githubusercontent.com/u/31864?s=40&v=4", + "name": "lucasdemarchi", + "url": "https://github.com/lucasdemarchi" } ] }, { - "title": "ethereum/solidity", - "url": "https://github.com/ethereum/solidity", - "description": "Solidity, the Smart Contract Programming Language", + "title": "shader-slang/slang", + "url": "https://github.com/shader-slang/slang", + "description": "Making it easier to work with shaders", "language": "C++", "languageColor": "#f34b7d", - "stars": "23,420", - "forks": "5,783", - "addStars": "73", + "stars": "2,857", + "forks": "209", + "addStars": "539", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9073706?s=40&v=4", - "name": "chriseth", - "url": "https://github.com/chriseth" + "avatar": "https://avatars.githubusercontent.com/u/2652293?s=40&v=4", + "name": "csyonghe", + "url": "https://github.com/csyonghe" }, { - "avatar": "https://avatars.githubusercontent.com/u/20340?s=40&v=4", - "name": "axic", - "url": "https://github.com/axic" + "avatar": "https://avatars.githubusercontent.com/u/14912361?s=40&v=4", + "name": "jsmall-zzz", + "url": "https://github.com/jsmall-zzz" }, { - "avatar": "https://avatars.githubusercontent.com/u/137030?s=40&v=4", - "name": "cameel", - "url": "https://github.com/cameel" + "avatar": "https://avatars.githubusercontent.com/u/10618364?s=40&v=4", + "name": "tangent-vector", + "url": "https://github.com/tangent-vector" }, { - "avatar": "https://avatars.githubusercontent.com/u/1347491?s=40&v=4", - "name": "ekpyron", - "url": "https://github.com/ekpyron" + "avatar": "https://avatars.githubusercontent.com/u/857308?s=40&v=4", + "name": "expipiplus1", + "url": "https://github.com/expipiplus1" }, { - "avatar": "https://avatars.githubusercontent.com/u/8452011?s=40&v=4", - "name": "CJentzsch", - "url": "https://github.com/CJentzsch" + "avatar": "https://avatars.githubusercontent.com/u/31557731?s=40&v=4", + "name": "saipraveenb25", + "url": "https://github.com/saipraveenb25" } ] }, { - "title": "gabime/spdlog", - "url": "https://github.com/gabime/spdlog", - "description": "Fast C++ logging library.", + "title": "justcallmekoko/ESP32Marauder", + "url": "https://github.com/justcallmekoko/ESP32Marauder", + "description": "A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32", "language": "C++", "languageColor": "#f34b7d", - "stars": "24,483", - "forks": "4,562", - "addStars": "86", + "stars": "6,012", + "forks": "643", + "addStars": "133", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6052198?s=40&v=4", - "name": "gabime", - "url": "https://github.com/gabime" + "avatar": "https://avatars.githubusercontent.com/u/25190487?s=40&v=4", + "name": "justcallmekoko", + "url": "https://github.com/justcallmekoko" }, { - "avatar": "https://avatars.githubusercontent.com/u/609139?s=40&v=4", - "name": "Gabi120", - "url": "https://github.com/Gabi120" + "avatar": "https://avatars.githubusercontent.com/u/53179565?s=40&v=4", + "name": "trisp3ar", + "url": "https://github.com/trisp3ar" }, { - "avatar": "https://avatars.githubusercontent.com/u/6440374?s=40&v=4", - "name": "sylveon", - "url": "https://github.com/sylveon" + "avatar": "https://avatars.githubusercontent.com/u/6174563?s=40&v=4", + "name": "tracedgod", + "url": "https://github.com/tracedgod" }, { - "avatar": "https://avatars.githubusercontent.com/u/10281628?s=40&v=4", - "name": "DanielChabrowski", - "url": "https://github.com/DanielChabrowski" + "avatar": "https://avatars.githubusercontent.com/u/49810075?s=40&v=4", + "name": "Willy-JL", + "url": "https://github.com/Willy-JL" }, { - "avatar": "https://avatars.githubusercontent.com/u/12413639?s=40&v=4", - "name": "mguludag", - "url": "https://github.com/mguludag" + "avatar": "https://avatars.githubusercontent.com/u/612794?s=40&v=4", + "name": "wallali", + "url": "https://github.com/wallali" } ] }, { - "title": "prusa3d/PrusaSlicer", - "url": "https://github.com/prusa3d/PrusaSlicer", - "description": "G-code generator for 3D printers (RepRap, Makerbot, Ultimaker etc.)", + "title": "nlohmann/json", + "url": "https://github.com/nlohmann/json", + "description": "JSON for Modern C++", "language": "C++", "languageColor": "#f34b7d", - "stars": "7,776", - "forks": "1,941", - "addStars": "21", + "stars": "43,353", + "forks": "6,755", + "addStars": "126", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/34307919?s=40&v=4", - "name": "enricoturri1966", - "url": "https://github.com/enricoturri1966" + "avatar": "https://avatars.githubusercontent.com/u/159488?s=40&v=4", + "name": "nlohmann", + "url": "https://github.com/nlohmann" }, { - "avatar": "https://avatars.githubusercontent.com/u/5830947?s=40&v=4", - "name": "bubnikv", - "url": "https://github.com/bubnikv" + "avatar": "https://avatars.githubusercontent.com/u/15652306?s=40&v=4", + "name": "theodelrieu", + "url": "https://github.com/theodelrieu" }, { - "avatar": "https://avatars.githubusercontent.com/u/594957?s=40&v=4", - "name": "alranel", - "url": "https://github.com/alranel" + "avatar": "https://avatars.githubusercontent.com/u/320854?s=40&v=4", + "name": "falbrechtskirchinger", + "url": "https://github.com/falbrechtskirchinger" }, { - "avatar": "https://avatars.githubusercontent.com/u/19184891?s=40&v=4", - "name": "YuSanka", - "url": "https://github.com/YuSanka" + "avatar": "https://avatars.githubusercontent.com/u/736001?s=40&v=4", + "name": "Teemperor", + "url": "https://github.com/Teemperor" }, { - "avatar": "https://avatars.githubusercontent.com/u/33929324?s=40&v=4", - "name": "lukasmatena", - "url": "https://github.com/lukasmatena" + "avatar": "https://avatars.githubusercontent.com/u/191582?s=40&v=4", + "name": "aburgh", + "url": "https://github.com/aburgh" } ] }, @@ -338,7 +375,7 @@ "description": "Open Source alternative to Algolia + Pinecone and an Easier-to-Use alternative to ElasticSearch ⚡ 🔍 ✨ Fast, typo tolerant, in-memory fuzzy Search Engine for building delightful search experiences", "language": "C++", "languageColor": "#f34b7d", - "stars": "21,270", + "stars": "21,275", "forks": "659", "addStars": "131", "contributors": [ @@ -370,288 +407,362 @@ ] }, { - "title": "openvinotoolkit/openvino", - "url": "https://github.com/openvinotoolkit/openvino", - "description": "OpenVINO™ is an open-source toolkit for optimizing and deploying AI inference", + "title": "Neargye/magic_enum", + "url": "https://github.com/Neargye/magic_enum", + "description": "Static reflection for enums (to string, from string, iteration) for modern C++, work with any enum type without any macro or boilerplate code", "language": "C++", "languageColor": "#f34b7d", - "stars": "7,334", - "forks": "2,286", - "addStars": "47", + "stars": "4,984", + "forks": "445", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2566854?s=40&v=4", - "name": "ilya-lavrenov", - "url": "https://github.com/ilya-lavrenov" + "avatar": "https://avatars.githubusercontent.com/u/7997966?s=40&v=4", + "name": "Neargye", + "url": "https://github.com/Neargye" }, { - "avatar": "https://avatars.githubusercontent.com/u/4737252?s=40&v=4", - "name": "ilyachur", - "url": "https://github.com/ilyachur" + "avatar": "https://avatars.githubusercontent.com/u/6457941?s=40&v=4", + "name": "schaumb", + "url": "https://github.com/schaumb" }, { - "avatar": "https://avatars.githubusercontent.com/u/35459624?s=40&v=4", - "name": "rkazants", - "url": "https://github.com/rkazants" + "avatar": "https://avatars.githubusercontent.com/u/128712?s=40&v=4", + "name": "alexkaratarakis", + "url": "https://github.com/alexkaratarakis" }, { - "avatar": "https://avatars.githubusercontent.com/u/16835333?s=40&v=4", - "name": "mvafin", - "url": "https://github.com/mvafin" + "avatar": "https://avatars.githubusercontent.com/u/1284289?s=40&v=4", + "name": "zaucy", + "url": "https://github.com/zaucy" + } + ] + }, + { + "title": "prusa3d/PrusaSlicer", + "url": "https://github.com/prusa3d/PrusaSlicer", + "description": "G-code generator for 3D printers (RepRap, Makerbot, Ultimaker etc.)", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "7,777", + "forks": "1,941", + "addStars": "21", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/34307919?s=40&v=4", + "name": "enricoturri1966", + "url": "https://github.com/enricoturri1966" }, { - "avatar": "https://avatars.githubusercontent.com/u/15000976?s=40&v=4", - "name": "vladimir-paramuzov", - "url": "https://github.com/vladimir-paramuzov" + "avatar": "https://avatars.githubusercontent.com/u/5830947?s=40&v=4", + "name": "bubnikv", + "url": "https://github.com/bubnikv" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/594957?s=40&v=4", + "name": "alranel", + "url": "https://github.com/alranel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19184891?s=40&v=4", + "name": "YuSanka", + "url": "https://github.com/YuSanka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33929324?s=40&v=4", + "name": "lukasmatena", + "url": "https://github.com/lukasmatena" } ] }, { - "title": "Tencent/ncnn", - "url": "https://github.com/Tencent/ncnn", - "description": "ncnn is a high-performance neural network inference framework optimized for the mobile platform", + "title": "sogou/workflow", + "url": "https://github.com/sogou/workflow", + "description": "C++ Parallel Computing and Asynchronous Networking Framework", "language": "C++", "languageColor": "#f34b7d", - "stars": "20,533", - "forks": "4,175", - "addStars": "52", + "stars": "13,169", + "forks": "2,422", + "addStars": "34", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/171016?s=40&v=4", - "name": "nihui", - "url": "https://github.com/nihui" + "avatar": "https://avatars.githubusercontent.com/u/52160700?s=40&v=4", + "name": "Barenboim", + "url": "https://github.com/Barenboim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1880011?s=40&v=4", + "name": "holmes1412", + "url": "https://github.com/holmes1412" }, { - "avatar": "https://avatars.githubusercontent.com/u/3831847?s=40&v=4", - "name": "zchrissirhcz", - "url": "https://github.com/zchrissirhcz" + "avatar": "https://avatars.githubusercontent.com/u/16952500?s=40&v=4", + "name": "wzl12356", + "url": "https://github.com/wzl12356" }, { - "avatar": "https://avatars.githubusercontent.com/u/13964381?s=40&v=4", - "name": "BUG1989", - "url": "https://github.com/BUG1989" + "avatar": "https://avatars.githubusercontent.com/u/8709073?s=40&v=4", + "name": "kedixa", + "url": "https://github.com/kedixa" }, { - "avatar": "https://avatars.githubusercontent.com/u/7872421?s=40&v=4", - "name": "tpoisonooo", - "url": "https://github.com/tpoisonooo" + "avatar": "https://avatars.githubusercontent.com/u/27816166?s=40&v=4", + "name": "chanchann", + "url": "https://github.com/chanchann" } ] }, { - "title": "shader-slang/slang", - "url": "https://github.com/shader-slang/slang", - "description": "Making it easier to work with shaders", + "title": "microsoft/onnxruntime", + "url": "https://github.com/microsoft/onnxruntime", + "description": "ONNX Runtime: cross-platform, high performance ML inferencing and training accelerator", "language": "C++", "languageColor": "#f34b7d", - "stars": "2,826", - "forks": "207", - "addStars": "539", + "stars": "14,799", + "forks": "2,940", + "addStars": "91", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2652293?s=40&v=4", - "name": "csyonghe", - "url": "https://github.com/csyonghe" + "avatar": "https://avatars.githubusercontent.com/u/856316?s=40&v=4", + "name": "snnn", + "url": "https://github.com/snnn" }, { - "avatar": "https://avatars.githubusercontent.com/u/14912361?s=40&v=4", - "name": "jsmall-zzz", - "url": "https://github.com/jsmall-zzz" + "avatar": "https://avatars.githubusercontent.com/u/979079?s=40&v=4", + "name": "skottmckay", + "url": "https://github.com/skottmckay" }, { - "avatar": "https://avatars.githubusercontent.com/u/10618364?s=40&v=4", - "name": "tangent-vector", - "url": "https://github.com/tangent-vector" + "avatar": "https://avatars.githubusercontent.com/u/18449977?s=40&v=4", + "name": "edgchen1", + "url": "https://github.com/edgchen1" }, { - "avatar": "https://avatars.githubusercontent.com/u/857308?s=40&v=4", - "name": "expipiplus1", - "url": "https://github.com/expipiplus1" + "avatar": "https://avatars.githubusercontent.com/u/7679871?s=40&v=4", + "name": "fs-eire", + "url": "https://github.com/fs-eire" }, { - "avatar": "https://avatars.githubusercontent.com/u/31557731?s=40&v=4", - "name": "saipraveenb25", - "url": "https://github.com/saipraveenb25" + "avatar": "https://avatars.githubusercontent.com/u/30328909?s=40&v=4", + "name": "tianleiwu", + "url": "https://github.com/tianleiwu" } ] }, { - "title": "Neargye/magic_enum", - "url": "https://github.com/Neargye/magic_enum", - "description": "Static reflection for enums (to string, from string, iteration) for modern C++, work with any enum type without any macro or boilerplate code", + "title": "dogecoin/dogecoin", + "url": "https://github.com/dogecoin/dogecoin", + "description": "very currency", "language": "C++", "languageColor": "#f34b7d", - "stars": "4,984", - "forks": "445", - "addStars": "38", + "stars": "14,669", + "forks": "2,884", + "addStars": "45", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7997966?s=40&v=4", - "name": "Neargye", - "url": "https://github.com/Neargye" + "avatar": "https://avatars.githubusercontent.com/u/126646?s=40&v=4", + "name": "laanwj", + "url": "https://github.com/laanwj" }, { - "avatar": "https://avatars.githubusercontent.com/u/6457941?s=40&v=4", - "name": "schaumb", - "url": "https://github.com/schaumb" + "avatar": "https://avatars.githubusercontent.com/u/1410115?s=40&v=4", + "name": "patricklodder", + "url": "https://github.com/patricklodder" }, { - "avatar": "https://avatars.githubusercontent.com/u/128712?s=40&v=4", - "name": "alexkaratarakis", - "url": "https://github.com/alexkaratarakis" + "avatar": "https://avatars.githubusercontent.com/u/649246?s=40&v=4", + "name": "TheBlueMatt", + "url": "https://github.com/TheBlueMatt" }, { - "avatar": "https://avatars.githubusercontent.com/u/1284289?s=40&v=4", - "name": "zaucy", - "url": "https://github.com/zaucy" + "avatar": "https://avatars.githubusercontent.com/u/548488?s=40&v=4", + "name": "sipa", + "url": "https://github.com/sipa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50255?s=40&v=4", + "name": "chromatic", + "url": "https://github.com/chromatic" + } + ] + }, + { + "title": "esphome/esphome", + "url": "https://github.com/esphome/esphome", + "description": "ESPHome is a system to control your ESP8266/ESP32 by simple yet powerful configuration files and control them remotely through Home Automation systems.", + "language": "C++", + "languageColor": "#f34b7d", + "stars": "8,564", + "forks": "3,703", + "addStars": "34", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3060199?s=40&v=4", + "name": "jesserockz", + "url": "https://github.com/jesserockz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6833237?s=40&v=4", + "name": "OttoWinter", + "url": "https://github.com/OttoWinter" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/197154?s=40&v=4", + "name": "oxan", + "url": "https://github.com/oxan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21233191?s=40&v=4", + "name": "kbx81", + "url": "https://github.com/kbx81" } ] }, { - "title": "notepad-plus-plus/notepad-plus-plus", - "url": "https://github.com/notepad-plus-plus/notepad-plus-plus", - "description": "Notepad++ official repository", + "title": "official-stockfish/Stockfish", + "url": "https://github.com/official-stockfish/Stockfish", + "description": "A free and strong UCI chess engine", "language": "C++", "languageColor": "#f34b7d", - "stars": "23,088", - "forks": "4,619", - "addStars": "74", + "stars": "11,698", + "forks": "2,295", + "addStars": "55", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/90293?s=40&v=4", - "name": "donho", - "url": "https://github.com/donho" + "avatar": "https://avatars.githubusercontent.com/u/4202567?s=40&v=4", + "name": "vondele", + "url": "https://github.com/vondele" }, { - "avatar": "https://avatars.githubusercontent.com/u/55940305?s=40&v=4", - "name": "ozone10", - "url": "https://github.com/ozone10" + "avatar": "https://avatars.githubusercontent.com/u/6761856?s=40&v=4", + "name": "snicolet", + "url": "https://github.com/snicolet" }, { - "avatar": "https://avatars.githubusercontent.com/u/14791461?s=40&v=4", - "name": "SinghRajenM", - "url": "https://github.com/SinghRajenM" + "avatar": "https://avatars.githubusercontent.com/u/26898827?s=40&v=4", + "name": "Vizvezdenec", + "url": "https://github.com/Vizvezdenec" }, { - "avatar": "https://avatars.githubusercontent.com/u/13075183?s=40&v=4", - "name": "xomx", - "url": "https://github.com/xomx" + "avatar": "https://avatars.githubusercontent.com/u/11150271?s=40&v=4", + "name": "FauziAkram", + "url": "https://github.com/FauziAkram" }, { - "avatar": "https://avatars.githubusercontent.com/u/30118311?s=40&v=4", - "name": "sasumner", - "url": "https://github.com/sasumner" + "avatar": "https://avatars.githubusercontent.com/u/953505?s=40&v=4", + "name": "locutus2", + "url": "https://github.com/locutus2" } ] }, { - "title": "ArduPilot/ardupilot", - "url": "https://github.com/ArduPilot/ardupilot", - "description": "ArduPlane, ArduCopter, ArduRover, ArduSub source", + "title": "doctest/doctest", + "url": "https://github.com/doctest/doctest", + "description": "The fastest feature-rich C++11/14/17/20/23 single-header testing framework", "language": "C++", "languageColor": "#f34b7d", - "stars": "11,053", - "forks": "17,609", - "addStars": "34", + "stars": "5,932", + "forks": "643", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/831867?s=40&v=4", - "name": "tridge", - "url": "https://github.com/tridge" + "avatar": "https://avatars.githubusercontent.com/u/4648169?s=40&v=4", + "name": "onqtam", + "url": "https://github.com/onqtam" }, { - "avatar": "https://avatars.githubusercontent.com/u/7077857?s=40&v=4", - "name": "peterbarker", - "url": "https://github.com/peterbarker" + "avatar": "https://avatars.githubusercontent.com/u/29021710?s=40&v=4", + "name": "Saalvage", + "url": "https://github.com/Saalvage" }, { - "avatar": "https://avatars.githubusercontent.com/u/1498098?s=40&v=4", - "name": "rmackay9", - "url": "https://github.com/rmackay9" + "avatar": "https://avatars.githubusercontent.com/u/9395011?s=40&v=4", + "name": "DaanDeMeyer", + "url": "https://github.com/DaanDeMeyer" }, { - "avatar": "https://avatars.githubusercontent.com/u/33176108?s=40&v=4", - "name": "IamPete1", - "url": "https://github.com/IamPete1" + "avatar": "https://avatars.githubusercontent.com/u/56256655?s=40&v=4", + "name": "BerengerBerthoul", + "url": "https://github.com/BerengerBerthoul" }, { - "avatar": "https://avatars.githubusercontent.com/u/31864?s=40&v=4", - "name": "lucasdemarchi", - "url": "https://github.com/lucasdemarchi" + "avatar": "https://avatars.githubusercontent.com/u/6236568?s=40&v=4", + "name": "dimztimz", + "url": "https://github.com/dimztimz" } ] }, { - "title": "emscripten-core/emscripten", - "url": "https://github.com/emscripten-core/emscripten", - "description": "Emscripten: An LLVM-to-WebAssembly Compiler", + "title": "openvinotoolkit/openvino", + "url": "https://github.com/openvinotoolkit/openvino", + "description": "OpenVINO™ is an open-source toolkit for optimizing and deploying AI inference", "language": "C++", "languageColor": "#f34b7d", - "stars": "25,872", - "forks": "3,318", - "addStars": "42", + "stars": "7,335", + "forks": "2,287", + "addStars": "47", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/173661?s=40&v=4", - "name": "kripken", - "url": "https://github.com/kripken" + "avatar": "https://avatars.githubusercontent.com/u/2566854?s=40&v=4", + "name": "ilya-lavrenov", + "url": "https://github.com/ilya-lavrenov" }, { - "avatar": "https://avatars.githubusercontent.com/u/515813?s=40&v=4", - "name": "sbc100", - "url": "https://github.com/sbc100" + "avatar": "https://avatars.githubusercontent.com/u/4737252?s=40&v=4", + "name": "ilyachur", + "url": "https://github.com/ilyachur" }, { - "avatar": "https://avatars.githubusercontent.com/u/225351?s=40&v=4", - "name": "juj", - "url": "https://github.com/juj" + "avatar": "https://avatars.githubusercontent.com/u/35459624?s=40&v=4", + "name": "rkazants", + "url": "https://github.com/rkazants" }, { - "avatar": "https://avatars.githubusercontent.com/u/178582?s=40&v=4", - "name": "waywardmonkeys", - "url": "https://github.com/waywardmonkeys" + "avatar": "https://avatars.githubusercontent.com/u/16835333?s=40&v=4", + "name": "mvafin", + "url": "https://github.com/mvafin" }, { - "avatar": "https://avatars.githubusercontent.com/u/168508?s=40&v=4", - "name": "ehsan", - "url": "https://github.com/ehsan" + "avatar": "https://avatars.githubusercontent.com/u/15000976?s=40&v=4", + "name": "vladimir-paramuzov", + "url": "https://github.com/vladimir-paramuzov" } ] }, { - "title": "microsoft/onnxruntime", - "url": "https://github.com/microsoft/onnxruntime", - "description": "ONNX Runtime: cross-platform, high performance ML inferencing and training accelerator", + "title": "PaddlePaddle/Paddle", + "url": "https://github.com/PaddlePaddle/Paddle", + "description": "PArallel Distributed Deep LEarning: Machine Learning Framework from Industrial Practice (『飞桨』核心框架,深度学习&机器学习高性能单机、分布式训练和跨平台部署)", "language": "C++", "languageColor": "#f34b7d", - "stars": "14,789", - "forks": "2,940", - "addStars": "91", + "stars": "22,289", + "forks": "5,612", + "addStars": "29", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/856316?s=40&v=4", - "name": "snnn", - "url": "https://github.com/snnn" + "avatar": "https://avatars.githubusercontent.com/u/728699?s=40&v=4", + "name": "reyoung", + "url": "https://github.com/reyoung" }, { - "avatar": "https://avatars.githubusercontent.com/u/979079?s=40&v=4", - "name": "skottmckay", - "url": "https://github.com/skottmckay" + "avatar": "https://avatars.githubusercontent.com/u/6836917?s=40&v=4", + "name": "luotao1", + "url": "https://github.com/luotao1" }, { - "avatar": "https://avatars.githubusercontent.com/u/18449977?s=40&v=4", - "name": "edgchen1", - "url": "https://github.com/edgchen1" + "avatar": "https://avatars.githubusercontent.com/u/3048612?s=40&v=4", + "name": "jacquesqiao", + "url": "https://github.com/jacquesqiao" }, { - "avatar": "https://avatars.githubusercontent.com/u/7679871?s=40&v=4", - "name": "fs-eire", - "url": "https://github.com/fs-eire" + "avatar": "https://avatars.githubusercontent.com/u/29109408?s=40&v=4", + "name": "PaddleCI", + "url": "https://github.com/PaddleCI" }, { - "avatar": "https://avatars.githubusercontent.com/u/30328909?s=40&v=4", - "name": "tianleiwu", - "url": "https://github.com/tianleiwu" + "avatar": "https://avatars.githubusercontent.com/u/21351065?s=40&v=4", + "name": "tensor-tang", + "url": "https://github.com/tensor-tang" } ] }, @@ -661,8 +772,8 @@ "description": "A framework for building native applications using React", "language": "C++", "languageColor": "#f34b7d", - "stars": "119,422", - "forks": "24,366", + "stars": "119,431", + "forks": "24,367", "addStars": "166", "contributors": [ { @@ -693,76 +804,39 @@ ] }, { - "title": "carla-simulator/carla", - "url": "https://github.com/carla-simulator/carla", - "description": "Open-source simulator for autonomous driving research.", - "language": "C++", - "languageColor": "#f34b7d", - "stars": "11,438", - "forks": "3,710", - "addStars": "52", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4332953?s=40&v=4", - "name": "nsubiron", - "url": "https://github.com/nsubiron" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45967456?s=40&v=4", - "name": "bernatx", - "url": "https://github.com/bernatx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15848712?s=40&v=4", - "name": "marcgpuig", - "url": "https://github.com/marcgpuig" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35765780?s=40&v=4", - "name": "Axel1092", - "url": "https://github.com/Axel1092" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5548733?s=40&v=4", - "name": "DSantosO", - "url": "https://github.com/DSantosO" - } - ] - }, - { - "title": "facebookincubator/velox", - "url": "https://github.com/facebookincubator/velox", - "description": "A composable and fully extensible C++ execution engine library for data management systems.", + "title": "monero-project/monero", + "url": "https://github.com/monero-project/monero", + "description": "Monero: the secure, private, untraceable cryptocurrency", "language": "C++", "languageColor": "#f34b7d", - "stars": "3,532", - "forks": "1,163", - "addStars": "18", + "stars": "9,030", + "forks": "3,122", + "addStars": "24", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27965151?s=40&v=4", - "name": "mbasmanova", - "url": "https://github.com/mbasmanova" + "avatar": "https://avatars.githubusercontent.com/u/1944293?s=40&v=4", + "name": "fluffypony", + "url": "https://github.com/fluffypony" }, { - "avatar": "https://avatars.githubusercontent.com/u/105822800?s=40&v=4", - "name": "xiaoxmeng", - "url": "https://github.com/xiaoxmeng" + "avatar": "https://avatars.githubusercontent.com/u/8851073?s=40&v=4", + "name": "moneromooo-monero", + "url": "https://github.com/moneromooo-monero" }, { - "avatar": "https://avatars.githubusercontent.com/u/12956990?s=40&v=4", - "name": "pedroerp", - "url": "https://github.com/pedroerp" + "avatar": "https://avatars.githubusercontent.com/u/11213361?s=40&v=4", + "name": "luigi1111", + "url": "https://github.com/luigi1111" }, { - "avatar": "https://avatars.githubusercontent.com/u/1740215?s=40&v=4", - "name": "Yuhta", - "url": "https://github.com/Yuhta" + "avatar": "https://avatars.githubusercontent.com/u/306354?s=40&v=4", + "name": "hyc", + "url": "https://github.com/hyc" }, { - "avatar": "https://avatars.githubusercontent.com/u/13970752?s=40&v=4", - "name": "laithsakka", - "url": "https://github.com/laithsakka" + "avatar": "https://avatars.githubusercontent.com/u/1285502?s=40&v=4", + "name": "Snipa22", + "url": "https://github.com/Snipa22" } ] } diff --git a/data/weekly/c++.xml b/data/weekly/c++.xml index 92a7124b62c2..7a4ff52ec6dd 100644 --- a/data/weekly/c++.xml +++ b/data/weekly/c++.xml @@ -3,7 +3,7 @@ GitHub C++ Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of C++ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT NASA-SW-VnV/ikos https://github.com/NASA-SW-VnV/ikos @@ -11,7 +11,7 @@ https://github.com/NASA-SW-VnV/ikos C++ #f34b7d - 2,505 + 2,508 180 206 @@ -49,8 +49,8 @@ https://github.com/FreeCAD/FreeCAD C++ #f34b7d - 20,945 - 4,118 + 20,964 + 4,120 1,090 @@ -87,8 +87,8 @@ https://github.com/bitcoin/bitcoin C++ #f34b7d - 79,786 - 36,454 + 79,801 + 36,457 227 @@ -125,8 +125,8 @@ https://github.com/google/googletest C++ #f34b7d - 34,902 - 10,157 + 34,905 + 10,158 120 @@ -151,6 +151,44 @@ + + gabime/spdlog + https://github.com/gabime/spdlog + Fast C++ logging library. + https://github.com/gabime/spdlog + C++ + #f34b7d + 24,486 + 4,564 + 86 + + + https://avatars.githubusercontent.com/u/6052198?s=40&v=4 + gabime + https://github.com/gabime + + + https://avatars.githubusercontent.com/u/609139?s=40&v=4 + Gabi120 + https://github.com/Gabi120 + + + https://avatars.githubusercontent.com/u/6440374?s=40&v=4 + sylveon + https://github.com/sylveon + + + https://avatars.githubusercontent.com/u/10281628?s=40&v=4 + DanielChabrowski + https://github.com/DanielChabrowski + + + https://avatars.githubusercontent.com/u/12413639?s=40&v=4 + mguludag + https://github.com/mguludag + + + aseprite/aseprite https://github.com/aseprite/aseprite @@ -158,8 +196,8 @@ https://github.com/aseprite/aseprite C++ #f34b7d - 29,619 - 6,257 + 29,625 + 6,258 120 @@ -190,154 +228,154 @@ - official-stockfish/Stockfish - https://github.com/official-stockfish/Stockfish - A free and strong UCI chess engine - https://github.com/official-stockfish/Stockfish + ArduPilot/ardupilot + https://github.com/ArduPilot/ardupilot + ArduPlane, ArduCopter, ArduRover, ArduSub source + https://github.com/ArduPilot/ardupilot C++ #f34b7d - 11,702 - 2,295 - 55 + 11,055 + 17,611 + 34 - https://avatars.githubusercontent.com/u/4202567?s=40&v=4 - vondele - https://github.com/vondele + https://avatars.githubusercontent.com/u/831867?s=40&v=4 + tridge + https://github.com/tridge - https://avatars.githubusercontent.com/u/6761856?s=40&v=4 - snicolet - https://github.com/snicolet + https://avatars.githubusercontent.com/u/7077857?s=40&v=4 + peterbarker + https://github.com/peterbarker - https://avatars.githubusercontent.com/u/26898827?s=40&v=4 - Vizvezdenec - https://github.com/Vizvezdenec + https://avatars.githubusercontent.com/u/1498098?s=40&v=4 + rmackay9 + https://github.com/rmackay9 - https://avatars.githubusercontent.com/u/11150271?s=40&v=4 - FauziAkram - https://github.com/FauziAkram + https://avatars.githubusercontent.com/u/33176108?s=40&v=4 + IamPete1 + https://github.com/IamPete1 - https://avatars.githubusercontent.com/u/953505?s=40&v=4 - locutus2 - https://github.com/locutus2 + https://avatars.githubusercontent.com/u/31864?s=40&v=4 + lucasdemarchi + https://github.com/lucasdemarchi - ethereum/solidity - https://github.com/ethereum/solidity - Solidity, the Smart Contract Programming Language - https://github.com/ethereum/solidity + shader-slang/slang + https://github.com/shader-slang/slang + Making it easier to work with shaders + https://github.com/shader-slang/slang C++ #f34b7d - 23,420 - 5,783 - 73 + 2,857 + 209 + 539 - https://avatars.githubusercontent.com/u/9073706?s=40&v=4 - chriseth - https://github.com/chriseth + https://avatars.githubusercontent.com/u/2652293?s=40&v=4 + csyonghe + https://github.com/csyonghe - https://avatars.githubusercontent.com/u/20340?s=40&v=4 - axic - https://github.com/axic + https://avatars.githubusercontent.com/u/14912361?s=40&v=4 + jsmall-zzz + https://github.com/jsmall-zzz - https://avatars.githubusercontent.com/u/137030?s=40&v=4 - cameel - https://github.com/cameel + https://avatars.githubusercontent.com/u/10618364?s=40&v=4 + tangent-vector + https://github.com/tangent-vector - https://avatars.githubusercontent.com/u/1347491?s=40&v=4 - ekpyron - https://github.com/ekpyron + https://avatars.githubusercontent.com/u/857308?s=40&v=4 + expipiplus1 + https://github.com/expipiplus1 - https://avatars.githubusercontent.com/u/8452011?s=40&v=4 - CJentzsch - https://github.com/CJentzsch + https://avatars.githubusercontent.com/u/31557731?s=40&v=4 + saipraveenb25 + https://github.com/saipraveenb25 - gabime/spdlog - https://github.com/gabime/spdlog - Fast C++ logging library. - https://github.com/gabime/spdlog + justcallmekoko/ESP32Marauder + https://github.com/justcallmekoko/ESP32Marauder + A suite of WiFi/Bluetooth offensive and defensive tools for the ESP32 + https://github.com/justcallmekoko/ESP32Marauder C++ #f34b7d - 24,483 - 4,562 - 86 + 6,012 + 643 + 133 - https://avatars.githubusercontent.com/u/6052198?s=40&v=4 - gabime - https://github.com/gabime + https://avatars.githubusercontent.com/u/25190487?s=40&v=4 + justcallmekoko + https://github.com/justcallmekoko - https://avatars.githubusercontent.com/u/609139?s=40&v=4 - Gabi120 - https://github.com/Gabi120 + https://avatars.githubusercontent.com/u/53179565?s=40&v=4 + trisp3ar + https://github.com/trisp3ar - https://avatars.githubusercontent.com/u/6440374?s=40&v=4 - sylveon - https://github.com/sylveon + https://avatars.githubusercontent.com/u/6174563?s=40&v=4 + tracedgod + https://github.com/tracedgod - https://avatars.githubusercontent.com/u/10281628?s=40&v=4 - DanielChabrowski - https://github.com/DanielChabrowski + https://avatars.githubusercontent.com/u/49810075?s=40&v=4 + Willy-JL + https://github.com/Willy-JL - https://avatars.githubusercontent.com/u/12413639?s=40&v=4 - mguludag - https://github.com/mguludag + https://avatars.githubusercontent.com/u/612794?s=40&v=4 + wallali + https://github.com/wallali - prusa3d/PrusaSlicer - https://github.com/prusa3d/PrusaSlicer - G-code generator for 3D printers (RepRap, Makerbot, Ultimaker etc.) - https://github.com/prusa3d/PrusaSlicer + nlohmann/json + https://github.com/nlohmann/json + JSON for Modern C++ + https://github.com/nlohmann/json C++ #f34b7d - 7,776 - 1,941 - 21 + 43,353 + 6,755 + 126 - https://avatars.githubusercontent.com/u/34307919?s=40&v=4 - enricoturri1966 - https://github.com/enricoturri1966 + https://avatars.githubusercontent.com/u/159488?s=40&v=4 + nlohmann + https://github.com/nlohmann - https://avatars.githubusercontent.com/u/5830947?s=40&v=4 - bubnikv - https://github.com/bubnikv + https://avatars.githubusercontent.com/u/15652306?s=40&v=4 + theodelrieu + https://github.com/theodelrieu - https://avatars.githubusercontent.com/u/594957?s=40&v=4 - alranel - https://github.com/alranel + https://avatars.githubusercontent.com/u/320854?s=40&v=4 + falbrechtskirchinger + https://github.com/falbrechtskirchinger - https://avatars.githubusercontent.com/u/19184891?s=40&v=4 - YuSanka - https://github.com/YuSanka + https://avatars.githubusercontent.com/u/736001?s=40&v=4 + Teemperor + https://github.com/Teemperor - https://avatars.githubusercontent.com/u/33929324?s=40&v=4 - lukasmatena - https://github.com/lukasmatena + https://avatars.githubusercontent.com/u/191582?s=40&v=4 + aburgh + https://github.com/aburgh @@ -348,7 +386,7 @@ https://github.com/typesense/typesense C++ #f34b7d - 21,270 + 21,275 659 131 @@ -380,296 +418,372 @@ - openvinotoolkit/openvino - https://github.com/openvinotoolkit/openvino - OpenVINO™ is an open-source toolkit for optimizing and deploying AI inference - https://github.com/openvinotoolkit/openvino + Neargye/magic_enum + https://github.com/Neargye/magic_enum + Static reflection for enums (to string, from string, iteration) for modern C++, work with any enum type without any macro or boilerplate code + https://github.com/Neargye/magic_enum C++ #f34b7d - 7,334 - 2,286 - 47 + 4,984 + 445 + 38 - https://avatars.githubusercontent.com/u/2566854?s=40&v=4 - ilya-lavrenov - https://github.com/ilya-lavrenov + https://avatars.githubusercontent.com/u/7997966?s=40&v=4 + Neargye + https://github.com/Neargye - https://avatars.githubusercontent.com/u/4737252?s=40&v=4 - ilyachur - https://github.com/ilyachur + https://avatars.githubusercontent.com/u/6457941?s=40&v=4 + schaumb + https://github.com/schaumb - https://avatars.githubusercontent.com/u/35459624?s=40&v=4 - rkazants - https://github.com/rkazants + https://avatars.githubusercontent.com/u/128712?s=40&v=4 + alexkaratarakis + https://github.com/alexkaratarakis - https://avatars.githubusercontent.com/u/16835333?s=40&v=4 - mvafin - https://github.com/mvafin + https://avatars.githubusercontent.com/u/1284289?s=40&v=4 + zaucy + https://github.com/zaucy + + + + prusa3d/PrusaSlicer + https://github.com/prusa3d/PrusaSlicer + G-code generator for 3D printers (RepRap, Makerbot, Ultimaker etc.) + https://github.com/prusa3d/PrusaSlicer + C++ + #f34b7d + 7,777 + 1,941 + 21 + - https://avatars.githubusercontent.com/u/15000976?s=40&v=4 - vladimir-paramuzov - https://github.com/vladimir-paramuzov + https://avatars.githubusercontent.com/u/34307919?s=40&v=4 + enricoturri1966 + https://github.com/enricoturri1966 + + + https://avatars.githubusercontent.com/u/5830947?s=40&v=4 + bubnikv + https://github.com/bubnikv + + + https://avatars.githubusercontent.com/u/594957?s=40&v=4 + alranel + https://github.com/alranel + + + https://avatars.githubusercontent.com/u/19184891?s=40&v=4 + YuSanka + https://github.com/YuSanka + + + https://avatars.githubusercontent.com/u/33929324?s=40&v=4 + lukasmatena + https://github.com/lukasmatena - Tencent/ncnn - https://github.com/Tencent/ncnn - ncnn is a high-performance neural network inference framework optimized for the mobile platform - https://github.com/Tencent/ncnn + sogou/workflow + https://github.com/sogou/workflow + C++ Parallel Computing and Asynchronous Networking Framework + https://github.com/sogou/workflow C++ #f34b7d - 20,533 - 4,175 - 52 + 13,169 + 2,422 + 34 - https://avatars.githubusercontent.com/u/171016?s=40&v=4 - nihui - https://github.com/nihui + https://avatars.githubusercontent.com/u/52160700?s=40&v=4 + Barenboim + https://github.com/Barenboim + + + https://avatars.githubusercontent.com/u/1880011?s=40&v=4 + holmes1412 + https://github.com/holmes1412 - https://avatars.githubusercontent.com/u/3831847?s=40&v=4 - zchrissirhcz - https://github.com/zchrissirhcz + https://avatars.githubusercontent.com/u/16952500?s=40&v=4 + wzl12356 + https://github.com/wzl12356 - https://avatars.githubusercontent.com/u/13964381?s=40&v=4 - BUG1989 - https://github.com/BUG1989 + https://avatars.githubusercontent.com/u/8709073?s=40&v=4 + kedixa + https://github.com/kedixa - https://avatars.githubusercontent.com/u/7872421?s=40&v=4 - tpoisonooo - https://github.com/tpoisonooo + https://avatars.githubusercontent.com/u/27816166?s=40&v=4 + chanchann + https://github.com/chanchann - shader-slang/slang - https://github.com/shader-slang/slang - Making it easier to work with shaders - https://github.com/shader-slang/slang + microsoft/onnxruntime + https://github.com/microsoft/onnxruntime + ONNX Runtime: cross-platform, high performance ML inferencing and training accelerator + https://github.com/microsoft/onnxruntime C++ #f34b7d - 2,826 - 207 - 539 + 14,799 + 2,940 + 91 - https://avatars.githubusercontent.com/u/2652293?s=40&v=4 - csyonghe - https://github.com/csyonghe + https://avatars.githubusercontent.com/u/856316?s=40&v=4 + snnn + https://github.com/snnn - https://avatars.githubusercontent.com/u/14912361?s=40&v=4 - jsmall-zzz - https://github.com/jsmall-zzz + https://avatars.githubusercontent.com/u/979079?s=40&v=4 + skottmckay + https://github.com/skottmckay - https://avatars.githubusercontent.com/u/10618364?s=40&v=4 - tangent-vector - https://github.com/tangent-vector + https://avatars.githubusercontent.com/u/18449977?s=40&v=4 + edgchen1 + https://github.com/edgchen1 - https://avatars.githubusercontent.com/u/857308?s=40&v=4 - expipiplus1 - https://github.com/expipiplus1 + https://avatars.githubusercontent.com/u/7679871?s=40&v=4 + fs-eire + https://github.com/fs-eire - https://avatars.githubusercontent.com/u/31557731?s=40&v=4 - saipraveenb25 - https://github.com/saipraveenb25 + https://avatars.githubusercontent.com/u/30328909?s=40&v=4 + tianleiwu + https://github.com/tianleiwu - Neargye/magic_enum - https://github.com/Neargye/magic_enum - Static reflection for enums (to string, from string, iteration) for modern C++, work with any enum type without any macro or boilerplate code - https://github.com/Neargye/magic_enum + dogecoin/dogecoin + https://github.com/dogecoin/dogecoin + very currency + https://github.com/dogecoin/dogecoin C++ #f34b7d - 4,984 - 445 - 38 + 14,669 + 2,884 + 45 - https://avatars.githubusercontent.com/u/7997966?s=40&v=4 - Neargye - https://github.com/Neargye + https://avatars.githubusercontent.com/u/126646?s=40&v=4 + laanwj + https://github.com/laanwj - https://avatars.githubusercontent.com/u/6457941?s=40&v=4 - schaumb - https://github.com/schaumb + https://avatars.githubusercontent.com/u/1410115?s=40&v=4 + patricklodder + https://github.com/patricklodder - https://avatars.githubusercontent.com/u/128712?s=40&v=4 - alexkaratarakis - https://github.com/alexkaratarakis + https://avatars.githubusercontent.com/u/649246?s=40&v=4 + TheBlueMatt + https://github.com/TheBlueMatt - https://avatars.githubusercontent.com/u/1284289?s=40&v=4 - zaucy - https://github.com/zaucy + https://avatars.githubusercontent.com/u/548488?s=40&v=4 + sipa + https://github.com/sipa + + + https://avatars.githubusercontent.com/u/50255?s=40&v=4 + chromatic + https://github.com/chromatic + + + + + esphome/esphome + https://github.com/esphome/esphome + ESPHome is a system to control your ESP8266/ESP32 by simple yet powerful configuration files and control them remotely through Home Automation systems. + https://github.com/esphome/esphome + C++ + #f34b7d + 8,564 + 3,703 + 34 + + + https://avatars.githubusercontent.com/u/3060199?s=40&v=4 + jesserockz + https://github.com/jesserockz + + + https://avatars.githubusercontent.com/u/6833237?s=40&v=4 + OttoWinter + https://github.com/OttoWinter + + + https://avatars.githubusercontent.com/u/197154?s=40&v=4 + oxan + https://github.com/oxan + + + https://avatars.githubusercontent.com/u/21233191?s=40&v=4 + kbx81 + https://github.com/kbx81 - notepad-plus-plus/notepad-plus-plus - https://github.com/notepad-plus-plus/notepad-plus-plus - Notepad++ official repository - https://github.com/notepad-plus-plus/notepad-plus-plus + official-stockfish/Stockfish + https://github.com/official-stockfish/Stockfish + A free and strong UCI chess engine + https://github.com/official-stockfish/Stockfish C++ #f34b7d - 23,088 - 4,619 - 74 + 11,698 + 2,295 + 55 - https://avatars.githubusercontent.com/u/90293?s=40&v=4 - donho - https://github.com/donho + https://avatars.githubusercontent.com/u/4202567?s=40&v=4 + vondele + https://github.com/vondele - https://avatars.githubusercontent.com/u/55940305?s=40&v=4 - ozone10 - https://github.com/ozone10 + https://avatars.githubusercontent.com/u/6761856?s=40&v=4 + snicolet + https://github.com/snicolet - https://avatars.githubusercontent.com/u/14791461?s=40&v=4 - SinghRajenM - https://github.com/SinghRajenM + https://avatars.githubusercontent.com/u/26898827?s=40&v=4 + Vizvezdenec + https://github.com/Vizvezdenec - https://avatars.githubusercontent.com/u/13075183?s=40&v=4 - xomx - https://github.com/xomx + https://avatars.githubusercontent.com/u/11150271?s=40&v=4 + FauziAkram + https://github.com/FauziAkram - https://avatars.githubusercontent.com/u/30118311?s=40&v=4 - sasumner - https://github.com/sasumner + https://avatars.githubusercontent.com/u/953505?s=40&v=4 + locutus2 + https://github.com/locutus2 - ArduPilot/ardupilot - https://github.com/ArduPilot/ardupilot - ArduPlane, ArduCopter, ArduRover, ArduSub source - https://github.com/ArduPilot/ardupilot + doctest/doctest + https://github.com/doctest/doctest + The fastest feature-rich C++11/14/17/20/23 single-header testing framework + https://github.com/doctest/doctest C++ #f34b7d - 11,053 - 17,609 - 34 + 5,932 + 643 + 20 - https://avatars.githubusercontent.com/u/831867?s=40&v=4 - tridge - https://github.com/tridge + https://avatars.githubusercontent.com/u/4648169?s=40&v=4 + onqtam + https://github.com/onqtam - https://avatars.githubusercontent.com/u/7077857?s=40&v=4 - peterbarker - https://github.com/peterbarker + https://avatars.githubusercontent.com/u/29021710?s=40&v=4 + Saalvage + https://github.com/Saalvage - https://avatars.githubusercontent.com/u/1498098?s=40&v=4 - rmackay9 - https://github.com/rmackay9 + https://avatars.githubusercontent.com/u/9395011?s=40&v=4 + DaanDeMeyer + https://github.com/DaanDeMeyer - https://avatars.githubusercontent.com/u/33176108?s=40&v=4 - IamPete1 - https://github.com/IamPete1 + https://avatars.githubusercontent.com/u/56256655?s=40&v=4 + BerengerBerthoul + https://github.com/BerengerBerthoul - https://avatars.githubusercontent.com/u/31864?s=40&v=4 - lucasdemarchi - https://github.com/lucasdemarchi + https://avatars.githubusercontent.com/u/6236568?s=40&v=4 + dimztimz + https://github.com/dimztimz - emscripten-core/emscripten - https://github.com/emscripten-core/emscripten - Emscripten: An LLVM-to-WebAssembly Compiler - https://github.com/emscripten-core/emscripten + openvinotoolkit/openvino + https://github.com/openvinotoolkit/openvino + OpenVINO™ is an open-source toolkit for optimizing and deploying AI inference + https://github.com/openvinotoolkit/openvino C++ #f34b7d - 25,872 - 3,318 - 42 + 7,335 + 2,287 + 47 - https://avatars.githubusercontent.com/u/173661?s=40&v=4 - kripken - https://github.com/kripken + https://avatars.githubusercontent.com/u/2566854?s=40&v=4 + ilya-lavrenov + https://github.com/ilya-lavrenov - https://avatars.githubusercontent.com/u/515813?s=40&v=4 - sbc100 - https://github.com/sbc100 + https://avatars.githubusercontent.com/u/4737252?s=40&v=4 + ilyachur + https://github.com/ilyachur - https://avatars.githubusercontent.com/u/225351?s=40&v=4 - juj - https://github.com/juj + https://avatars.githubusercontent.com/u/35459624?s=40&v=4 + rkazants + https://github.com/rkazants - https://avatars.githubusercontent.com/u/178582?s=40&v=4 - waywardmonkeys - https://github.com/waywardmonkeys + https://avatars.githubusercontent.com/u/16835333?s=40&v=4 + mvafin + https://github.com/mvafin - https://avatars.githubusercontent.com/u/168508?s=40&v=4 - ehsan - https://github.com/ehsan + https://avatars.githubusercontent.com/u/15000976?s=40&v=4 + vladimir-paramuzov + https://github.com/vladimir-paramuzov - microsoft/onnxruntime - https://github.com/microsoft/onnxruntime - ONNX Runtime: cross-platform, high performance ML inferencing and training accelerator - https://github.com/microsoft/onnxruntime + PaddlePaddle/Paddle + https://github.com/PaddlePaddle/Paddle + PArallel Distributed Deep LEarning: Machine Learning Framework from Industrial Practice (『飞桨』核心框架,深度学习&机器学习高性能单机、分布式训练和跨平台部署) + https://github.com/PaddlePaddle/Paddle C++ #f34b7d - 14,789 - 2,940 - 91 + 22,289 + 5,612 + 29 - https://avatars.githubusercontent.com/u/856316?s=40&v=4 - snnn - https://github.com/snnn + https://avatars.githubusercontent.com/u/728699?s=40&v=4 + reyoung + https://github.com/reyoung - https://avatars.githubusercontent.com/u/979079?s=40&v=4 - skottmckay - https://github.com/skottmckay + https://avatars.githubusercontent.com/u/6836917?s=40&v=4 + luotao1 + https://github.com/luotao1 - https://avatars.githubusercontent.com/u/18449977?s=40&v=4 - edgchen1 - https://github.com/edgchen1 + https://avatars.githubusercontent.com/u/3048612?s=40&v=4 + jacquesqiao + https://github.com/jacquesqiao - https://avatars.githubusercontent.com/u/7679871?s=40&v=4 - fs-eire - https://github.com/fs-eire + https://avatars.githubusercontent.com/u/29109408?s=40&v=4 + PaddleCI + https://github.com/PaddleCI - https://avatars.githubusercontent.com/u/30328909?s=40&v=4 - tianleiwu - https://github.com/tianleiwu + https://avatars.githubusercontent.com/u/21351065?s=40&v=4 + tensor-tang + https://github.com/tensor-tang @@ -680,8 +794,8 @@ https://github.com/facebook/react-native C++ #f34b7d - 119,422 - 24,366 + 119,431 + 24,367 166 @@ -712,78 +826,40 @@ - carla-simulator/carla - https://github.com/carla-simulator/carla - Open-source simulator for autonomous driving research. - https://github.com/carla-simulator/carla - C++ - #f34b7d - 11,438 - 3,710 - 52 - - - https://avatars.githubusercontent.com/u/4332953?s=40&v=4 - nsubiron - https://github.com/nsubiron - - - https://avatars.githubusercontent.com/u/45967456?s=40&v=4 - bernatx - https://github.com/bernatx - - - https://avatars.githubusercontent.com/u/15848712?s=40&v=4 - marcgpuig - https://github.com/marcgpuig - - - https://avatars.githubusercontent.com/u/35765780?s=40&v=4 - Axel1092 - https://github.com/Axel1092 - - - https://avatars.githubusercontent.com/u/5548733?s=40&v=4 - DSantosO - https://github.com/DSantosO - - - - - facebookincubator/velox - https://github.com/facebookincubator/velox - A composable and fully extensible C++ execution engine library for data management systems. - https://github.com/facebookincubator/velox + monero-project/monero + https://github.com/monero-project/monero + Monero: the secure, private, untraceable cryptocurrency + https://github.com/monero-project/monero C++ #f34b7d - 3,532 - 1,163 - 18 + 9,030 + 3,122 + 24 - https://avatars.githubusercontent.com/u/27965151?s=40&v=4 - mbasmanova - https://github.com/mbasmanova + https://avatars.githubusercontent.com/u/1944293?s=40&v=4 + fluffypony + https://github.com/fluffypony - https://avatars.githubusercontent.com/u/105822800?s=40&v=4 - xiaoxmeng - https://github.com/xiaoxmeng + https://avatars.githubusercontent.com/u/8851073?s=40&v=4 + moneromooo-monero + https://github.com/moneromooo-monero - https://avatars.githubusercontent.com/u/12956990?s=40&v=4 - pedroerp - https://github.com/pedroerp + https://avatars.githubusercontent.com/u/11213361?s=40&v=4 + luigi1111 + https://github.com/luigi1111 - https://avatars.githubusercontent.com/u/1740215?s=40&v=4 - Yuhta - https://github.com/Yuhta + https://avatars.githubusercontent.com/u/306354?s=40&v=4 + hyc + https://github.com/hyc - https://avatars.githubusercontent.com/u/13970752?s=40&v=4 - laithsakka - https://github.com/laithsakka + https://avatars.githubusercontent.com/u/1285502?s=40&v=4 + Snipa22 + https://github.com/Snipa22 diff --git a/data/weekly/c-objdump.json b/data/weekly/c-objdump.json index b9207f248358..b952cef98c26 100644 --- a/data/weekly/c-objdump.json +++ b/data/weekly/c-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub C-objdump Languages Weekly Trending", "description": "Weekly Trending of C-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/c-objdump.xml b/data/weekly/c-objdump.xml index 7222524e7709..cea997af3c6d 100644 --- a/data/weekly/c-objdump.xml +++ b/data/weekly/c-objdump.xml @@ -3,6 +3,6 @@ GitHub C-objdump Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of C-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/c.json b/data/weekly/c.json index cb485010f203..d168a611f22d 100644 --- a/data/weekly/c.json +++ b/data/weekly/c.json @@ -2,7 +2,7 @@ "title": "GitHub C Languages Weekly Trending", "description": "Weekly Trending of C Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "lvgl/lvgl", @@ -10,7 +10,7 @@ "description": "Embedded graphics library to create beautiful UIs for any MCU, MPU and display type.", "language": "C", "languageColor": "#555555", - "stars": "17,427", + "stars": "17,434", "forks": "3,289", "addStars": "539", "contributors": [ @@ -37,34 +37,76 @@ ] }, { - "title": "raysan5/raylib", - "url": "https://github.com/raysan5/raylib", - "description": "A simple and easy-to-use library to enjoy videogames programming", + "title": "xmrig/xmrig", + "url": "https://github.com/xmrig/xmrig", + "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", "language": "C", "languageColor": "#555555", - "stars": "22,903", - "forks": "2,290", - "addStars": "256", + "stars": "8,801", + "forks": "3,464", + "addStars": "43", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", - "name": "raysan5", - "url": "https://github.com/raysan5" + "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", + "name": "xmrig", + "url": "https://github.com/xmrig" }, { - "avatar": "https://avatars.githubusercontent.com/u/5204368?s=40&v=4", - "name": "a3f", - "url": "https://github.com/a3f" + "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", + "name": "SChernykh", + "url": "https://github.com/SChernykh" }, { - "avatar": "https://avatars.githubusercontent.com/u/10688349?s=40&v=4", - "name": "victorfisac", - "url": "https://github.com/victorfisac" + "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", + "name": "Spudz76", + "url": "https://github.com/Spudz76" }, { - "avatar": "https://avatars.githubusercontent.com/u/322174?s=40&v=4", - "name": "JeffM2501", - "url": "https://github.com/JeffM2501" + "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", + "name": "Foudge", + "url": "https://github.com/Foudge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", + "name": "pdxwebdev", + "url": "https://github.com/pdxwebdev" + } + ] + }, + { + "title": "libsdl-org/SDL", + "url": "https://github.com/libsdl-org/SDL", + "description": "Simple Directmedia Layer", + "language": "C", + "languageColor": "#555555", + "stars": "10,171", + "forks": "1,858", + "addStars": "171", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", + "name": "slouken", + "url": "https://github.com/slouken" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", + "name": "icculus", + "url": "https://github.com/icculus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", + "name": "sezero", + "url": "https://github.com/sezero" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", + "name": "1bsyl", + "url": "https://github.com/1bsyl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", + "name": "madebr", + "url": "https://github.com/madebr" } ] }, @@ -74,7 +116,7 @@ "description": "This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merged via staging trees then into openwrt.git.", "language": "C", "languageColor": "#555555", - "stars": "20,456", + "stars": "20,463", "forks": "10,545", "addStars": "126", "contributors": [ @@ -106,39 +148,108 @@ ] }, { - "title": "xmrig/xmrig", - "url": "https://github.com/xmrig/xmrig", - "description": "RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark", + "title": "raysan5/raylib", + "url": "https://github.com/raysan5/raylib", + "description": "A simple and easy-to-use library to enjoy videogames programming", "language": "C", "languageColor": "#555555", - "stars": "8,793", - "forks": "3,462", - "addStars": "43", + "stars": "22,908", + "forks": "2,289", + "addStars": "256", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27528955?s=40&v=4", - "name": "xmrig", - "url": "https://github.com/xmrig" + "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", + "name": "raysan5", + "url": "https://github.com/raysan5" }, { - "avatar": "https://avatars.githubusercontent.com/u/15806605?s=40&v=4", - "name": "SChernykh", - "url": "https://github.com/SChernykh" + "avatar": "https://avatars.githubusercontent.com/u/5204368?s=40&v=4", + "name": "a3f", + "url": "https://github.com/a3f" }, { - "avatar": "https://avatars.githubusercontent.com/u/2391234?s=40&v=4", - "name": "Spudz76", - "url": "https://github.com/Spudz76" + "avatar": "https://avatars.githubusercontent.com/u/10688349?s=40&v=4", + "name": "victorfisac", + "url": "https://github.com/victorfisac" }, { - "avatar": "https://avatars.githubusercontent.com/u/8947059?s=40&v=4", - "name": "Foudge", - "url": "https://github.com/Foudge" + "avatar": "https://avatars.githubusercontent.com/u/322174?s=40&v=4", + "name": "JeffM2501", + "url": "https://github.com/JeffM2501" + } + ] + }, + { + "title": "acidanthera/OpenCorePkg", + "url": "https://github.com/acidanthera/OpenCorePkg", + "description": "OpenCore bootloader", + "language": "C", + "languageColor": "#555555", + "stars": "13,470", + "forks": "2,117", + "addStars": "40", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", + "name": "vit9696", + "url": "https://github.com/vit9696" }, { - "avatar": "https://avatars.githubusercontent.com/u/490176?s=40&v=4", - "name": "pdxwebdev", - "url": "https://github.com/pdxwebdev" + "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", + "name": "mhaeuser", + "url": "https://github.com/mhaeuser" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", + "name": "Andrey1970AppleLife", + "url": "https://github.com/Andrey1970AppleLife" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", + "name": "mikebeaton", + "url": "https://github.com/mikebeaton" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", + "name": "PMheart", + "url": "https://github.com/PMheart" + } + ] + }, + { + "title": "Immediate-Mode-UI/Nuklear", + "url": "https://github.com/Immediate-Mode-UI/Nuklear", + "description": "A single-header ANSI C immediate mode cross-platform GUI library", + "language": "C", + "languageColor": "#555555", + "stars": "9,338", + "forks": "571", + "addStars": "30", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8057201?s=40&v=4", + "name": "vurtun", + "url": "https://github.com/vurtun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25086?s=40&v=4", + "name": "RobLoach", + "url": "https://github.com/RobLoach" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2451044?s=40&v=4", + "name": "dumblob", + "url": "https://github.com/dumblob" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/176167?s=40&v=4", + "name": "riri", + "url": "https://github.com/riri" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4019495?s=40&v=4", + "name": "DeXP", + "url": "https://github.com/DeXP" } ] }, @@ -148,7 +259,7 @@ "description": "A free Windows-compatible Operating System", "language": "C", "languageColor": "#555555", - "stars": "14,810", + "stars": "14,811", "forks": "1,776", "addStars": "46", "contributors": [ @@ -180,34 +291,76 @@ ] }, { - "title": "tursodatabase/libsql", - "url": "https://github.com/tursodatabase/libsql", - "description": "libSQL is a fork of SQLite that is both Open Source, and Open Contributions.", + "title": "raspberrypi/pico-sdk", + "url": "https://github.com/raspberrypi/pico-sdk", + "description": "", "language": "C", "languageColor": "#555555", - "stars": "11,826", - "forks": "294", - "addStars": "470", + "stars": "3,774", + "forks": "950", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28804882?s=40&v=4", - "name": "MarinPostma", - "url": "https://github.com/MarinPostma" + "avatar": "https://avatars.githubusercontent.com/u/575810?s=40&v=4", + "name": "kilograham", + "url": "https://github.com/kilograham" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/476186?s=40&v=4", + "name": "lurch", + "url": "https://github.com/lurch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1298595?s=40&v=4", + "name": "Wren6991", + "url": "https://github.com/Wren6991" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/77111776?s=40&v=4", + "name": "peterharperuk", + "url": "https://github.com/peterharperuk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4839615?s=40&v=4", + "name": "liamfraser", + "url": "https://github.com/liamfraser" + } + ] + }, + { + "title": "php/php-src", + "url": "https://github.com/php/php-src", + "description": "The PHP Interpreter", + "language": "C", + "languageColor": "#555555", + "stars": "38,284", + "forks": "7,757", + "addStars": "64", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2510034?s=40&v=4", + "name": "dstogov", + "url": "https://github.com/dstogov" }, { - "avatar": "https://avatars.githubusercontent.com/u/10433047?s=40&v=4", - "name": "psarna", - "url": "https://github.com/psarna" + "avatar": "https://avatars.githubusercontent.com/u/216080?s=40&v=4", + "name": "nikic", + "url": "https://github.com/nikic" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22016?s=40&v=4", + "name": "weltling", + "url": "https://github.com/weltling" }, { - "avatar": "https://avatars.githubusercontent.com/u/5758045?s=40&v=4", - "name": "LucioFranco", - "url": "https://github.com/LucioFranco" + "avatar": "https://avatars.githubusercontent.com/u/2306138?s=40&v=4", + "name": "cmb69", + "url": "https://github.com/cmb69" }, { - "avatar": "https://avatars.githubusercontent.com/u/81939?s=40&v=4", - "name": "penberg", - "url": "https://github.com/penberg" + "avatar": "https://avatars.githubusercontent.com/u/382813?s=40&v=4", + "name": "laruence", + "url": "https://github.com/laruence" } ] }, @@ -217,7 +370,7 @@ "description": "The official NGINX Open Source repository.", "language": "C", "languageColor": "#555555", - "stars": "25,277", + "stars": "25,283", "forks": "7,001", "addStars": "100", "contributors": [ @@ -248,50 +401,13 @@ } ] }, - { - "title": "tmux/tmux", - "url": "https://github.com/tmux/tmux", - "description": "tmux source code", - "language": "C", - "languageColor": "#555555", - "stars": "35,526", - "forks": "2,130", - "addStars": "126", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/304243?s=40&v=4", - "name": "nicm", - "url": "https://github.com/nicm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/101281?s=40&v=4", - "name": "ThomasAdam", - "url": "https://github.com/ThomasAdam" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/772823?s=40&v=4", - "name": "tcunha", - "url": "https://github.com/tcunha" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22438522?s=40&v=4", - "name": "topcat001", - "url": "https://github.com/topcat001" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/97253?s=40&v=4", - "name": "mathstuf", - "url": "https://github.com/mathstuf" - } - ] - }, { "title": "istoreos/istoreos", "url": "https://github.com/istoreos/istoreos", "description": "提供一个人人会用的的路由、NAS系统 (目前活跃的分支是 istoreos-22.03)", "language": "C", "languageColor": "#555555", - "stars": "5,100", + "stars": "5,105", "forks": "535", "addStars": "60", "contributors": [ @@ -323,224 +439,150 @@ ] }, { - "title": "raspberrypi/pico-sdk", - "url": "https://github.com/raspberrypi/pico-sdk", - "description": "", - "language": "C", - "languageColor": "#555555", - "stars": "3,774", - "forks": "949", - "addStars": "22", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/575810?s=40&v=4", - "name": "kilograham", - "url": "https://github.com/kilograham" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/476186?s=40&v=4", - "name": "lurch", - "url": "https://github.com/lurch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1298595?s=40&v=4", - "name": "Wren6991", - "url": "https://github.com/Wren6991" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/77111776?s=40&v=4", - "name": "peterharperuk", - "url": "https://github.com/peterharperuk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4839615?s=40&v=4", - "name": "liamfraser", - "url": "https://github.com/liamfraser" - } - ] - }, - { - "title": "php/php-src", - "url": "https://github.com/php/php-src", - "description": "The PHP Interpreter", - "language": "C", - "languageColor": "#555555", - "stars": "38,283", - "forks": "7,757", - "addStars": "64", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2510034?s=40&v=4", - "name": "dstogov", - "url": "https://github.com/dstogov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/216080?s=40&v=4", - "name": "nikic", - "url": "https://github.com/nikic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22016?s=40&v=4", - "name": "weltling", - "url": "https://github.com/weltling" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2306138?s=40&v=4", - "name": "cmb69", - "url": "https://github.com/cmb69" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/382813?s=40&v=4", - "name": "laruence", - "url": "https://github.com/laruence" - } - ] - }, - { - "title": "libsdl-org/SDL", - "url": "https://github.com/libsdl-org/SDL", - "description": "Simple Directmedia Layer", + "title": "tmux/tmux", + "url": "https://github.com/tmux/tmux", + "description": "tmux source code", "language": "C", "languageColor": "#555555", - "stars": "10,169", - "forks": "1,857", - "addStars": "171", + "stars": "35,530", + "forks": "2,130", + "addStars": "126", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2100061?s=40&v=4", - "name": "slouken", - "url": "https://github.com/slouken" + "avatar": "https://avatars.githubusercontent.com/u/304243?s=40&v=4", + "name": "nicm", + "url": "https://github.com/nicm" }, { - "avatar": "https://avatars.githubusercontent.com/u/673562?s=40&v=4", - "name": "icculus", - "url": "https://github.com/icculus" + "avatar": "https://avatars.githubusercontent.com/u/101281?s=40&v=4", + "name": "ThomasAdam", + "url": "https://github.com/ThomasAdam" }, { - "avatar": "https://avatars.githubusercontent.com/u/4222725?s=40&v=4", - "name": "sezero", - "url": "https://github.com/sezero" + "avatar": "https://avatars.githubusercontent.com/u/772823?s=40&v=4", + "name": "tcunha", + "url": "https://github.com/tcunha" }, { - "avatar": "https://avatars.githubusercontent.com/u/818728?s=40&v=4", - "name": "1bsyl", - "url": "https://github.com/1bsyl" + "avatar": "https://avatars.githubusercontent.com/u/22438522?s=40&v=4", + "name": "topcat001", + "url": "https://github.com/topcat001" }, { - "avatar": "https://avatars.githubusercontent.com/u/4138939?s=40&v=4", - "name": "madebr", - "url": "https://github.com/madebr" + "avatar": "https://avatars.githubusercontent.com/u/97253?s=40&v=4", + "name": "mathstuf", + "url": "https://github.com/mathstuf" } ] }, { - "title": "acidanthera/OpenCorePkg", - "url": "https://github.com/acidanthera/OpenCorePkg", - "description": "OpenCore bootloader", + "title": "gentilkiwi/mimikatz", + "url": "https://github.com/gentilkiwi/mimikatz", + "description": "A little tool to play with Windows security", "language": "C", "languageColor": "#555555", - "stars": "13,470", - "forks": "2,116", - "addStars": "40", + "stars": "19,491", + "forks": "3,738", + "addStars": "29", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4348897?s=40&v=4", - "name": "vit9696", - "url": "https://github.com/vit9696" + "avatar": "https://avatars.githubusercontent.com/u/2307945?s=40&v=4", + "name": "gentilkiwi", + "url": "https://github.com/gentilkiwi" }, { - "avatar": "https://avatars.githubusercontent.com/u/8659494?s=40&v=4", - "name": "mhaeuser", - "url": "https://github.com/mhaeuser" + "avatar": "https://avatars.githubusercontent.com/u/947995?s=40&v=4", + "name": "hubert3", + "url": "https://github.com/hubert3" }, { - "avatar": "https://avatars.githubusercontent.com/u/17758753?s=40&v=4", - "name": "Andrey1970AppleLife", - "url": "https://github.com/Andrey1970AppleLife" + "avatar": "https://avatars.githubusercontent.com/u/10632326?s=40&v=4", + "name": "vletoux", + "url": "https://github.com/vletoux" }, { - "avatar": "https://avatars.githubusercontent.com/u/11946605?s=40&v=4", - "name": "mikebeaton", - "url": "https://github.com/mikebeaton" + "avatar": "https://avatars.githubusercontent.com/u/1315097?s=40&v=4", + "name": "zhangyoufu", + "url": "https://github.com/zhangyoufu" }, { - "avatar": "https://avatars.githubusercontent.com/u/17109513?s=40&v=4", - "name": "PMheart", - "url": "https://github.com/PMheart" + "avatar": "https://avatars.githubusercontent.com/u/6207334?s=40&v=4", + "name": "SLiNv", + "url": "https://github.com/SLiNv" } ] }, { - "title": "valinet/ExplorerPatcher", - "url": "https://github.com/valinet/ExplorerPatcher", - "description": "This project aims to enhance the working environment on Windows", + "title": "RfidResearchGroup/proxmark3", + "url": "https://github.com/RfidResearchGroup/proxmark3", + "description": "Iceman Fork - Proxmark3", "language": "C", "languageColor": "#555555", - "stars": "24,960", - "forks": "1,059", - "addStars": "122", + "stars": "4,057", + "forks": "1,066", + "addStars": "34", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6503598?s=40&v=4", - "name": "valinet", - "url": "https://github.com/valinet" + "avatar": "https://avatars.githubusercontent.com/u/8577004?s=40&v=4", + "name": "iceman1001", + "url": "https://github.com/iceman1001" }, { - "avatar": "https://avatars.githubusercontent.com/u/20662640?s=40&v=4", - "name": "Amrsatrio", - "url": "https://github.com/Amrsatrio" + "avatar": "https://avatars.githubusercontent.com/u/60773?s=40&v=4", + "name": "doegox", + "url": "https://github.com/doegox" }, { - "avatar": "https://avatars.githubusercontent.com/u/5376197?s=40&v=4", - "name": "Speedy37", - "url": "https://github.com/Speedy37" + "avatar": "https://avatars.githubusercontent.com/u/807634?s=40&v=4", + "name": "merlokk", + "url": "https://github.com/merlokk" }, { - "avatar": "https://avatars.githubusercontent.com/u/6138789?s=40&v=4", - "name": "lordmilko", - "url": "https://github.com/lordmilko" + "avatar": "https://avatars.githubusercontent.com/u/61853685?s=40&v=4", + "name": "tharexde", + "url": "https://github.com/tharexde" }, { - "avatar": "https://avatars.githubusercontent.com/u/29201475?s=40&v=4", - "name": "BraINstinct0", - "url": "https://github.com/BraINstinct0" + "avatar": "https://avatars.githubusercontent.com/u/51802811?s=40&v=4", + "name": "mwalker33", + "url": "https://github.com/mwalker33" } ] }, { - "title": "Immediate-Mode-UI/Nuklear", - "url": "https://github.com/Immediate-Mode-UI/Nuklear", - "description": "A single-header ANSI C immediate mode cross-platform GUI library", + "title": "facebook/zstd", + "url": "https://github.com/facebook/zstd", + "description": "Zstandard - Fast real-time compression algorithm", "language": "C", "languageColor": "#555555", - "stars": "9,335", - "forks": "571", - "addStars": "30", + "stars": "23,817", + "forks": "2,112", + "addStars": "70", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8057201?s=40&v=4", - "name": "vurtun", - "url": "https://github.com/vurtun" + "avatar": "https://avatars.githubusercontent.com/u/750081?s=40&v=4", + "name": "Cyan4973", + "url": "https://github.com/Cyan4973" }, { - "avatar": "https://avatars.githubusercontent.com/u/25086?s=40&v=4", - "name": "RobLoach", - "url": "https://github.com/RobLoach" + "avatar": "https://avatars.githubusercontent.com/u/6619134?s=40&v=4", + "name": "terrelln", + "url": "https://github.com/terrelln" }, { - "avatar": "https://avatars.githubusercontent.com/u/2451044?s=40&v=4", - "name": "dumblob", - "url": "https://github.com/dumblob" + "avatar": "https://avatars.githubusercontent.com/u/15112322?s=40&v=4", + "name": "inikep", + "url": "https://github.com/inikep" }, { - "avatar": "https://avatars.githubusercontent.com/u/176167?s=40&v=4", - "name": "riri", - "url": "https://github.com/riri" + "avatar": "https://avatars.githubusercontent.com/u/1154694?s=40&v=4", + "name": "felixhandte", + "url": "https://github.com/felixhandte" }, { - "avatar": "https://avatars.githubusercontent.com/u/4019495?s=40&v=4", - "name": "DeXP", - "url": "https://github.com/DeXP" + "avatar": "https://avatars.githubusercontent.com/u/17059792?s=40&v=4", + "name": "senhuang42", + "url": "https://github.com/senhuang42" } ] }, @@ -550,7 +592,7 @@ "description": "支持国密SM2/SM3/SM4/SM9/SSL的密码工具箱", "language": "C", "languageColor": "#555555", - "stars": "5,218", + "stars": "5,219", "forks": "1,673", "addStars": "24", "contributors": [ @@ -582,187 +624,150 @@ ] }, { - "title": "RfidResearchGroup/proxmark3", - "url": "https://github.com/RfidResearchGroup/proxmark3", - "description": "Iceman Fork - Proxmark3", - "language": "C", - "languageColor": "#555555", - "stars": "4,056", - "forks": "1,066", - "addStars": "34", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8577004?s=40&v=4", - "name": "iceman1001", - "url": "https://github.com/iceman1001" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60773?s=40&v=4", - "name": "doegox", - "url": "https://github.com/doegox" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/807634?s=40&v=4", - "name": "merlokk", - "url": "https://github.com/merlokk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/61853685?s=40&v=4", - "name": "tharexde", - "url": "https://github.com/tharexde" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51802811?s=40&v=4", - "name": "mwalker33", - "url": "https://github.com/mwalker33" - } - ] - }, - { - "title": "asterisk/asterisk", - "url": "https://github.com/asterisk/asterisk", - "description": "The official Asterisk Project repository.", + "title": "soedinglab/MMseqs2", + "url": "https://github.com/soedinglab/MMseqs2", + "description": "MMseqs2: ultra fast and sensitive search and clustering suite", "language": "C", "languageColor": "#555555", - "stars": "2,265", - "forks": "980", - "addStars": "15", + "stars": "1,459", + "forks": "198", + "addStars": "17", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/631932?s=40&v=4", - "name": "jcolp", - "url": "https://github.com/jcolp" + "avatar": "https://avatars.githubusercontent.com/u/63657?s=40&v=4", + "name": "milot-mirdita", + "url": "https://github.com/milot-mirdita" }, { - "avatar": "https://avatars.githubusercontent.com/u/309258?s=40&v=4", - "name": "russellb", - "url": "https://github.com/russellb" + "avatar": "https://avatars.githubusercontent.com/u/1413571?s=40&v=4", + "name": "martin-steinegger", + "url": "https://github.com/martin-steinegger" }, { - "avatar": "https://avatars.githubusercontent.com/u/531589?s=40&v=4", - "name": "tilghman", - "url": "https://github.com/tilghman" + "avatar": "https://avatars.githubusercontent.com/u/17083184?s=40&v=4", + "name": "ClovisG", + "url": "https://github.com/ClovisG" }, { - "avatar": "https://avatars.githubusercontent.com/u/37188649?s=40&v=4", - "name": "rmudgett9125", - "url": "https://github.com/rmudgett9125" + "avatar": "https://avatars.githubusercontent.com/u/35374203?s=40&v=4", + "name": "elileka", + "url": "https://github.com/elileka" }, { - "avatar": "https://avatars.githubusercontent.com/u/20310?s=40&v=4", - "name": "oej", - "url": "https://github.com/oej" + "avatar": "https://avatars.githubusercontent.com/u/8051207?s=40&v=4", + "name": "AnnSeidel", + "url": "https://github.com/AnnSeidel" } ] }, { - "title": "raysan5/raygui", - "url": "https://github.com/raysan5/raygui", - "description": "A simple and easy-to-use immediate-mode gui library", + "title": "eclipse-mosquitto/mosquitto", + "url": "https://github.com/eclipse-mosquitto/mosquitto", + "description": "Eclipse Mosquitto - An open source MQTT broker", "language": "C", "languageColor": "#555555", - "stars": "3,593", - "forks": "304", - "addStars": "31", + "stars": "9,124", + "forks": "2,408", + "addStars": "21", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5766837?s=40&v=4", - "name": "raysan5", - "url": "https://github.com/raysan5" + "avatar": "https://avatars.githubusercontent.com/u/79578?s=40&v=4", + "name": "ralight", + "url": "https://github.com/ralight" }, { - "avatar": "https://avatars.githubusercontent.com/u/9116727?s=40&v=4", - "name": "anidealgift", - "url": "https://github.com/anidealgift" + "avatar": "https://avatars.githubusercontent.com/u/127907?s=40&v=4", + "name": "karlp", + "url": "https://github.com/karlp" }, { - "avatar": "https://avatars.githubusercontent.com/u/25086?s=40&v=4", - "name": "RobLoach", - "url": "https://github.com/RobLoach" + "avatar": "https://avatars.githubusercontent.com/u/359833?s=40&v=4", + "name": "PierreF", + "url": "https://github.com/PierreF" }, { - "avatar": "https://avatars.githubusercontent.com/u/27865535?s=40&v=4", - "name": "Demizdor", - "url": "https://github.com/Demizdor" + "avatar": "https://avatars.githubusercontent.com/u/692657?s=40&v=4", + "name": "abiliojr", + "url": "https://github.com/abiliojr" }, { - "avatar": "https://avatars.githubusercontent.com/u/87268284?s=40&v=4", - "name": "hanaxar", - "url": "https://github.com/hanaxar" + "avatar": "https://avatars.githubusercontent.com/u/3803503?s=40&v=4", + "name": "Hallot", + "url": "https://github.com/Hallot" } ] }, { - "title": "libusb/libusb", - "url": "https://github.com/libusb/libusb", - "description": "A cross-platform library to access USB devices", + "title": "DarkFlippers/unleashed-firmware", + "url": "https://github.com/DarkFlippers/unleashed-firmware", + "description": "Flipper Zero Unleashed Firmware", "language": "C", "languageColor": "#555555", - "stars": "5,317", - "forks": "1,925", - "addStars": "15", + "stars": "17,657", + "forks": "1,464", + "addStars": "86", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/189685?s=40&v=4", - "name": "dickens", - "url": "https://github.com/dickens" + "avatar": "https://avatars.githubusercontent.com/u/10697207?s=40&v=4", + "name": "xMasterX", + "url": "https://github.com/xMasterX" }, { - "avatar": "https://avatars.githubusercontent.com/u/1206968?s=40&v=4", - "name": "pbatard", - "url": "https://github.com/pbatard" + "avatar": "https://avatars.githubusercontent.com/u/1633132?s=40&v=4", + "name": "skotopes", + "url": "https://github.com/skotopes" }, { - "avatar": "https://avatars.githubusercontent.com/u/1226817?s=40&v=4", - "name": "hjelmn", - "url": "https://github.com/hjelmn" + "avatar": "https://avatars.githubusercontent.com/u/277532?s=40&v=4", + "name": "hedger", + "url": "https://github.com/hedger" }, { - "avatar": "https://avatars.githubusercontent.com/u/555349?s=40&v=4", - "name": "jwrdegoede", - "url": "https://github.com/jwrdegoede" + "avatar": "https://avatars.githubusercontent.com/u/44112859?s=40&v=4", + "name": "gornekich", + "url": "https://github.com/gornekich" }, { - "avatar": "https://avatars.githubusercontent.com/u/117260?s=40&v=4", - "name": "seanm", - "url": "https://github.com/seanm" + "avatar": "https://avatars.githubusercontent.com/u/4784169?s=40&v=4", + "name": "DrZlo13", + "url": "https://github.com/DrZlo13" } ] }, { - "title": "nanopb/nanopb", - "url": "https://github.com/nanopb/nanopb", - "description": "Protocol Buffers with small code size", + "title": "Ysurac/openmptcprouter", + "url": "https://github.com/Ysurac/openmptcprouter", + "description": "OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt", "language": "C", "languageColor": "#555555", - "stars": "4,380", - "forks": "859", - "addStars": "15", + "stars": "1,910", + "forks": "273", + "addStars": "48", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/922265?s=40&v=4", - "name": "PetteriAimonen", - "url": "https://github.com/PetteriAimonen" + "avatar": "https://avatars.githubusercontent.com/u/6342954?s=40&v=4", + "name": "Ysurac", + "url": "https://github.com/Ysurac" }, { - "avatar": "https://avatars.githubusercontent.com/u/936367?s=40&v=4", - "name": "kylemanna", - "url": "https://github.com/kylemanna" + "avatar": "https://avatars.githubusercontent.com/u/19888555?s=40&v=4", + "name": "WelterRocks", + "url": "https://github.com/WelterRocks" }, { - "avatar": "https://avatars.githubusercontent.com/u/2965031?s=40&v=4", - "name": "oliverlee", - "url": "https://github.com/oliverlee" + "avatar": "https://avatars.githubusercontent.com/u/25760697?s=40&v=4", + "name": "user747", + "url": "https://github.com/user747" }, { - "avatar": "https://avatars.githubusercontent.com/u/17883887?s=40&v=4", - "name": "joshstrohminger", - "url": "https://github.com/joshstrohminger" + "avatar": "https://avatars.githubusercontent.com/u/221256?s=40&v=4", + "name": "asmodehn", + "url": "https://github.com/asmodehn" }, { - "avatar": "https://avatars.githubusercontent.com/u/3110986?s=40&v=4", - "name": "theunkn0wn1", - "url": "https://github.com/theunkn0wn1" + "avatar": "https://avatars.githubusercontent.com/u/873469?s=40&v=4", + "name": "anaelorlinski", + "url": "https://github.com/anaelorlinski" } ] } diff --git a/data/weekly/c.xml b/data/weekly/c.xml index b6d33cb42412..0d8bd3ad7b36 100644 --- a/data/weekly/c.xml +++ b/data/weekly/c.xml @@ -3,7 +3,7 @@ GitHub C Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of C Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT lvgl/lvgl https://github.com/lvgl/lvgl @@ -11,7 +11,7 @@ https://github.com/lvgl/lvgl C #555555 - 17,427 + 17,434 3,289 539 @@ -38,35 +38,78 @@ - raysan5/raylib - https://github.com/raysan5/raylib - A simple and easy-to-use library to enjoy videogames programming - https://github.com/raysan5/raylib + xmrig/xmrig + https://github.com/xmrig/xmrig + RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark + https://github.com/xmrig/xmrig C #555555 - 22,903 - 2,290 - 256 + 8,801 + 3,464 + 43 - https://avatars.githubusercontent.com/u/5766837?s=40&v=4 - raysan5 - https://github.com/raysan5 + https://avatars.githubusercontent.com/u/27528955?s=40&v=4 + xmrig + https://github.com/xmrig - https://avatars.githubusercontent.com/u/5204368?s=40&v=4 - a3f - https://github.com/a3f + https://avatars.githubusercontent.com/u/15806605?s=40&v=4 + SChernykh + https://github.com/SChernykh - https://avatars.githubusercontent.com/u/10688349?s=40&v=4 - victorfisac - https://github.com/victorfisac + https://avatars.githubusercontent.com/u/2391234?s=40&v=4 + Spudz76 + https://github.com/Spudz76 - https://avatars.githubusercontent.com/u/322174?s=40&v=4 - JeffM2501 - https://github.com/JeffM2501 + https://avatars.githubusercontent.com/u/8947059?s=40&v=4 + Foudge + https://github.com/Foudge + + + https://avatars.githubusercontent.com/u/490176?s=40&v=4 + pdxwebdev + https://github.com/pdxwebdev + + + + + libsdl-org/SDL + https://github.com/libsdl-org/SDL + Simple Directmedia Layer + https://github.com/libsdl-org/SDL + C + #555555 + 10,171 + 1,858 + 171 + + + https://avatars.githubusercontent.com/u/2100061?s=40&v=4 + slouken + https://github.com/slouken + + + https://avatars.githubusercontent.com/u/673562?s=40&v=4 + icculus + https://github.com/icculus + + + https://avatars.githubusercontent.com/u/4222725?s=40&v=4 + sezero + https://github.com/sezero + + + https://avatars.githubusercontent.com/u/818728?s=40&v=4 + 1bsyl + https://github.com/1bsyl + + + https://avatars.githubusercontent.com/u/4138939?s=40&v=4 + madebr + https://github.com/madebr @@ -77,7 +120,7 @@ https://github.com/openwrt/openwrt C #555555 - 20,456 + 20,463 10,545 126 @@ -109,40 +152,111 @@ - xmrig/xmrig - https://github.com/xmrig/xmrig - RandomX, KawPow, CryptoNight and GhostRider unified CPU/GPU miner and RandomX benchmark - https://github.com/xmrig/xmrig + raysan5/raylib + https://github.com/raysan5/raylib + A simple and easy-to-use library to enjoy videogames programming + https://github.com/raysan5/raylib C #555555 - 8,793 - 3,462 - 43 + 22,908 + 2,289 + 256 - https://avatars.githubusercontent.com/u/27528955?s=40&v=4 - xmrig - https://github.com/xmrig + https://avatars.githubusercontent.com/u/5766837?s=40&v=4 + raysan5 + https://github.com/raysan5 - https://avatars.githubusercontent.com/u/15806605?s=40&v=4 - SChernykh - https://github.com/SChernykh + https://avatars.githubusercontent.com/u/5204368?s=40&v=4 + a3f + https://github.com/a3f - https://avatars.githubusercontent.com/u/2391234?s=40&v=4 - Spudz76 - https://github.com/Spudz76 + https://avatars.githubusercontent.com/u/10688349?s=40&v=4 + victorfisac + https://github.com/victorfisac - https://avatars.githubusercontent.com/u/8947059?s=40&v=4 - Foudge - https://github.com/Foudge + https://avatars.githubusercontent.com/u/322174?s=40&v=4 + JeffM2501 + https://github.com/JeffM2501 + + + + acidanthera/OpenCorePkg + https://github.com/acidanthera/OpenCorePkg + OpenCore bootloader + https://github.com/acidanthera/OpenCorePkg + C + #555555 + 13,470 + 2,117 + 40 + - https://avatars.githubusercontent.com/u/490176?s=40&v=4 - pdxwebdev - https://github.com/pdxwebdev + https://avatars.githubusercontent.com/u/4348897?s=40&v=4 + vit9696 + https://github.com/vit9696 + + + https://avatars.githubusercontent.com/u/8659494?s=40&v=4 + mhaeuser + https://github.com/mhaeuser + + + https://avatars.githubusercontent.com/u/17758753?s=40&v=4 + Andrey1970AppleLife + https://github.com/Andrey1970AppleLife + + + https://avatars.githubusercontent.com/u/11946605?s=40&v=4 + mikebeaton + https://github.com/mikebeaton + + + https://avatars.githubusercontent.com/u/17109513?s=40&v=4 + PMheart + https://github.com/PMheart + + + + + Immediate-Mode-UI/Nuklear + https://github.com/Immediate-Mode-UI/Nuklear + A single-header ANSI C immediate mode cross-platform GUI library + https://github.com/Immediate-Mode-UI/Nuklear + C + #555555 + 9,338 + 571 + 30 + + + https://avatars.githubusercontent.com/u/8057201?s=40&v=4 + vurtun + https://github.com/vurtun + + + https://avatars.githubusercontent.com/u/25086?s=40&v=4 + RobLoach + https://github.com/RobLoach + + + https://avatars.githubusercontent.com/u/2451044?s=40&v=4 + dumblob + https://github.com/dumblob + + + https://avatars.githubusercontent.com/u/176167?s=40&v=4 + riri + https://github.com/riri + + + https://avatars.githubusercontent.com/u/4019495?s=40&v=4 + DeXP + https://github.com/DeXP @@ -153,7 +267,7 @@ https://github.com/reactos/reactos C #555555 - 14,810 + 14,811 1,776 46 @@ -185,35 +299,78 @@ - tursodatabase/libsql - https://github.com/tursodatabase/libsql - libSQL is a fork of SQLite that is both Open Source, and Open Contributions. - https://github.com/tursodatabase/libsql + raspberrypi/pico-sdk + https://github.com/raspberrypi/pico-sdk + + https://github.com/raspberrypi/pico-sdk C #555555 - 11,826 - 294 - 470 + 3,774 + 950 + 22 + + + https://avatars.githubusercontent.com/u/575810?s=40&v=4 + kilograham + https://github.com/kilograham + + + https://avatars.githubusercontent.com/u/476186?s=40&v=4 + lurch + https://github.com/lurch + + + https://avatars.githubusercontent.com/u/1298595?s=40&v=4 + Wren6991 + https://github.com/Wren6991 + + + https://avatars.githubusercontent.com/u/77111776?s=40&v=4 + peterharperuk + https://github.com/peterharperuk + + + https://avatars.githubusercontent.com/u/4839615?s=40&v=4 + liamfraser + https://github.com/liamfraser + + + + + php/php-src + https://github.com/php/php-src + The PHP Interpreter + https://github.com/php/php-src + C + #555555 + 38,284 + 7,757 + 64 - https://avatars.githubusercontent.com/u/28804882?s=40&v=4 - MarinPostma - https://github.com/MarinPostma + https://avatars.githubusercontent.com/u/2510034?s=40&v=4 + dstogov + https://github.com/dstogov - https://avatars.githubusercontent.com/u/10433047?s=40&v=4 - psarna - https://github.com/psarna + https://avatars.githubusercontent.com/u/216080?s=40&v=4 + nikic + https://github.com/nikic - https://avatars.githubusercontent.com/u/5758045?s=40&v=4 - LucioFranco - https://github.com/LucioFranco + https://avatars.githubusercontent.com/u/22016?s=40&v=4 + weltling + https://github.com/weltling - https://avatars.githubusercontent.com/u/81939?s=40&v=4 - penberg - https://github.com/penberg + https://avatars.githubusercontent.com/u/2306138?s=40&v=4 + cmb69 + https://github.com/cmb69 + + + https://avatars.githubusercontent.com/u/382813?s=40&v=4 + laruence + https://github.com/laruence @@ -224,7 +381,7 @@ https://github.com/nginx/nginx C #555555 - 25,277 + 25,283 7,001 100 @@ -255,44 +412,6 @@ - - tmux/tmux - https://github.com/tmux/tmux - tmux source code - https://github.com/tmux/tmux - C - #555555 - 35,526 - 2,130 - 126 - - - https://avatars.githubusercontent.com/u/304243?s=40&v=4 - nicm - https://github.com/nicm - - - https://avatars.githubusercontent.com/u/101281?s=40&v=4 - ThomasAdam - https://github.com/ThomasAdam - - - https://avatars.githubusercontent.com/u/772823?s=40&v=4 - tcunha - https://github.com/tcunha - - - https://avatars.githubusercontent.com/u/22438522?s=40&v=4 - topcat001 - https://github.com/topcat001 - - - https://avatars.githubusercontent.com/u/97253?s=40&v=4 - mathstuf - https://github.com/mathstuf - - - istoreos/istoreos https://github.com/istoreos/istoreos @@ -300,7 +419,7 @@ https://github.com/istoreos/istoreos C #555555 - 5,100 + 5,105 535 60 @@ -332,230 +451,154 @@ - raspberrypi/pico-sdk - https://github.com/raspberrypi/pico-sdk - - https://github.com/raspberrypi/pico-sdk - C - #555555 - 3,774 - 949 - 22 - - - https://avatars.githubusercontent.com/u/575810?s=40&v=4 - kilograham - https://github.com/kilograham - - - https://avatars.githubusercontent.com/u/476186?s=40&v=4 - lurch - https://github.com/lurch - - - https://avatars.githubusercontent.com/u/1298595?s=40&v=4 - Wren6991 - https://github.com/Wren6991 - - - https://avatars.githubusercontent.com/u/77111776?s=40&v=4 - peterharperuk - https://github.com/peterharperuk - - - https://avatars.githubusercontent.com/u/4839615?s=40&v=4 - liamfraser - https://github.com/liamfraser - - - - - php/php-src - https://github.com/php/php-src - The PHP Interpreter - https://github.com/php/php-src - C - #555555 - 38,283 - 7,757 - 64 - - - https://avatars.githubusercontent.com/u/2510034?s=40&v=4 - dstogov - https://github.com/dstogov - - - https://avatars.githubusercontent.com/u/216080?s=40&v=4 - nikic - https://github.com/nikic - - - https://avatars.githubusercontent.com/u/22016?s=40&v=4 - weltling - https://github.com/weltling - - - https://avatars.githubusercontent.com/u/2306138?s=40&v=4 - cmb69 - https://github.com/cmb69 - - - https://avatars.githubusercontent.com/u/382813?s=40&v=4 - laruence - https://github.com/laruence - - - - - libsdl-org/SDL - https://github.com/libsdl-org/SDL - Simple Directmedia Layer - https://github.com/libsdl-org/SDL + tmux/tmux + https://github.com/tmux/tmux + tmux source code + https://github.com/tmux/tmux C #555555 - 10,169 - 1,857 - 171 + 35,530 + 2,130 + 126 - https://avatars.githubusercontent.com/u/2100061?s=40&v=4 - slouken - https://github.com/slouken + https://avatars.githubusercontent.com/u/304243?s=40&v=4 + nicm + https://github.com/nicm - https://avatars.githubusercontent.com/u/673562?s=40&v=4 - icculus - https://github.com/icculus + https://avatars.githubusercontent.com/u/101281?s=40&v=4 + ThomasAdam + https://github.com/ThomasAdam - https://avatars.githubusercontent.com/u/4222725?s=40&v=4 - sezero - https://github.com/sezero + https://avatars.githubusercontent.com/u/772823?s=40&v=4 + tcunha + https://github.com/tcunha - https://avatars.githubusercontent.com/u/818728?s=40&v=4 - 1bsyl - https://github.com/1bsyl + https://avatars.githubusercontent.com/u/22438522?s=40&v=4 + topcat001 + https://github.com/topcat001 - https://avatars.githubusercontent.com/u/4138939?s=40&v=4 - madebr - https://github.com/madebr + https://avatars.githubusercontent.com/u/97253?s=40&v=4 + mathstuf + https://github.com/mathstuf - acidanthera/OpenCorePkg - https://github.com/acidanthera/OpenCorePkg - OpenCore bootloader - https://github.com/acidanthera/OpenCorePkg + gentilkiwi/mimikatz + https://github.com/gentilkiwi/mimikatz + A little tool to play with Windows security + https://github.com/gentilkiwi/mimikatz C #555555 - 13,470 - 2,116 - 40 + 19,491 + 3,738 + 29 - https://avatars.githubusercontent.com/u/4348897?s=40&v=4 - vit9696 - https://github.com/vit9696 + https://avatars.githubusercontent.com/u/2307945?s=40&v=4 + gentilkiwi + https://github.com/gentilkiwi - https://avatars.githubusercontent.com/u/8659494?s=40&v=4 - mhaeuser - https://github.com/mhaeuser + https://avatars.githubusercontent.com/u/947995?s=40&v=4 + hubert3 + https://github.com/hubert3 - https://avatars.githubusercontent.com/u/17758753?s=40&v=4 - Andrey1970AppleLife - https://github.com/Andrey1970AppleLife + https://avatars.githubusercontent.com/u/10632326?s=40&v=4 + vletoux + https://github.com/vletoux - https://avatars.githubusercontent.com/u/11946605?s=40&v=4 - mikebeaton - https://github.com/mikebeaton + https://avatars.githubusercontent.com/u/1315097?s=40&v=4 + zhangyoufu + https://github.com/zhangyoufu - https://avatars.githubusercontent.com/u/17109513?s=40&v=4 - PMheart - https://github.com/PMheart + https://avatars.githubusercontent.com/u/6207334?s=40&v=4 + SLiNv + https://github.com/SLiNv - valinet/ExplorerPatcher - https://github.com/valinet/ExplorerPatcher - This project aims to enhance the working environment on Windows - https://github.com/valinet/ExplorerPatcher + RfidResearchGroup/proxmark3 + https://github.com/RfidResearchGroup/proxmark3 + Iceman Fork - Proxmark3 + https://github.com/RfidResearchGroup/proxmark3 C #555555 - 24,960 - 1,059 - 122 + 4,057 + 1,066 + 34 - https://avatars.githubusercontent.com/u/6503598?s=40&v=4 - valinet - https://github.com/valinet + https://avatars.githubusercontent.com/u/8577004?s=40&v=4 + iceman1001 + https://github.com/iceman1001 - https://avatars.githubusercontent.com/u/20662640?s=40&v=4 - Amrsatrio - https://github.com/Amrsatrio + https://avatars.githubusercontent.com/u/60773?s=40&v=4 + doegox + https://github.com/doegox - https://avatars.githubusercontent.com/u/5376197?s=40&v=4 - Speedy37 - https://github.com/Speedy37 + https://avatars.githubusercontent.com/u/807634?s=40&v=4 + merlokk + https://github.com/merlokk - https://avatars.githubusercontent.com/u/6138789?s=40&v=4 - lordmilko - https://github.com/lordmilko + https://avatars.githubusercontent.com/u/61853685?s=40&v=4 + tharexde + https://github.com/tharexde - https://avatars.githubusercontent.com/u/29201475?s=40&v=4 - BraINstinct0 - https://github.com/BraINstinct0 + https://avatars.githubusercontent.com/u/51802811?s=40&v=4 + mwalker33 + https://github.com/mwalker33 - Immediate-Mode-UI/Nuklear - https://github.com/Immediate-Mode-UI/Nuklear - A single-header ANSI C immediate mode cross-platform GUI library - https://github.com/Immediate-Mode-UI/Nuklear + facebook/zstd + https://github.com/facebook/zstd + Zstandard - Fast real-time compression algorithm + https://github.com/facebook/zstd C #555555 - 9,335 - 571 - 30 + 23,817 + 2,112 + 70 - https://avatars.githubusercontent.com/u/8057201?s=40&v=4 - vurtun - https://github.com/vurtun + https://avatars.githubusercontent.com/u/750081?s=40&v=4 + Cyan4973 + https://github.com/Cyan4973 - https://avatars.githubusercontent.com/u/25086?s=40&v=4 - RobLoach - https://github.com/RobLoach + https://avatars.githubusercontent.com/u/6619134?s=40&v=4 + terrelln + https://github.com/terrelln - https://avatars.githubusercontent.com/u/2451044?s=40&v=4 - dumblob - https://github.com/dumblob + https://avatars.githubusercontent.com/u/15112322?s=40&v=4 + inikep + https://github.com/inikep - https://avatars.githubusercontent.com/u/176167?s=40&v=4 - riri - https://github.com/riri + https://avatars.githubusercontent.com/u/1154694?s=40&v=4 + felixhandte + https://github.com/felixhandte - https://avatars.githubusercontent.com/u/4019495?s=40&v=4 - DeXP - https://github.com/DeXP + https://avatars.githubusercontent.com/u/17059792?s=40&v=4 + senhuang42 + https://github.com/senhuang42 @@ -566,7 +609,7 @@ https://github.com/guanzhi/GmSSL C #555555 - 5,218 + 5,219 1,673 24 @@ -598,192 +641,154 @@ - RfidResearchGroup/proxmark3 - https://github.com/RfidResearchGroup/proxmark3 - Iceman Fork - Proxmark3 - https://github.com/RfidResearchGroup/proxmark3 - C - #555555 - 4,056 - 1,066 - 34 - - - https://avatars.githubusercontent.com/u/8577004?s=40&v=4 - iceman1001 - https://github.com/iceman1001 - - - https://avatars.githubusercontent.com/u/60773?s=40&v=4 - doegox - https://github.com/doegox - - - https://avatars.githubusercontent.com/u/807634?s=40&v=4 - merlokk - https://github.com/merlokk - - - https://avatars.githubusercontent.com/u/61853685?s=40&v=4 - tharexde - https://github.com/tharexde - - - https://avatars.githubusercontent.com/u/51802811?s=40&v=4 - mwalker33 - https://github.com/mwalker33 - - - - - asterisk/asterisk - https://github.com/asterisk/asterisk - The official Asterisk Project repository. - https://github.com/asterisk/asterisk + soedinglab/MMseqs2 + https://github.com/soedinglab/MMseqs2 + MMseqs2: ultra fast and sensitive search and clustering suite + https://github.com/soedinglab/MMseqs2 C #555555 - 2,265 - 980 - 15 + 1,459 + 198 + 17 - https://avatars.githubusercontent.com/u/631932?s=40&v=4 - jcolp - https://github.com/jcolp + https://avatars.githubusercontent.com/u/63657?s=40&v=4 + milot-mirdita + https://github.com/milot-mirdita - https://avatars.githubusercontent.com/u/309258?s=40&v=4 - russellb - https://github.com/russellb + https://avatars.githubusercontent.com/u/1413571?s=40&v=4 + martin-steinegger + https://github.com/martin-steinegger - https://avatars.githubusercontent.com/u/531589?s=40&v=4 - tilghman - https://github.com/tilghman + https://avatars.githubusercontent.com/u/17083184?s=40&v=4 + ClovisG + https://github.com/ClovisG - https://avatars.githubusercontent.com/u/37188649?s=40&v=4 - rmudgett9125 - https://github.com/rmudgett9125 + https://avatars.githubusercontent.com/u/35374203?s=40&v=4 + elileka + https://github.com/elileka - https://avatars.githubusercontent.com/u/20310?s=40&v=4 - oej - https://github.com/oej + https://avatars.githubusercontent.com/u/8051207?s=40&v=4 + AnnSeidel + https://github.com/AnnSeidel - raysan5/raygui - https://github.com/raysan5/raygui - A simple and easy-to-use immediate-mode gui library - https://github.com/raysan5/raygui + eclipse-mosquitto/mosquitto + https://github.com/eclipse-mosquitto/mosquitto + Eclipse Mosquitto - An open source MQTT broker + https://github.com/eclipse-mosquitto/mosquitto C #555555 - 3,593 - 304 - 31 + 9,124 + 2,408 + 21 - https://avatars.githubusercontent.com/u/5766837?s=40&v=4 - raysan5 - https://github.com/raysan5 + https://avatars.githubusercontent.com/u/79578?s=40&v=4 + ralight + https://github.com/ralight - https://avatars.githubusercontent.com/u/9116727?s=40&v=4 - anidealgift - https://github.com/anidealgift + https://avatars.githubusercontent.com/u/127907?s=40&v=4 + karlp + https://github.com/karlp - https://avatars.githubusercontent.com/u/25086?s=40&v=4 - RobLoach - https://github.com/RobLoach + https://avatars.githubusercontent.com/u/359833?s=40&v=4 + PierreF + https://github.com/PierreF - https://avatars.githubusercontent.com/u/27865535?s=40&v=4 - Demizdor - https://github.com/Demizdor + https://avatars.githubusercontent.com/u/692657?s=40&v=4 + abiliojr + https://github.com/abiliojr - https://avatars.githubusercontent.com/u/87268284?s=40&v=4 - hanaxar - https://github.com/hanaxar + https://avatars.githubusercontent.com/u/3803503?s=40&v=4 + Hallot + https://github.com/Hallot - libusb/libusb - https://github.com/libusb/libusb - A cross-platform library to access USB devices - https://github.com/libusb/libusb + DarkFlippers/unleashed-firmware + https://github.com/DarkFlippers/unleashed-firmware + Flipper Zero Unleashed Firmware + https://github.com/DarkFlippers/unleashed-firmware C #555555 - 5,317 - 1,925 - 15 + 17,657 + 1,464 + 86 - https://avatars.githubusercontent.com/u/189685?s=40&v=4 - dickens - https://github.com/dickens + https://avatars.githubusercontent.com/u/10697207?s=40&v=4 + xMasterX + https://github.com/xMasterX - https://avatars.githubusercontent.com/u/1206968?s=40&v=4 - pbatard - https://github.com/pbatard + https://avatars.githubusercontent.com/u/1633132?s=40&v=4 + skotopes + https://github.com/skotopes - https://avatars.githubusercontent.com/u/1226817?s=40&v=4 - hjelmn - https://github.com/hjelmn + https://avatars.githubusercontent.com/u/277532?s=40&v=4 + hedger + https://github.com/hedger - https://avatars.githubusercontent.com/u/555349?s=40&v=4 - jwrdegoede - https://github.com/jwrdegoede + https://avatars.githubusercontent.com/u/44112859?s=40&v=4 + gornekich + https://github.com/gornekich - https://avatars.githubusercontent.com/u/117260?s=40&v=4 - seanm - https://github.com/seanm + https://avatars.githubusercontent.com/u/4784169?s=40&v=4 + DrZlo13 + https://github.com/DrZlo13 - nanopb/nanopb - https://github.com/nanopb/nanopb - Protocol Buffers with small code size - https://github.com/nanopb/nanopb + Ysurac/openmptcprouter + https://github.com/Ysurac/openmptcprouter + OpenMPTCProuter is an open source solution to aggregate multiple internet connections using Multipath TCP (MPTCP) on OpenWrt + https://github.com/Ysurac/openmptcprouter C #555555 - 4,380 - 859 - 15 + 1,910 + 273 + 48 - https://avatars.githubusercontent.com/u/922265?s=40&v=4 - PetteriAimonen - https://github.com/PetteriAimonen + https://avatars.githubusercontent.com/u/6342954?s=40&v=4 + Ysurac + https://github.com/Ysurac - https://avatars.githubusercontent.com/u/936367?s=40&v=4 - kylemanna - https://github.com/kylemanna + https://avatars.githubusercontent.com/u/19888555?s=40&v=4 + WelterRocks + https://github.com/WelterRocks - https://avatars.githubusercontent.com/u/2965031?s=40&v=4 - oliverlee - https://github.com/oliverlee + https://avatars.githubusercontent.com/u/25760697?s=40&v=4 + user747 + https://github.com/user747 - https://avatars.githubusercontent.com/u/17883887?s=40&v=4 - joshstrohminger - https://github.com/joshstrohminger + https://avatars.githubusercontent.com/u/221256?s=40&v=4 + asmodehn + https://github.com/asmodehn - https://avatars.githubusercontent.com/u/3110986?s=40&v=4 - theunkn0wn1 - https://github.com/theunkn0wn1 + https://avatars.githubusercontent.com/u/873469?s=40&v=4 + anaelorlinski + https://github.com/anaelorlinski diff --git a/data/weekly/c2hs-haskell.json b/data/weekly/c2hs-haskell.json index ff4a47efa10e..6bb404508c70 100644 --- a/data/weekly/c2hs-haskell.json +++ b/data/weekly/c2hs-haskell.json @@ -2,6 +2,6 @@ "title": "GitHub C2hs-haskell Languages Weekly Trending", "description": "Weekly Trending of C2hs-haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/c2hs-haskell.xml b/data/weekly/c2hs-haskell.xml index df8e55c68486..89edacd9fb8d 100644 --- a/data/weekly/c2hs-haskell.xml +++ b/data/weekly/c2hs-haskell.xml @@ -3,6 +3,6 @@ GitHub C2hs-haskell Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of C2hs-haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cabal-config.json b/data/weekly/cabal-config.json index 25479787f6b2..e7534feedacb 100644 --- a/data/weekly/cabal-config.json +++ b/data/weekly/cabal-config.json @@ -2,6 +2,6 @@ "title": "GitHub Cabal-config Languages Weekly Trending", "description": "Weekly Trending of Cabal-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cabal-config.xml b/data/weekly/cabal-config.xml index 006c86641b4a..c431f00b3da1 100644 --- a/data/weekly/cabal-config.xml +++ b/data/weekly/cabal-config.xml @@ -3,6 +3,6 @@ GitHub Cabal-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cabal-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/caddyfile.json b/data/weekly/caddyfile.json index 5b1c4c653c98..35bc598138eb 100644 --- a/data/weekly/caddyfile.json +++ b/data/weekly/caddyfile.json @@ -2,6 +2,6 @@ "title": "GitHub Caddyfile Languages Weekly Trending", "description": "Weekly Trending of Caddyfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/caddyfile.xml b/data/weekly/caddyfile.xml index 02270dcd43f2..e3bf1abc64b0 100644 --- a/data/weekly/caddyfile.xml +++ b/data/weekly/caddyfile.xml @@ -3,6 +3,6 @@ GitHub Caddyfile Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Caddyfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cadence.json b/data/weekly/cadence.json index c9ce1b28eca4..1f4bac164160 100644 --- a/data/weekly/cadence.json +++ b/data/weekly/cadence.json @@ -2,6 +2,6 @@ "title": "GitHub Cadence Languages Weekly Trending", "description": "Weekly Trending of Cadence Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cadence.xml b/data/weekly/cadence.xml index 2d8cc6d2fc06..3263a9baa812 100644 --- a/data/weekly/cadence.xml +++ b/data/weekly/cadence.xml @@ -3,6 +3,6 @@ GitHub Cadence Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cadence Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cairo.json b/data/weekly/cairo.json index 44cc90412a4a..00348c714d87 100644 --- a/data/weekly/cairo.json +++ b/data/weekly/cairo.json @@ -2,6 +2,6 @@ "title": "GitHub Cairo Languages Weekly Trending", "description": "Weekly Trending of Cairo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cairo.xml b/data/weekly/cairo.xml index b8de91c7fcd8..0acc3ce6691e 100644 --- a/data/weekly/cairo.xml +++ b/data/weekly/cairo.xml @@ -3,6 +3,6 @@ GitHub Cairo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cairo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cameligo.json b/data/weekly/cameligo.json index 7d34b0952008..753f5c0337a9 100644 --- a/data/weekly/cameligo.json +++ b/data/weekly/cameligo.json @@ -2,6 +2,6 @@ "title": "GitHub Cameligo Languages Weekly Trending", "description": "Weekly Trending of Cameligo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cameligo.xml b/data/weekly/cameligo.xml index ef3bc95e69b3..a8fd4d739d8f 100644 --- a/data/weekly/cameligo.xml +++ b/data/weekly/cameligo.xml @@ -3,6 +3,6 @@ GitHub Cameligo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cameligo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cap'n-proto.json b/data/weekly/cap'n-proto.json index dc3bf0c8e27d..8907aa14a4e1 100644 --- a/data/weekly/cap'n-proto.json +++ b/data/weekly/cap'n-proto.json @@ -2,6 +2,6 @@ "title": "GitHub Cap'n-proto Languages Weekly Trending", "description": "Weekly Trending of Cap'n-proto Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cap'n-proto.xml b/data/weekly/cap'n-proto.xml index 06986093860c..6fe4de893c65 100644 --- a/data/weekly/cap'n-proto.xml +++ b/data/weekly/cap'n-proto.xml @@ -3,6 +3,6 @@ GitHub Cap'n-proto Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cap'n-proto Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cap-cds.json b/data/weekly/cap-cds.json index b705a1472aeb..b98c48a861e5 100644 --- a/data/weekly/cap-cds.json +++ b/data/weekly/cap-cds.json @@ -2,7 +2,7 @@ "title": "GitHub Cap-cds Languages Weekly Trending", "description": "Weekly Trending of Cap-cds Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "SAP-samples/fiori-elements-feature-showcase", diff --git a/data/weekly/cap-cds.xml b/data/weekly/cap-cds.xml index c30a0af10e87..7243a31a7908 100644 --- a/data/weekly/cap-cds.xml +++ b/data/weekly/cap-cds.xml @@ -3,7 +3,7 @@ GitHub Cap-cds Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cap-cds Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT SAP-samples/fiori-elements-feature-showcase https://github.com/SAP-samples/fiori-elements-feature-showcase diff --git a/data/weekly/cartocss.json b/data/weekly/cartocss.json index 0919b1168841..70b23a27103e 100644 --- a/data/weekly/cartocss.json +++ b/data/weekly/cartocss.json @@ -2,7 +2,7 @@ "title": "GitHub Cartocss Languages Weekly Trending", "description": "Weekly Trending of Cartocss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gravitystorm/openstreetmap-carto", diff --git a/data/weekly/cartocss.xml b/data/weekly/cartocss.xml index 6c1ba34a223e..811a722a4720 100644 --- a/data/weekly/cartocss.xml +++ b/data/weekly/cartocss.xml @@ -3,7 +3,7 @@ GitHub Cartocss Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cartocss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gravitystorm/openstreetmap-carto https://github.com/gravitystorm/openstreetmap-carto diff --git a/data/weekly/ceylon.json b/data/weekly/ceylon.json index 1789c02bd8d4..e723ba6d61da 100644 --- a/data/weekly/ceylon.json +++ b/data/weekly/ceylon.json @@ -2,6 +2,6 @@ "title": "GitHub Ceylon Languages Weekly Trending", "description": "Weekly Trending of Ceylon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ceylon.xml b/data/weekly/ceylon.xml index f2b90a85136b..811b557af10f 100644 --- a/data/weekly/ceylon.xml +++ b/data/weekly/ceylon.xml @@ -3,6 +3,6 @@ GitHub Ceylon Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ceylon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/chapel.json b/data/weekly/chapel.json index 16113d92a354..447a3157492c 100644 --- a/data/weekly/chapel.json +++ b/data/weekly/chapel.json @@ -2,6 +2,6 @@ "title": "GitHub Chapel Languages Weekly Trending", "description": "Weekly Trending of Chapel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/chapel.xml b/data/weekly/chapel.xml index f26726b52611..1bae786c2f9d 100644 --- a/data/weekly/chapel.xml +++ b/data/weekly/chapel.xml @@ -3,6 +3,6 @@ GitHub Chapel Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Chapel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/charity.json b/data/weekly/charity.json index 5eceac3449cf..1786f1a0de65 100644 --- a/data/weekly/charity.json +++ b/data/weekly/charity.json @@ -2,6 +2,6 @@ "title": "GitHub Charity Languages Weekly Trending", "description": "Weekly Trending of Charity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/charity.xml b/data/weekly/charity.xml index c9af0ce1c2aa..6e00b3d87b6b 100644 --- a/data/weekly/charity.xml +++ b/data/weekly/charity.xml @@ -3,6 +3,6 @@ GitHub Charity Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Charity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/checksums.json b/data/weekly/checksums.json index 37306f989c99..f90c7e229edd 100644 --- a/data/weekly/checksums.json +++ b/data/weekly/checksums.json @@ -2,6 +2,6 @@ "title": "GitHub Checksums Languages Weekly Trending", "description": "Weekly Trending of Checksums Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/checksums.xml b/data/weekly/checksums.xml index 7c8942acc51b..713347cc9a95 100644 --- a/data/weekly/checksums.xml +++ b/data/weekly/checksums.xml @@ -3,6 +3,6 @@ GitHub Checksums Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Checksums Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/chuck.json b/data/weekly/chuck.json index 3bc0b0c66caa..258aa75d7421 100644 --- a/data/weekly/chuck.json +++ b/data/weekly/chuck.json @@ -2,6 +2,6 @@ "title": "GitHub Chuck Languages Weekly Trending", "description": "Weekly Trending of Chuck Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/chuck.xml b/data/weekly/chuck.xml index c0e7c5a4047e..575ba345d20a 100644 --- a/data/weekly/chuck.xml +++ b/data/weekly/chuck.xml @@ -3,6 +3,6 @@ GitHub Chuck Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Chuck Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cil.json b/data/weekly/cil.json index 2683a3ae25f2..5a4e97bdca4a 100644 --- a/data/weekly/cil.json +++ b/data/weekly/cil.json @@ -2,6 +2,6 @@ "title": "GitHub Cil Languages Weekly Trending", "description": "Weekly Trending of Cil Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cil.xml b/data/weekly/cil.xml index e58cb3bbf652..a01cad83636a 100644 --- a/data/weekly/cil.xml +++ b/data/weekly/cil.xml @@ -3,6 +3,6 @@ GitHub Cil Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cil Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/circom.json b/data/weekly/circom.json index e771892360c6..96f068733a33 100644 --- a/data/weekly/circom.json +++ b/data/weekly/circom.json @@ -2,7 +2,7 @@ "title": "GitHub Circom Languages Weekly Trending", "description": "Weekly Trending of Circom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "iden3/circomlib", diff --git a/data/weekly/circom.xml b/data/weekly/circom.xml index 98660ae626a1..c1669464942a 100644 --- a/data/weekly/circom.xml +++ b/data/weekly/circom.xml @@ -3,7 +3,7 @@ GitHub Circom Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Circom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT iden3/circomlib https://github.com/iden3/circomlib diff --git a/data/weekly/cirru.json b/data/weekly/cirru.json index 986d0d651743..2f20133c6bee 100644 --- a/data/weekly/cirru.json +++ b/data/weekly/cirru.json @@ -2,6 +2,6 @@ "title": "GitHub Cirru Languages Weekly Trending", "description": "Weekly Trending of Cirru Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cirru.xml b/data/weekly/cirru.xml index 6bf89ca2a2a9..efd718bfd71f 100644 --- a/data/weekly/cirru.xml +++ b/data/weekly/cirru.xml @@ -3,6 +3,6 @@ GitHub Cirru Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cirru Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/clarion.json b/data/weekly/clarion.json index 507d63418a36..d691d7a444be 100644 --- a/data/weekly/clarion.json +++ b/data/weekly/clarion.json @@ -2,6 +2,6 @@ "title": "GitHub Clarion Languages Weekly Trending", "description": "Weekly Trending of Clarion Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/clarion.xml b/data/weekly/clarion.xml index abcb821492dc..acbb74b79b92 100644 --- a/data/weekly/clarion.xml +++ b/data/weekly/clarion.xml @@ -3,6 +3,6 @@ GitHub Clarion Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Clarion Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/clarity.json b/data/weekly/clarity.json index d232be80e97c..ff39e872191b 100644 --- a/data/weekly/clarity.json +++ b/data/weekly/clarity.json @@ -2,6 +2,6 @@ "title": "GitHub Clarity Languages Weekly Trending", "description": "Weekly Trending of Clarity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/clarity.xml b/data/weekly/clarity.xml index 2244a861c44a..9f1e04ac48e6 100644 --- a/data/weekly/clarity.xml +++ b/data/weekly/clarity.xml @@ -3,6 +3,6 @@ GitHub Clarity Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Clarity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/classic-asp.json b/data/weekly/classic-asp.json index dec075e19ea4..8999ba0c7a1d 100644 --- a/data/weekly/classic-asp.json +++ b/data/weekly/classic-asp.json @@ -2,6 +2,6 @@ "title": "GitHub Classic-asp Languages Weekly Trending", "description": "Weekly Trending of Classic-asp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/classic-asp.xml b/data/weekly/classic-asp.xml index 21783e09f504..e289ebc07d95 100644 --- a/data/weekly/classic-asp.xml +++ b/data/weekly/classic-asp.xml @@ -3,6 +3,6 @@ GitHub Classic-asp Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Classic-asp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/clean.json b/data/weekly/clean.json index 637c80cd8ff8..11bba9c25295 100644 --- a/data/weekly/clean.json +++ b/data/weekly/clean.json @@ -2,6 +2,6 @@ "title": "GitHub Clean Languages Weekly Trending", "description": "Weekly Trending of Clean Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/clean.xml b/data/weekly/clean.xml index c801715a97d3..f72fd0ecad0d 100644 --- a/data/weekly/clean.xml +++ b/data/weekly/clean.xml @@ -3,6 +3,6 @@ GitHub Clean Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Clean Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/click.json b/data/weekly/click.json index dc063f9c303f..60d379aac80a 100644 --- a/data/weekly/click.json +++ b/data/weekly/click.json @@ -2,6 +2,6 @@ "title": "GitHub Click Languages Weekly Trending", "description": "Weekly Trending of Click Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/click.xml b/data/weekly/click.xml index a2d3e3098023..093f342e7d97 100644 --- a/data/weekly/click.xml +++ b/data/weekly/click.xml @@ -3,6 +3,6 @@ GitHub Click Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Click Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/clips.json b/data/weekly/clips.json index 0a69dc6f124e..60d5c8be40a0 100644 --- a/data/weekly/clips.json +++ b/data/weekly/clips.json @@ -2,6 +2,6 @@ "title": "GitHub Clips Languages Weekly Trending", "description": "Weekly Trending of Clips Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/clips.xml b/data/weekly/clips.xml index 3fbe9822f070..8ccadc54ca8a 100644 --- a/data/weekly/clips.xml +++ b/data/weekly/clips.xml @@ -3,6 +3,6 @@ GitHub Clips Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Clips Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/clojure.json b/data/weekly/clojure.json index c8dceaf7051d..ff9285347d72 100644 --- a/data/weekly/clojure.json +++ b/data/weekly/clojure.json @@ -2,7 +2,7 @@ "title": "GitHub Clojure Languages Weekly Trending", "description": "Weekly Trending of Clojure Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "logseq/logseq", @@ -10,8 +10,8 @@ "description": "A privacy-first, open-source platform for knowledge management and collaboration. Download link: http://github.com/logseq/logseq/releases. roadmap: http://trello.com/b/8txSM12G/roadmap", "language": "Clojure", "languageColor": "#db5855", - "stars": "33,193", - "forks": "1,940", + "stars": "33,199", + "forks": "1,941", "addStars": "273", "contributors": [ { @@ -47,7 +47,7 @@ "description": "Penpot: The open-source design tool for design and code collaboration", "language": "Clojure", "languageColor": "#db5855", - "stars": "33,895", + "stars": "33,898", "forks": "1,715", "addStars": "158", "contributors": [ @@ -84,8 +84,8 @@ "description": "The simplest, fastest way to get business intelligence and analytics to everyone in your company 😋", "language": "Clojure", "languageColor": "#db5855", - "stars": "38,884", - "forks": "5,167", + "stars": "38,890", + "forks": "5,168", "addStars": "111", "contributors": [ { @@ -115,43 +115,6 @@ } ] }, - { - "title": "tonsky/FiraCode", - "url": "https://github.com/tonsky/FiraCode", - "description": "Free monospaced font with programming ligatures", - "language": "Clojure", - "languageColor": "#db5855", - "stars": "77,385", - "forks": "3,106", - "addStars": "82", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/285292?s=40&v=4", - "name": "tonsky", - "url": "https://github.com/tonsky" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7355414?s=40&v=4", - "name": "thundernixon", - "url": "https://github.com/thundernixon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2119212?s=40&v=4", - "name": "jsoref", - "url": "https://github.com/jsoref" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/381361?s=40&v=4", - "name": "joshka", - "url": "https://github.com/joshka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/261579?s=40&v=4", - "name": "davelab6", - "url": "https://github.com/davelab6" - } - ] - }, { "title": "babashka/babashka", "url": "https://github.com/babashka/babashka", @@ -196,7 +159,7 @@ "language": "Clojure", "languageColor": "#db5855", "stars": "1,714", - "forks": "291", + "forks": "292", "addStars": "3", "contributors": [ { @@ -226,6 +189,43 @@ } ] }, + { + "title": "tonsky/FiraCode", + "url": "https://github.com/tonsky/FiraCode", + "description": "Free monospaced font with programming ligatures", + "language": "Clojure", + "languageColor": "#db5855", + "stars": "77,388", + "forks": "3,106", + "addStars": "82", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/285292?s=40&v=4", + "name": "tonsky", + "url": "https://github.com/tonsky" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7355414?s=40&v=4", + "name": "thundernixon", + "url": "https://github.com/thundernixon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2119212?s=40&v=4", + "name": "jsoref", + "url": "https://github.com/jsoref" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/381361?s=40&v=4", + "name": "joshka", + "url": "https://github.com/joshka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/261579?s=40&v=4", + "name": "davelab6", + "url": "https://github.com/davelab6" + } + ] + }, { "title": "cognitect-labs/test-runner", "url": "https://github.com/cognitect-labs/test-runner", @@ -269,7 +269,7 @@ "description": "A framework for distributed systems verification, with fault injection", "language": "Clojure", "languageColor": "#db5855", - "stars": "6,841", + "stars": "6,842", "forks": "718", "addStars": "13", "contributors": [ @@ -368,43 +368,6 @@ "url": "https://github.com/bradtimmerman" } ] - }, - { - "title": "adamtornhill/code-maat", - "url": "https://github.com/adamtornhill/code-maat", - "description": "A command line tool to mine and analyze data from version-control systems", - "language": "Clojure", - "languageColor": "#db5855", - "stars": "2,392", - "forks": "222", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5179769?s=40&v=4", - "name": "adamtornhill", - "url": "https://github.com/adamtornhill" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12113592?s=40&v=4", - "name": "laenas", - "url": "https://github.com/laenas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/152363?s=40&v=4", - "name": "dotemacs", - "url": "https://github.com/dotemacs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39084?s=40&v=4", - "name": "jstepien", - "url": "https://github.com/jstepien" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/293746?s=40&v=4", - "name": "smontanari", - "url": "https://github.com/smontanari" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/clojure.xml b/data/weekly/clojure.xml index 15c7ab7f6df0..bf2972ed738a 100644 --- a/data/weekly/clojure.xml +++ b/data/weekly/clojure.xml @@ -3,7 +3,7 @@ GitHub Clojure Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Clojure Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT logseq/logseq https://github.com/logseq/logseq @@ -11,8 +11,8 @@ https://github.com/logseq/logseq Clojure #db5855 - 33,193 - 1,940 + 33,199 + 1,941 273 @@ -49,7 +49,7 @@ https://github.com/penpot/penpot Clojure #db5855 - 33,895 + 33,898 1,715 158 @@ -87,8 +87,8 @@ https://github.com/metabase/metabase Clojure #db5855 - 38,884 - 5,167 + 38,890 + 5,168 111 @@ -118,44 +118,6 @@ - - tonsky/FiraCode - https://github.com/tonsky/FiraCode - Free monospaced font with programming ligatures - https://github.com/tonsky/FiraCode - Clojure - #db5855 - 77,385 - 3,106 - 82 - - - https://avatars.githubusercontent.com/u/285292?s=40&v=4 - tonsky - https://github.com/tonsky - - - https://avatars.githubusercontent.com/u/7355414?s=40&v=4 - thundernixon - https://github.com/thundernixon - - - https://avatars.githubusercontent.com/u/2119212?s=40&v=4 - jsoref - https://github.com/jsoref - - - https://avatars.githubusercontent.com/u/381361?s=40&v=4 - joshka - https://github.com/joshka - - - https://avatars.githubusercontent.com/u/261579?s=40&v=4 - davelab6 - https://github.com/davelab6 - - - babashka/babashka https://github.com/babashka/babashka @@ -202,7 +164,7 @@ Clojure #db5855 1,714 - 291 + 292 3 @@ -232,6 +194,44 @@ + + tonsky/FiraCode + https://github.com/tonsky/FiraCode + Free monospaced font with programming ligatures + https://github.com/tonsky/FiraCode + Clojure + #db5855 + 77,388 + 3,106 + 82 + + + https://avatars.githubusercontent.com/u/285292?s=40&v=4 + tonsky + https://github.com/tonsky + + + https://avatars.githubusercontent.com/u/7355414?s=40&v=4 + thundernixon + https://github.com/thundernixon + + + https://avatars.githubusercontent.com/u/2119212?s=40&v=4 + jsoref + https://github.com/jsoref + + + https://avatars.githubusercontent.com/u/381361?s=40&v=4 + joshka + https://github.com/joshka + + + https://avatars.githubusercontent.com/u/261579?s=40&v=4 + davelab6 + https://github.com/davelab6 + + + cognitect-labs/test-runner https://github.com/cognitect-labs/test-runner @@ -277,7 +277,7 @@ https://github.com/jepsen-io/jepsen Clojure #db5855 - 6,841 + 6,842 718 13 @@ -379,43 +379,5 @@ - - adamtornhill/code-maat - https://github.com/adamtornhill/code-maat - A command line tool to mine and analyze data from version-control systems - https://github.com/adamtornhill/code-maat - Clojure - #db5855 - 2,392 - 222 - 3 - - - https://avatars.githubusercontent.com/u/5179769?s=40&v=4 - adamtornhill - https://github.com/adamtornhill - - - https://avatars.githubusercontent.com/u/12113592?s=40&v=4 - laenas - https://github.com/laenas - - - https://avatars.githubusercontent.com/u/152363?s=40&v=4 - dotemacs - https://github.com/dotemacs - - - https://avatars.githubusercontent.com/u/39084?s=40&v=4 - jstepien - https://github.com/jstepien - - - https://avatars.githubusercontent.com/u/293746?s=40&v=4 - smontanari - https://github.com/smontanari - - - \ No newline at end of file diff --git a/data/weekly/closure-templates.json b/data/weekly/closure-templates.json index b3134f1580af..3b5352aa8af7 100644 --- a/data/weekly/closure-templates.json +++ b/data/weekly/closure-templates.json @@ -2,6 +2,6 @@ "title": "GitHub Closure-templates Languages Weekly Trending", "description": "Weekly Trending of Closure-templates Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/closure-templates.xml b/data/weekly/closure-templates.xml index 9eb9cd87d202..389f3025df80 100644 --- a/data/weekly/closure-templates.xml +++ b/data/weekly/closure-templates.xml @@ -3,6 +3,6 @@ GitHub Closure-templates Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Closure-templates Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cloud-firestore-security-rules.json b/data/weekly/cloud-firestore-security-rules.json index 242083c62ae0..a263c12d0ae8 100644 --- a/data/weekly/cloud-firestore-security-rules.json +++ b/data/weekly/cloud-firestore-security-rules.json @@ -2,6 +2,6 @@ "title": "GitHub Cloud-firestore-security-rules Languages Weekly Trending", "description": "Weekly Trending of Cloud-firestore-security-rules Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cloud-firestore-security-rules.xml b/data/weekly/cloud-firestore-security-rules.xml index b5a1ceb017a4..5e48d1ae7c10 100644 --- a/data/weekly/cloud-firestore-security-rules.xml +++ b/data/weekly/cloud-firestore-security-rules.xml @@ -3,6 +3,6 @@ GitHub Cloud-firestore-security-rules Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cloud-firestore-security-rules Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cmake.json b/data/weekly/cmake.json index b233f8180c0c..a68a0b56e9ce 100644 --- a/data/weekly/cmake.json +++ b/data/weekly/cmake.json @@ -2,7 +2,7 @@ "title": "GitHub Cmake Languages Weekly Trending", "description": "Weekly Trending of Cmake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/vcpkg", @@ -10,8 +10,8 @@ "description": "C++ Library Manager for Windows, Linux, and MacOS", "language": "CMake", "languageColor": "#DA3434", - "stars": "23,330", - "forks": "6,445", + "stars": "23,332", + "forks": "6,447", "addStars": "65", "contributors": [ { @@ -47,8 +47,8 @@ "description": "Standard Open Arm 100", "language": "CMake", "languageColor": "#DA3434", - "stars": "403", - "forks": "28", + "stars": "405", + "forks": "29", "addStars": "30", "contributors": [ { @@ -117,7 +117,7 @@ "language": "CMake", "languageColor": "#DA3434", "stars": "4,498", - "forks": "389", + "forks": "390", "addStars": "32", "contributors": [ { @@ -148,39 +148,76 @@ ] }, { - "title": "corrosion-rs/corrosion", - "url": "https://github.com/corrosion-rs/corrosion", - "description": "Marrying Rust and CMake - Easy Rust and C/C++ Integration!", + "title": "editorconfig/editorconfig-core-test", + "url": "https://github.com/editorconfig/editorconfig-core-test", + "description": "Testings for EditorConfig Core", "language": "CMake", "languageColor": "#DA3434", - "stars": "1,101", - "forks": "106", - "addStars": "6", + "stars": "28", + "forks": "22", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/55576758?s=40&v=4", - "name": "jschwe", - "url": "https://github.com/jschwe" + "avatar": "https://avatars.githubusercontent.com/u/325476?s=40&v=4", + "name": "xuhdev", + "url": "https://github.com/xuhdev" }, { - "avatar": "https://avatars.githubusercontent.com/u/2292643?s=40&v=4", - "name": "AndrewGaspar", - "url": "https://github.com/AndrewGaspar" + "avatar": "https://avatars.githubusercontent.com/u/285352?s=40&v=4", + "name": "treyhunner", + "url": "https://github.com/treyhunner" }, { - "avatar": "https://avatars.githubusercontent.com/u/1486?s=40&v=4", - "name": "tronical", - "url": "https://github.com/tronical" + "avatar": "https://avatars.githubusercontent.com/u/137?s=40&v=4", + "name": "josh", + "url": "https://github.com/josh" }, { - "avatar": "https://avatars.githubusercontent.com/u/959326?s=40&v=4", - "name": "ogoffart", - "url": "https://github.com/ogoffart" + "avatar": "https://avatars.githubusercontent.com/u/1826249?s=40&v=4", + "name": "ppalaga", + "url": "https://github.com/ppalaga" }, { - "avatar": "https://avatars.githubusercontent.com/u/60313747?s=40&v=4", - "name": "yuval-nextsilicon", - "url": "https://github.com/yuval-nextsilicon" + "avatar": "https://avatars.githubusercontent.com/u/1058243?s=40&v=4", + "name": "jednano", + "url": "https://github.com/jednano" + } + ] + }, + { + "title": "ecmwf/ecbuild", + "url": "https://github.com/ecmwf/ecbuild", + "description": "A CMake-based build system, consisting of a collection of CMake macros and functions that ease the managing of software build systems", + "language": "CMake", + "languageColor": "#DA3434", + "stars": "28", + "forks": "25", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/965734?s=40&v=4", + "name": "tlmquintino", + "url": "https://github.com/tlmquintino" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/346079?s=40&v=4", + "name": "kynan", + "url": "https://github.com/kynan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/829513?s=40&v=4", + "name": "oiffrig", + "url": "https://github.com/oiffrig" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/222951?s=40&v=4", + "name": "wdeconinck", + "url": "https://github.com/wdeconinck" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53792887?s=40&v=4", + "name": "b8raoult", + "url": "https://github.com/b8raoult" } ] }, @@ -222,76 +259,66 @@ ] }, { - "title": "editorconfig/editorconfig-core-test", - "url": "https://github.com/editorconfig/editorconfig-core-test", - "description": "Testings for EditorConfig Core", + "title": "corrosion-rs/corrosion", + "url": "https://github.com/corrosion-rs/corrosion", + "description": "Marrying Rust and CMake - Easy Rust and C/C++ Integration!", "language": "CMake", "languageColor": "#DA3434", - "stars": "28", - "forks": "22", - "addStars": "1", + "stars": "1,102", + "forks": "106", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/325476?s=40&v=4", - "name": "xuhdev", - "url": "https://github.com/xuhdev" + "avatar": "https://avatars.githubusercontent.com/u/55576758?s=40&v=4", + "name": "jschwe", + "url": "https://github.com/jschwe" }, { - "avatar": "https://avatars.githubusercontent.com/u/285352?s=40&v=4", - "name": "treyhunner", - "url": "https://github.com/treyhunner" + "avatar": "https://avatars.githubusercontent.com/u/2292643?s=40&v=4", + "name": "AndrewGaspar", + "url": "https://github.com/AndrewGaspar" }, { - "avatar": "https://avatars.githubusercontent.com/u/137?s=40&v=4", - "name": "josh", - "url": "https://github.com/josh" + "avatar": "https://avatars.githubusercontent.com/u/1486?s=40&v=4", + "name": "tronical", + "url": "https://github.com/tronical" }, { - "avatar": "https://avatars.githubusercontent.com/u/1826249?s=40&v=4", - "name": "ppalaga", - "url": "https://github.com/ppalaga" + "avatar": "https://avatars.githubusercontent.com/u/959326?s=40&v=4", + "name": "ogoffart", + "url": "https://github.com/ogoffart" }, { - "avatar": "https://avatars.githubusercontent.com/u/1058243?s=40&v=4", - "name": "jednano", - "url": "https://github.com/jednano" + "avatar": "https://avatars.githubusercontent.com/u/60313747?s=40&v=4", + "name": "yuval-nextsilicon", + "url": "https://github.com/yuval-nextsilicon" } ] }, { - "title": "arsenm/sanitizers-cmake", - "url": "https://github.com/arsenm/sanitizers-cmake", - "description": "CMake modules to help use sanitizers", + "title": "steinbergmedia/vst3sdk", + "url": "https://github.com/steinbergmedia/vst3sdk", + "description": "VST 3 Plug-In SDK", "language": "CMake", "languageColor": "#DA3434", - "stars": "378", - "forks": "65", - "addStars": "1", + "stars": "1,649", + "forks": "162", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6250567?s=40&v=4", - "name": "alehaa", - "url": "https://github.com/alehaa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", - "name": "arsenm", - "url": "https://github.com/arsenm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45819833?s=40&v=4", - "name": "MiguelBarro", - "url": "https://github.com/MiguelBarro" + "avatar": "https://avatars.githubusercontent.com/u/16340258?s=40&v=4", + "name": "ygrabit", + "url": "https://github.com/ygrabit" }, { - "avatar": "https://avatars.githubusercontent.com/u/775309?s=40&v=4", - "name": "smspillaz", - "url": "https://github.com/smspillaz" + "avatar": "https://avatars.githubusercontent.com/u/5485512?s=40&v=4", + "name": "scheffle", + "url": "https://github.com/scheffle" }, { - "avatar": "https://avatars.githubusercontent.com/u/661636?s=40&v=4", - "name": "LeSpocky", - "url": "https://github.com/LeSpocky" + "avatar": "https://avatars.githubusercontent.com/u/22274925?s=40&v=4", + "name": "Msixty7", + "url": "https://github.com/Msixty7" } ] } diff --git a/data/weekly/cmake.xml b/data/weekly/cmake.xml index 8654158210e0..8b7f57718b10 100644 --- a/data/weekly/cmake.xml +++ b/data/weekly/cmake.xml @@ -3,7 +3,7 @@ GitHub Cmake Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cmake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/vcpkg https://github.com/microsoft/vcpkg @@ -11,8 +11,8 @@ https://github.com/microsoft/vcpkg CMake #DA3434 - 23,330 - 6,445 + 23,332 + 6,447 65 @@ -49,8 +49,8 @@ https://github.com/TheRobotStudio/SO-ARM100 CMake #DA3434 - 403 - 28 + 405 + 29 30 @@ -121,7 +121,7 @@ CMake #DA3434 4,498 - 389 + 390 32 @@ -152,40 +152,78 @@ - corrosion-rs/corrosion - https://github.com/corrosion-rs/corrosion - Marrying Rust and CMake - Easy Rust and C/C++ Integration! - https://github.com/corrosion-rs/corrosion + editorconfig/editorconfig-core-test + https://github.com/editorconfig/editorconfig-core-test + Testings for EditorConfig Core + https://github.com/editorconfig/editorconfig-core-test CMake #DA3434 - 1,101 - 106 - 6 + 28 + 22 + 1 - https://avatars.githubusercontent.com/u/55576758?s=40&v=4 - jschwe - https://github.com/jschwe + https://avatars.githubusercontent.com/u/325476?s=40&v=4 + xuhdev + https://github.com/xuhdev - https://avatars.githubusercontent.com/u/2292643?s=40&v=4 - AndrewGaspar - https://github.com/AndrewGaspar + https://avatars.githubusercontent.com/u/285352?s=40&v=4 + treyhunner + https://github.com/treyhunner - https://avatars.githubusercontent.com/u/1486?s=40&v=4 - tronical - https://github.com/tronical + https://avatars.githubusercontent.com/u/137?s=40&v=4 + josh + https://github.com/josh - https://avatars.githubusercontent.com/u/959326?s=40&v=4 - ogoffart - https://github.com/ogoffart + https://avatars.githubusercontent.com/u/1826249?s=40&v=4 + ppalaga + https://github.com/ppalaga - https://avatars.githubusercontent.com/u/60313747?s=40&v=4 - yuval-nextsilicon - https://github.com/yuval-nextsilicon + https://avatars.githubusercontent.com/u/1058243?s=40&v=4 + jednano + https://github.com/jednano + + + + + ecmwf/ecbuild + https://github.com/ecmwf/ecbuild + A CMake-based build system, consisting of a collection of CMake macros and functions that ease the managing of software build systems + https://github.com/ecmwf/ecbuild + CMake + #DA3434 + 28 + 25 + 0 + + + https://avatars.githubusercontent.com/u/965734?s=40&v=4 + tlmquintino + https://github.com/tlmquintino + + + https://avatars.githubusercontent.com/u/346079?s=40&v=4 + kynan + https://github.com/kynan + + + https://avatars.githubusercontent.com/u/829513?s=40&v=4 + oiffrig + https://github.com/oiffrig + + + https://avatars.githubusercontent.com/u/222951?s=40&v=4 + wdeconinck + https://github.com/wdeconinck + + + https://avatars.githubusercontent.com/u/53792887?s=40&v=4 + b8raoult + https://github.com/b8raoult @@ -228,78 +266,68 @@ - editorconfig/editorconfig-core-test - https://github.com/editorconfig/editorconfig-core-test - Testings for EditorConfig Core - https://github.com/editorconfig/editorconfig-core-test + corrosion-rs/corrosion + https://github.com/corrosion-rs/corrosion + Marrying Rust and CMake - Easy Rust and C/C++ Integration! + https://github.com/corrosion-rs/corrosion CMake #DA3434 - 28 - 22 - 1 + 1,102 + 106 + 6 - https://avatars.githubusercontent.com/u/325476?s=40&v=4 - xuhdev - https://github.com/xuhdev + https://avatars.githubusercontent.com/u/55576758?s=40&v=4 + jschwe + https://github.com/jschwe - https://avatars.githubusercontent.com/u/285352?s=40&v=4 - treyhunner - https://github.com/treyhunner + https://avatars.githubusercontent.com/u/2292643?s=40&v=4 + AndrewGaspar + https://github.com/AndrewGaspar - https://avatars.githubusercontent.com/u/137?s=40&v=4 - josh - https://github.com/josh + https://avatars.githubusercontent.com/u/1486?s=40&v=4 + tronical + https://github.com/tronical - https://avatars.githubusercontent.com/u/1826249?s=40&v=4 - ppalaga - https://github.com/ppalaga + https://avatars.githubusercontent.com/u/959326?s=40&v=4 + ogoffart + https://github.com/ogoffart - https://avatars.githubusercontent.com/u/1058243?s=40&v=4 - jednano - https://github.com/jednano + https://avatars.githubusercontent.com/u/60313747?s=40&v=4 + yuval-nextsilicon + https://github.com/yuval-nextsilicon - arsenm/sanitizers-cmake - https://github.com/arsenm/sanitizers-cmake - CMake modules to help use sanitizers - https://github.com/arsenm/sanitizers-cmake + steinbergmedia/vst3sdk + https://github.com/steinbergmedia/vst3sdk + VST 3 Plug-In SDK + https://github.com/steinbergmedia/vst3sdk CMake #DA3434 - 378 - 65 - 1 + 1,649 + 162 + 8 - https://avatars.githubusercontent.com/u/6250567?s=40&v=4 - alehaa - https://github.com/alehaa - - - https://avatars.githubusercontent.com/u/138339?s=40&v=4 - arsenm - https://github.com/arsenm - - - https://avatars.githubusercontent.com/u/45819833?s=40&v=4 - MiguelBarro - https://github.com/MiguelBarro + https://avatars.githubusercontent.com/u/16340258?s=40&v=4 + ygrabit + https://github.com/ygrabit - https://avatars.githubusercontent.com/u/775309?s=40&v=4 - smspillaz - https://github.com/smspillaz + https://avatars.githubusercontent.com/u/5485512?s=40&v=4 + scheffle + https://github.com/scheffle - https://avatars.githubusercontent.com/u/661636?s=40&v=4 - LeSpocky - https://github.com/LeSpocky + https://avatars.githubusercontent.com/u/22274925?s=40&v=4 + Msixty7 + https://github.com/Msixty7 diff --git a/data/weekly/cobol.json b/data/weekly/cobol.json index c8884fc8eba4..0c8c0ced889a 100644 --- a/data/weekly/cobol.json +++ b/data/weekly/cobol.json @@ -2,6 +2,6 @@ "title": "GitHub Cobol Languages Weekly Trending", "description": "Weekly Trending of Cobol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cobol.xml b/data/weekly/cobol.xml index d1766f5a1942..5a531f8dc715 100644 --- a/data/weekly/cobol.xml +++ b/data/weekly/cobol.xml @@ -3,6 +3,6 @@ GitHub Cobol Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cobol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/codeowners.json b/data/weekly/codeowners.json index 707163cdb585..3ea4e580a48d 100644 --- a/data/weekly/codeowners.json +++ b/data/weekly/codeowners.json @@ -2,6 +2,6 @@ "title": "GitHub Codeowners Languages Weekly Trending", "description": "Weekly Trending of Codeowners Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/codeowners.xml b/data/weekly/codeowners.xml index 7cd3fa9dd2c6..2e2dff4a487f 100644 --- a/data/weekly/codeowners.xml +++ b/data/weekly/codeowners.xml @@ -3,6 +3,6 @@ GitHub Codeowners Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Codeowners Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/codeql.json b/data/weekly/codeql.json index 16c640cc0117..5dcc665f6410 100644 --- a/data/weekly/codeql.json +++ b/data/weekly/codeql.json @@ -2,7 +2,7 @@ "title": "GitHub Codeql Languages Weekly Trending", "description": "Weekly Trending of Codeql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "github/codeql", @@ -10,7 +10,7 @@ "description": "CodeQL: the libraries and queries that power security researchers around the world, as well as code scanning in GitHub Advanced Security", "language": "CodeQL", "languageColor": "#140f46", - "stars": "7,725", + "stars": "7,726", "forks": "1,553", "addStars": "32", "contributors": [ diff --git a/data/weekly/codeql.xml b/data/weekly/codeql.xml index 312aa9d7b3ec..37e9989883e1 100644 --- a/data/weekly/codeql.xml +++ b/data/weekly/codeql.xml @@ -3,7 +3,7 @@ GitHub Codeql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Codeql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT github/codeql https://github.com/github/codeql @@ -11,7 +11,7 @@ https://github.com/github/codeql CodeQL #140f46 - 7,725 + 7,726 1,553 32 diff --git a/data/weekly/coffeescript.json b/data/weekly/coffeescript.json index 6bba2a165200..93612ad35d08 100644 --- a/data/weekly/coffeescript.json +++ b/data/weekly/coffeescript.json @@ -2,7 +2,7 @@ "title": "GitHub Coffeescript Languages Weekly Trending", "description": "Weekly Trending of Coffeescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "lucassus/angular-coffee-seed", @@ -137,13 +137,87 @@ } ] }, + { + "title": "FelisCatus/SwitchyOmega", + "url": "https://github.com/FelisCatus/SwitchyOmega", + "description": "Manage and switch between multiple proxies quickly & easily.", + "language": "CoffeeScript", + "languageColor": "#244776", + "stars": "21,405", + "forks": "3,206", + "addStars": "30", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/912649?s=40&v=4", + "name": "FelisCatus", + "url": "https://github.com/FelisCatus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9501406?s=40&v=4", + "name": "zhtw2013", + "url": "https://github.com/zhtw2013" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5321268?s=40&v=4", + "name": "MasoudRahmani", + "url": "https://github.com/MasoudRahmani" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25316928?s=40&v=4", + "name": "solokot", + "url": "https://github.com/solokot" + } + ] + }, + { + "title": "taigaio/taiga-front", + "url": "https://github.com/taigaio/taiga-front", + "description": "", + "language": "CoffeeScript", + "languageColor": "#244776", + "stars": "274", + "forks": "120", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/905195?s=40&v=4", + "name": "juanfran", + "url": "https://github.com/juanfran" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1343702?s=40&v=4", + "name": "Xaviju", + "url": "https://github.com/Xaviju" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/877218?s=40&v=4", + "name": "bameda", + "url": "https://github.com/bameda" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3340793?s=40&v=4", + "name": "yamila-moreno", + "url": "https://github.com/yamila-moreno" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1846317?s=40&v=4", + "name": "rsanchezbalo", + "url": "https://github.com/rsanchezbalo" + } + ] + }, { "title": "Leonidas-from-XIV/node-xml2js", "url": "https://github.com/Leonidas-from-XIV/node-xml2js", "description": "XML to JavaScript object converter.", "language": "CoffeeScript", "languageColor": "#244776", - "stars": "4,905", + "stars": "4,906", "forks": "606", "addStars": "6", "contributors": [ diff --git a/data/weekly/coffeescript.xml b/data/weekly/coffeescript.xml index 322557b821ac..149a387cb5fe 100644 --- a/data/weekly/coffeescript.xml +++ b/data/weekly/coffeescript.xml @@ -3,7 +3,7 @@ GitHub Coffeescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Coffeescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT lucassus/angular-coffee-seed https://github.com/lucassus/angular-coffee-seed @@ -141,6 +141,82 @@ + + FelisCatus/SwitchyOmega + https://github.com/FelisCatus/SwitchyOmega + Manage and switch between multiple proxies quickly & easily. + https://github.com/FelisCatus/SwitchyOmega + CoffeeScript + #244776 + 21,405 + 3,206 + 30 + + + https://avatars.githubusercontent.com/u/912649?s=40&v=4 + FelisCatus + https://github.com/FelisCatus + + + https://avatars.githubusercontent.com/u/9501406?s=40&v=4 + zhtw2013 + https://github.com/zhtw2013 + + + https://avatars.githubusercontent.com/u/5321268?s=40&v=4 + MasoudRahmani + https://github.com/MasoudRahmani + + + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate + + + https://avatars.githubusercontent.com/u/25316928?s=40&v=4 + solokot + https://github.com/solokot + + + + + taigaio/taiga-front + https://github.com/taigaio/taiga-front + + https://github.com/taigaio/taiga-front + CoffeeScript + #244776 + 274 + 120 + 5 + + + https://avatars.githubusercontent.com/u/905195?s=40&v=4 + juanfran + https://github.com/juanfran + + + https://avatars.githubusercontent.com/u/1343702?s=40&v=4 + Xaviju + https://github.com/Xaviju + + + https://avatars.githubusercontent.com/u/877218?s=40&v=4 + bameda + https://github.com/bameda + + + https://avatars.githubusercontent.com/u/3340793?s=40&v=4 + yamila-moreno + https://github.com/yamila-moreno + + + https://avatars.githubusercontent.com/u/1846317?s=40&v=4 + rsanchezbalo + https://github.com/rsanchezbalo + + + Leonidas-from-XIV/node-xml2js https://github.com/Leonidas-from-XIV/node-xml2js @@ -148,7 +224,7 @@ https://github.com/Leonidas-from-XIV/node-xml2js CoffeeScript #244776 - 4,905 + 4,906 606 6 diff --git a/data/weekly/coldfusion-cfc.json b/data/weekly/coldfusion-cfc.json index 739667687cd1..7f8544487adc 100644 --- a/data/weekly/coldfusion-cfc.json +++ b/data/weekly/coldfusion-cfc.json @@ -2,6 +2,6 @@ "title": "GitHub Coldfusion-cfc Languages Weekly Trending", "description": "Weekly Trending of Coldfusion-cfc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/coldfusion-cfc.xml b/data/weekly/coldfusion-cfc.xml index f816f5a9d4a8..9d7105978e41 100644 --- a/data/weekly/coldfusion-cfc.xml +++ b/data/weekly/coldfusion-cfc.xml @@ -3,6 +3,6 @@ GitHub Coldfusion-cfc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Coldfusion-cfc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/coldfusion.json b/data/weekly/coldfusion.json index 88c0dd3c28e4..794e16a9fd8c 100644 --- a/data/weekly/coldfusion.json +++ b/data/weekly/coldfusion.json @@ -2,6 +2,6 @@ "title": "GitHub Coldfusion Languages Weekly Trending", "description": "Weekly Trending of Coldfusion Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/coldfusion.xml b/data/weekly/coldfusion.xml index e281ee17f6b7..73881df72dea 100644 --- a/data/weekly/coldfusion.xml +++ b/data/weekly/coldfusion.xml @@ -3,6 +3,6 @@ GitHub Coldfusion Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Coldfusion Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/collada.json b/data/weekly/collada.json index 01f1341bfc51..d83d11c8c900 100644 --- a/data/weekly/collada.json +++ b/data/weekly/collada.json @@ -2,6 +2,6 @@ "title": "GitHub Collada Languages Weekly Trending", "description": "Weekly Trending of Collada Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/collada.xml b/data/weekly/collada.xml index 2cf4adb351b1..8e5b072fc3d3 100644 --- a/data/weekly/collada.xml +++ b/data/weekly/collada.xml @@ -3,6 +3,6 @@ GitHub Collada Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Collada Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/common-lisp.json b/data/weekly/common-lisp.json index 62eb131fbd84..043fbbbe49a9 100644 --- a/data/weekly/common-lisp.json +++ b/data/weekly/common-lisp.json @@ -2,7 +2,7 @@ "title": "GitHub Common-lisp Languages Weekly Trending", "description": "Weekly Trending of Common-lisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "dimitri/pgloader", @@ -79,34 +79,39 @@ ] }, { - "title": "open-goal/jak-project", - "url": "https://github.com/open-goal/jak-project", - "description": "Reviving the language that brought us the Jak & Daxter Series", + "title": "lem-project/lem", + "url": "https://github.com/lem-project/lem", + "description": "Common Lisp editor/IDE with high expansibility", "language": "Common Lisp", "languageColor": "#3fb68b", - "stars": "2,845", - "forks": "174", - "addStars": "6", + "stars": "2,396", + "forks": "178", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/48171810?s=40&v=4", - "name": "water111", - "url": "https://github.com/water111" + "avatar": "https://avatars.githubusercontent.com/u/13656378?s=40&v=4", + "name": "cxxxr", + "url": "https://github.com/cxxxr" }, { - "avatar": "https://avatars.githubusercontent.com/u/13153231?s=40&v=4", - "name": "xTVaser", - "url": "https://github.com/xTVaser" + "avatar": "https://avatars.githubusercontent.com/u/90570?s=40&v=4", + "name": "fukamachi", + "url": "https://github.com/fukamachi" }, { - "avatar": "https://avatars.githubusercontent.com/u/7569514?s=40&v=4", - "name": "ManDude", - "url": "https://github.com/ManDude" + "avatar": "https://avatars.githubusercontent.com/u/71670?s=40&v=4", + "name": "snmsts", + "url": "https://github.com/snmsts" }, { - "avatar": "https://avatars.githubusercontent.com/u/6624576?s=40&v=4", - "name": "Hat-Kid", - "url": "https://github.com/Hat-Kid" + "avatar": "https://avatars.githubusercontent.com/u/26670956?s=40&v=4", + "name": "Sasanidas", + "url": "https://github.com/Sasanidas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3721004?s=40&v=4", + "name": "vindarel", + "url": "https://github.com/vindarel" } ] } diff --git a/data/weekly/common-lisp.xml b/data/weekly/common-lisp.xml index d57992629d95..04de50fbd401 100644 --- a/data/weekly/common-lisp.xml +++ b/data/weekly/common-lisp.xml @@ -3,7 +3,7 @@ GitHub Common-lisp Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Common-lisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT dimitri/pgloader https://github.com/dimitri/pgloader @@ -81,35 +81,40 @@ - open-goal/jak-project - https://github.com/open-goal/jak-project - Reviving the language that brought us the Jak & Daxter Series - https://github.com/open-goal/jak-project + lem-project/lem + https://github.com/lem-project/lem + Common Lisp editor/IDE with high expansibility + https://github.com/lem-project/lem Common Lisp #3fb68b - 2,845 - 174 - 6 + 2,396 + 178 + 7 - https://avatars.githubusercontent.com/u/48171810?s=40&v=4 - water111 - https://github.com/water111 + https://avatars.githubusercontent.com/u/13656378?s=40&v=4 + cxxxr + https://github.com/cxxxr - https://avatars.githubusercontent.com/u/13153231?s=40&v=4 - xTVaser - https://github.com/xTVaser + https://avatars.githubusercontent.com/u/90570?s=40&v=4 + fukamachi + https://github.com/fukamachi - https://avatars.githubusercontent.com/u/7569514?s=40&v=4 - ManDude - https://github.com/ManDude + https://avatars.githubusercontent.com/u/71670?s=40&v=4 + snmsts + https://github.com/snmsts - https://avatars.githubusercontent.com/u/6624576?s=40&v=4 - Hat-Kid - https://github.com/Hat-Kid + https://avatars.githubusercontent.com/u/26670956?s=40&v=4 + Sasanidas + https://github.com/Sasanidas + + + https://avatars.githubusercontent.com/u/3721004?s=40&v=4 + vindarel + https://github.com/vindarel diff --git a/data/weekly/common-workflow-language.json b/data/weekly/common-workflow-language.json index 1c650bb2752a..eadc823861e2 100644 --- a/data/weekly/common-workflow-language.json +++ b/data/weekly/common-workflow-language.json @@ -2,6 +2,6 @@ "title": "GitHub Common-workflow-language Languages Weekly Trending", "description": "Weekly Trending of Common-workflow-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/common-workflow-language.xml b/data/weekly/common-workflow-language.xml index f83abee10e1d..8d878f6cf1d3 100644 --- a/data/weekly/common-workflow-language.xml +++ b/data/weekly/common-workflow-language.xml @@ -3,6 +3,6 @@ GitHub Common-workflow-language Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Common-workflow-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/component-pascal.json b/data/weekly/component-pascal.json index 34aa3cc8dfd3..657a5daa1a90 100644 --- a/data/weekly/component-pascal.json +++ b/data/weekly/component-pascal.json @@ -2,6 +2,6 @@ "title": "GitHub Component-pascal Languages Weekly Trending", "description": "Weekly Trending of Component-pascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/component-pascal.xml b/data/weekly/component-pascal.xml index 4da022ec2de9..6fbf55765d10 100644 --- a/data/weekly/component-pascal.xml +++ b/data/weekly/component-pascal.xml @@ -3,6 +3,6 @@ GitHub Component-pascal Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Component-pascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/conll-u.json b/data/weekly/conll-u.json index 5b4d7f65fb25..4fef8183ab14 100644 --- a/data/weekly/conll-u.json +++ b/data/weekly/conll-u.json @@ -2,6 +2,6 @@ "title": "GitHub Conll-u Languages Weekly Trending", "description": "Weekly Trending of Conll-u Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/conll-u.xml b/data/weekly/conll-u.xml index 893ebe2e8442..0c3173816613 100644 --- a/data/weekly/conll-u.xml +++ b/data/weekly/conll-u.xml @@ -3,6 +3,6 @@ GitHub Conll-u Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Conll-u Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cool.json b/data/weekly/cool.json index e2d72063e1cd..2932ade3a091 100644 --- a/data/weekly/cool.json +++ b/data/weekly/cool.json @@ -2,6 +2,6 @@ "title": "GitHub Cool Languages Weekly Trending", "description": "Weekly Trending of Cool Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cool.xml b/data/weekly/cool.xml index ca971f53fa21..86cb4330a805 100644 --- a/data/weekly/cool.xml +++ b/data/weekly/cool.xml @@ -3,6 +3,6 @@ GitHub Cool Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cool Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/coq.json b/data/weekly/coq.json index 5ec74e9bd1c4..923a9fc9e552 100644 --- a/data/weekly/coq.json +++ b/data/weekly/coq.json @@ -2,6 +2,6 @@ "title": "GitHub Coq Languages Weekly Trending", "description": "Weekly Trending of Coq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/coq.xml b/data/weekly/coq.xml index 97f7c68c519f..19ac5cdf0d37 100644 --- a/data/weekly/coq.xml +++ b/data/weekly/coq.xml @@ -3,6 +3,6 @@ GitHub Coq Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Coq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cpp-objdump.json b/data/weekly/cpp-objdump.json index 26ab3e403b60..e4303066e19e 100644 --- a/data/weekly/cpp-objdump.json +++ b/data/weekly/cpp-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub Cpp-objdump Languages Weekly Trending", "description": "Weekly Trending of Cpp-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cpp-objdump.xml b/data/weekly/cpp-objdump.xml index 3cb9509c4899..b519b63757be 100644 --- a/data/weekly/cpp-objdump.xml +++ b/data/weekly/cpp-objdump.xml @@ -3,6 +3,6 @@ GitHub Cpp-objdump Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cpp-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/creole.json b/data/weekly/creole.json index ef11accbf0c1..3e6e2c23f31a 100644 --- a/data/weekly/creole.json +++ b/data/weekly/creole.json @@ -2,6 +2,6 @@ "title": "GitHub Creole Languages Weekly Trending", "description": "Weekly Trending of Creole Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/creole.xml b/data/weekly/creole.xml index 7abc19221cef..bdc6ea0e3d9c 100644 --- a/data/weekly/creole.xml +++ b/data/weekly/creole.xml @@ -3,6 +3,6 @@ GitHub Creole Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Creole Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/crontab.json b/data/weekly/crontab.json index ef48ab852bdb..112d34de1794 100644 --- a/data/weekly/crontab.json +++ b/data/weekly/crontab.json @@ -2,6 +2,6 @@ "title": "GitHub Crontab Languages Weekly Trending", "description": "Weekly Trending of Crontab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/crontab.xml b/data/weekly/crontab.xml index 08459ce6ef1d..4261b6762005 100644 --- a/data/weekly/crontab.xml +++ b/data/weekly/crontab.xml @@ -3,6 +3,6 @@ GitHub Crontab Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Crontab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/crystal.json b/data/weekly/crystal.json index 1fba86349656..d02e97c38857 100644 --- a/data/weekly/crystal.json +++ b/data/weekly/crystal.json @@ -2,7 +2,7 @@ "title": "GitHub Crystal Languages Weekly Trending", "description": "Weekly Trending of Crystal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "crystal-lang/crystal", @@ -10,7 +10,7 @@ "description": "The Crystal Programming Language", "language": "Crystal", "languageColor": "#000100", - "stars": "19,496", + "stars": "19,497", "forks": "1,620", "addStars": "24", "contributors": [ @@ -40,6 +40,43 @@ "url": "https://github.com/jhass" } ] + }, + { + "title": "iv-org/invidious", + "url": "https://github.com/iv-org/invidious", + "description": "Invidious is an alternative front-end to YouTube", + "language": "Crystal", + "languageColor": "#000100", + "stars": "16,458", + "forks": "1,852", + "addStars": "40", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/14208607?s=40&v=4", + "name": "omarroth", + "url": "https://github.com/omarroth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52980486?s=40&v=4", + "name": "SamantazFox", + "url": "https://github.com/SamantazFox" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70992037?s=40&v=4", + "name": "syeopite", + "url": "https://github.com/syeopite" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47571719?s=40&v=4", + "name": "TheFrenchGhosty", + "url": "https://github.com/TheFrenchGhosty" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/crystal.xml b/data/weekly/crystal.xml index d0fbebba2578..006081534267 100644 --- a/data/weekly/crystal.xml +++ b/data/weekly/crystal.xml @@ -3,7 +3,7 @@ GitHub Crystal Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Crystal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT crystal-lang/crystal https://github.com/crystal-lang/crystal @@ -11,7 +11,7 @@ https://github.com/crystal-lang/crystal Crystal #000100 - 19,496 + 19,497 1,620 24 @@ -42,5 +42,43 @@ + + iv-org/invidious + https://github.com/iv-org/invidious + Invidious is an alternative front-end to YouTube + https://github.com/iv-org/invidious + Crystal + #000100 + 16,458 + 1,852 + 40 + + + https://avatars.githubusercontent.com/u/14208607?s=40&v=4 + omarroth + https://github.com/omarroth + + + https://avatars.githubusercontent.com/u/52980486?s=40&v=4 + SamantazFox + https://github.com/SamantazFox + + + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate + + + https://avatars.githubusercontent.com/u/70992037?s=40&v=4 + syeopite + https://github.com/syeopite + + + https://avatars.githubusercontent.com/u/47571719?s=40&v=4 + TheFrenchGhosty + https://github.com/TheFrenchGhosty + + + \ No newline at end of file diff --git a/data/weekly/cson.json b/data/weekly/cson.json index b8eb39acc5f8..e7ff62f096ea 100644 --- a/data/weekly/cson.json +++ b/data/weekly/cson.json @@ -2,6 +2,6 @@ "title": "GitHub Cson Languages Weekly Trending", "description": "Weekly Trending of Cson Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cson.xml b/data/weekly/cson.xml index f18e7871226a..9aeaa9f8a71f 100644 --- a/data/weekly/cson.xml +++ b/data/weekly/cson.xml @@ -3,6 +3,6 @@ GitHub Cson Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cson Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/csound-document.json b/data/weekly/csound-document.json index 24e88a8c2eea..7120f90b412a 100644 --- a/data/weekly/csound-document.json +++ b/data/weekly/csound-document.json @@ -2,6 +2,6 @@ "title": "GitHub Csound-document Languages Weekly Trending", "description": "Weekly Trending of Csound-document Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/csound-document.xml b/data/weekly/csound-document.xml index 17daa1e8c310..91590eda7846 100644 --- a/data/weekly/csound-document.xml +++ b/data/weekly/csound-document.xml @@ -3,6 +3,6 @@ GitHub Csound-document Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Csound-document Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/csound-score.json b/data/weekly/csound-score.json index 1926886a753e..7cef27ccb54b 100644 --- a/data/weekly/csound-score.json +++ b/data/weekly/csound-score.json @@ -2,6 +2,6 @@ "title": "GitHub Csound-score Languages Weekly Trending", "description": "Weekly Trending of Csound-score Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/csound-score.xml b/data/weekly/csound-score.xml index b7c54f5bf690..1aeb14edd10d 100644 --- a/data/weekly/csound-score.xml +++ b/data/weekly/csound-score.xml @@ -3,6 +3,6 @@ GitHub Csound-score Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Csound-score Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/csound.json b/data/weekly/csound.json index 4693e2f51e88..98e5f0e2d238 100644 --- a/data/weekly/csound.json +++ b/data/weekly/csound.json @@ -2,6 +2,6 @@ "title": "GitHub Csound Languages Weekly Trending", "description": "Weekly Trending of Csound Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/csound.xml b/data/weekly/csound.xml index df2eb089dd57..4a0b5038b2f5 100644 --- a/data/weekly/csound.xml +++ b/data/weekly/csound.xml @@ -3,6 +3,6 @@ GitHub Csound Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Csound Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/css.json b/data/weekly/css.json index c3d6752a2c6e..e93837f9e2b6 100644 --- a/data/weekly/css.json +++ b/data/weekly/css.json @@ -2,7 +2,7 @@ "title": "GitHub Css Languages Weekly Trending", "description": "Weekly Trending of Css Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "primefaces/primeng", @@ -10,7 +10,7 @@ "description": "The Most Complete Angular UI Component Library", "language": "CSS", "languageColor": "#563d7c", - "stars": "10,596", + "stars": "10,602", "forks": "4,620", "addStars": "38", "contributors": [ @@ -84,7 +84,7 @@ "description": "Iconic font aggregator, collection, & patcher. 3,600+ icons, 50+ patched fonts: Hack, Source Code Pro, more. Glyph collections: Font Awesome, Material Design Icons, Octicons, & more", "language": "CSS", "languageColor": "#563d7c", - "stars": "55,007", + "stars": "55,011", "forks": "3,648", "addStars": "149", "contributors": [ @@ -106,39 +106,39 @@ ] }, { - "title": "WebStackPage/WebStackPage.github.io", - "url": "https://github.com/WebStackPage/WebStackPage.github.io", - "description": "❤️静态响应式网址导航网站 - webstack.cc", + "title": "micro-zoe/micro-app", + "url": "https://github.com/micro-zoe/micro-app", + "description": "A simple, efficient and powerful micro front-end framework. 一款简约、高效、功能强大的微前端框架", "language": "CSS", "languageColor": "#563d7c", - "stars": "6,452", - "forks": "1,829", - "addStars": "23", + "stars": "5,637", + "forks": "573", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10386656?s=40&v=4", - "name": "ViggoZ", - "url": "https://github.com/ViggoZ" + "avatar": "https://avatars.githubusercontent.com/u/20297227?s=40&v=4", + "name": "bailicangdu", + "url": "https://github.com/bailicangdu" }, { - "avatar": "https://avatars.githubusercontent.com/u/23646495?s=40&v=4", - "name": "realbasin", - "url": "https://github.com/realbasin" + "avatar": "https://avatars.githubusercontent.com/u/14011130?s=40&v=4", + "name": "raoenhui", + "url": "https://github.com/raoenhui" }, { - "avatar": "https://avatars.githubusercontent.com/u/13358658?s=40&v=4", - "name": "seven-steven", - "url": "https://github.com/seven-steven" + "avatar": "https://avatars.githubusercontent.com/u/177924688?s=40&v=4", + "name": "timhub66", + "url": "https://github.com/timhub66" }, { - "avatar": "https://avatars.githubusercontent.com/u/13832759?s=40&v=4", - "name": "hustjiangtao", - "url": "https://github.com/hustjiangtao" + "avatar": "https://avatars.githubusercontent.com/u/1808990?s=40&v=4", + "name": "tinymins", + "url": "https://github.com/tinymins" }, { - "avatar": "https://avatars.githubusercontent.com/u/20737412?s=40&v=4", - "name": "rainggo", - "url": "https://github.com/rainggo" + "avatar": "https://avatars.githubusercontent.com/u/5918515?s=40&v=4", + "name": "cuitianze", + "url": "https://github.com/cuitianze" } ] }, @@ -148,7 +148,7 @@ "description": "A simple, retro theme for Hugo", "language": "CSS", "languageColor": "#563d7c", - "stars": "2,102", + "stars": "2,103", "forks": "759", "addStars": "22", "contributors": [ @@ -174,112 +174,6 @@ } ] }, - { - "title": "spicetify/spicetify-themes", - "url": "https://github.com/spicetify/spicetify-themes", - "description": "A community-driven collection of themes for customizing Spotify through Spicetify - https://github.com/spicetify/cli", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "5,115", - "forks": "1,271", - "addStars": "22", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/36999173?s=40&v=4", - "name": "morpheusthewhite", - "url": "https://github.com/morpheusthewhite" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/84175605?s=40&v=4", - "name": "harbassan", - "url": "https://github.com/harbassan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19476925?s=40&v=4", - "name": "grasonchan", - "url": "https://github.com/grasonchan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60494758?s=40&v=4", - "name": "CharlieS1103", - "url": "https://github.com/CharlieS1103" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/111078063?s=40&v=4", - "name": "darkthemer", - "url": "https://github.com/darkthemer" - } - ] - }, - { - "title": "rime/home", - "url": "https://github.com/rime/home", - "description": "Rime::Home is home to Rime users and developers", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "4,304", - "forks": "233", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/151157?s=40&v=4", - "name": "lotem", - "url": "https://github.com/lotem" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4469383?s=40&v=4", - "name": "LEOYoon-Tsaw", - "url": "https://github.com/LEOYoon-Tsaw" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16241583?s=40&v=4", - "name": "determ1ne", - "url": "https://github.com/determ1ne" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3831173?s=40&v=4", - "name": "gaboolic", - "url": "https://github.com/gaboolic" - } - ] - }, - { - "title": "micro-zoe/micro-app", - "url": "https://github.com/micro-zoe/micro-app", - "description": "A simple, efficient and powerful micro front-end framework. 一款简约、高效、功能强大的微前端框架", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "5,635", - "forks": "572", - "addStars": "19", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20297227?s=40&v=4", - "name": "bailicangdu", - "url": "https://github.com/bailicangdu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14011130?s=40&v=4", - "name": "raoenhui", - "url": "https://github.com/raoenhui" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/177924688?s=40&v=4", - "name": "timhub66", - "url": "https://github.com/timhub66" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1808990?s=40&v=4", - "name": "tinymins", - "url": "https://github.com/tinymins" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5918515?s=40&v=4", - "name": "cuitianze", - "url": "https://github.com/cuitianze" - } - ] - }, { "title": "necolas/normalize.css", "url": "https://github.com/necolas/normalize.css", @@ -354,13 +248,50 @@ } ] }, + { + "title": "WebStackPage/WebStackPage.github.io", + "url": "https://github.com/WebStackPage/WebStackPage.github.io", + "description": "❤️静态响应式网址导航网站 - webstack.cc", + "language": "CSS", + "languageColor": "#563d7c", + "stars": "6,452", + "forks": "1,829", + "addStars": "23", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10386656?s=40&v=4", + "name": "ViggoZ", + "url": "https://github.com/ViggoZ" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23646495?s=40&v=4", + "name": "realbasin", + "url": "https://github.com/realbasin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13358658?s=40&v=4", + "name": "seven-steven", + "url": "https://github.com/seven-steven" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13832759?s=40&v=4", + "name": "hustjiangtao", + "url": "https://github.com/hustjiangtao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20737412?s=40&v=4", + "name": "rainggo", + "url": "https://github.com/rainggo" + } + ] + }, { "title": "primefaces/primereact", "url": "https://github.com/primefaces/primereact", "description": "The Most Complete React UI Component Library", "language": "CSS", "languageColor": "#563d7c", - "stars": "6,948", + "stars": "6,950", "forks": "1,054", "addStars": "40", "contributors": [ @@ -392,261 +323,204 @@ ] }, { - "title": "sindresorhus/github-markdown-css", - "url": "https://github.com/sindresorhus/github-markdown-css", - "description": "The minimal amount of CSS to replicate the GitHub Markdown style", - "language": "CSS", - "languageColor": "#563d7c", - "stars": "8,022", - "forks": "2,097", - "addStars": "20", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/170270?s=40&v=4", - "name": "sindresorhus", - "url": "https://github.com/sindresorhus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5268?s=40&v=4", - "name": "proppy", - "url": "https://github.com/proppy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/733544?s=40&v=4", - "name": "tylerlong", - "url": "https://github.com/tylerlong" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/813865?s=40&v=4", - "name": "bnjmnt4n", - "url": "https://github.com/bnjmnt4n" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2763135?s=40&v=4", - "name": "dguo", - "url": "https://github.com/dguo" - } - ] - }, - { - "title": "mingw-w64/mingw-w64.github.io", - "url": "https://github.com/mingw-w64/mingw-w64.github.io", - "description": "mingw-w64.net web page contents (The new web page)", + "title": "rime/home", + "url": "https://github.com/rime/home", + "description": "Rime::Home is home to Rime users and developers", "language": "CSS", "languageColor": "#563d7c", - "stars": "618", - "forks": "1,483", - "addStars": "8", + "stars": "4,304", + "forks": "233", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/991986?s=40&v=4", - "name": "lazka", - "url": "https://github.com/lazka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5071344?s=40&v=4", - "name": "lhmouse", - "url": "https://github.com/lhmouse" + "avatar": "https://avatars.githubusercontent.com/u/151157?s=40&v=4", + "name": "lotem", + "url": "https://github.com/lotem" }, { - "avatar": "https://avatars.githubusercontent.com/u/53637421?s=40&v=4", - "name": "GalaxySnail", - "url": "https://github.com/GalaxySnail" + "avatar": "https://avatars.githubusercontent.com/u/4469383?s=40&v=4", + "name": "LEOYoon-Tsaw", + "url": "https://github.com/LEOYoon-Tsaw" }, { - "avatar": "https://avatars.githubusercontent.com/u/8400779?s=40&v=4", - "name": "podsvirov", - "url": "https://github.com/podsvirov" + "avatar": "https://avatars.githubusercontent.com/u/16241583?s=40&v=4", + "name": "determ1ne", + "url": "https://github.com/determ1ne" }, { - "avatar": "https://avatars.githubusercontent.com/u/3709715?s=40&v=4", - "name": "cclauss", - "url": "https://github.com/cclauss" + "avatar": "https://avatars.githubusercontent.com/u/3831173?s=40&v=4", + "name": "gaboolic", + "url": "https://github.com/gaboolic" } ] }, { - "title": "AnswerDotAI/fasthtml-example", - "url": "https://github.com/AnswerDotAI/fasthtml-example", - "description": "Example fasthtml applications demonstrating a range of web programming techniques", + "title": "spicetify/spicetify-themes", + "url": "https://github.com/spicetify/spicetify-themes", + "description": "A community-driven collection of themes for customizing Spotify through Spicetify - https://github.com/spicetify/cli", "language": "CSS", "languageColor": "#563d7c", - "stars": "663", - "forks": "100", - "addStars": "12", + "stars": "5,115", + "forks": "1,271", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/346999?s=40&v=4", - "name": "jph00", - "url": "https://github.com/jph00" + "avatar": "https://avatars.githubusercontent.com/u/36999173?s=40&v=4", + "name": "morpheusthewhite", + "url": "https://github.com/morpheusthewhite" }, { - "avatar": "https://avatars.githubusercontent.com/u/6575163?s=40&v=4", - "name": "johnowhitaker", - "url": "https://github.com/johnowhitaker" + "avatar": "https://avatars.githubusercontent.com/u/84175605?s=40&v=4", + "name": "harbassan", + "url": "https://github.com/harbassan" }, { - "avatar": "https://avatars.githubusercontent.com/u/7613470?s=40&v=4", - "name": "ncoop57", - "url": "https://github.com/ncoop57" + "avatar": "https://avatars.githubusercontent.com/u/19476925?s=40&v=4", + "name": "grasonchan", + "url": "https://github.com/grasonchan" }, { - "avatar": "https://avatars.githubusercontent.com/u/62857?s=40&v=4", - "name": "pydanny", - "url": "https://github.com/pydanny" + "avatar": "https://avatars.githubusercontent.com/u/60494758?s=40&v=4", + "name": "CharlieS1103", + "url": "https://github.com/CharlieS1103" }, { - "avatar": "https://avatars.githubusercontent.com/u/463317?s=40&v=4", - "name": "altryne", - "url": "https://github.com/altryne" + "avatar": "https://avatars.githubusercontent.com/u/111078063?s=40&v=4", + "name": "darkthemer", + "url": "https://github.com/darkthemer" } ] }, { - "title": "mwittrien/BetterDiscordAddons", - "url": "https://github.com/mwittrien/BetterDiscordAddons", - "description": "A series of plugins and themes for BetterDiscord.", + "title": "primefaces/sakai-react", + "url": "https://github.com/primefaces/sakai-react", + "description": "Free React Admin Template", "language": "CSS", "languageColor": "#563d7c", - "stars": "2,108", - "forks": "3,840", - "addStars": "1", + "stars": "894", + "forks": "563", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/23700969?s=40&v=4", - "name": "mwittrien", - "url": "https://github.com/mwittrien" + "avatar": "https://avatars.githubusercontent.com/u/51113474?s=40&v=4", + "name": "mcandu", + "url": "https://github.com/mcandu" }, { - "avatar": "https://avatars.githubusercontent.com/u/20620901?s=40&v=4", - "name": "intrnl", - "url": "https://github.com/intrnl" + "avatar": "https://avatars.githubusercontent.com/u/686247?s=40&v=4", + "name": "cagataycivici", + "url": "https://github.com/cagataycivici" }, { - "avatar": "https://avatars.githubusercontent.com/u/2082232?s=40&v=4", - "name": "satoru8", - "url": "https://github.com/satoru8" + "avatar": "https://avatars.githubusercontent.com/u/11868120?s=40&v=4", + "name": "mertsincan", + "url": "https://github.com/mertsincan" }, { - "avatar": "https://avatars.githubusercontent.com/u/6865942?s=40&v=4", - "name": "zerebos", - "url": "https://github.com/zerebos" + "avatar": "https://avatars.githubusercontent.com/u/56156254?s=40&v=4", + "name": "ulasturann", + "url": "https://github.com/ulasturann" }, { - "avatar": "https://avatars.githubusercontent.com/u/13532058?s=40&v=4", - "name": "jokerr77", - "url": "https://github.com/jokerr77" + "avatar": "https://avatars.githubusercontent.com/u/11199097?s=40&v=4", + "name": "Merve7", + "url": "https://github.com/Merve7" } ] }, { - "title": "spring-projects/spring-petclinic", - "url": "https://github.com/spring-projects/spring-petclinic", - "description": "A sample Spring-based application", + "title": "picocss/pico", + "url": "https://github.com/picocss/pico", + "description": "Minimal CSS Framework for semantic HTML", "language": "CSS", "languageColor": "#563d7c", - "stars": "7,784", - "forks": "23,946", - "addStars": "19", + "stars": "13,849", + "forks": "407", + "addStars": "43", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/124075?s=40&v=4", - "name": "dsyer", - "url": "https://github.com/dsyer" + "avatar": "https://avatars.githubusercontent.com/u/23470684?s=40&v=4", + "name": "lucaslarroche", + "url": "https://github.com/lucaslarroche" }, { - "avatar": "https://avatars.githubusercontent.com/u/838318?s=40&v=4", - "name": "arey", - "url": "https://github.com/arey" + "avatar": "https://avatars.githubusercontent.com/u/13364465?s=40&v=4", + "name": "KiranMantha", + "url": "https://github.com/KiranMantha" }, { - "avatar": "https://avatars.githubusercontent.com/u/490484?s=40&v=4", - "name": "snicoll", - "url": "https://github.com/snicoll" + "avatar": "https://avatars.githubusercontent.com/u/43730771?s=40&v=4", + "name": "jelmerveen", + "url": "https://github.com/jelmerveen" }, { - "avatar": "https://avatars.githubusercontent.com/u/103264?s=40&v=4", - "name": "bclozel", - "url": "https://github.com/bclozel" + "avatar": "https://avatars.githubusercontent.com/u/8252267?s=40&v=4", + "name": "wenkeming", + "url": "https://github.com/wenkeming" }, { - "avatar": "https://avatars.githubusercontent.com/u/100741?s=40&v=4", - "name": "anyulled", - "url": "https://github.com/anyulled" + "avatar": "https://avatars.githubusercontent.com/u/2085468?s=40&v=4", + "name": "hayatbiralem", + "url": "https://github.com/hayatbiralem" } ] }, { - "title": "primefaces/sakai-react", - "url": "https://github.com/primefaces/sakai-react", - "description": "Free React Admin Template", + "title": "mingw-w64/mingw-w64.github.io", + "url": "https://github.com/mingw-w64/mingw-w64.github.io", + "description": "mingw-w64.net web page contents (The new web page)", "language": "CSS", "languageColor": "#563d7c", - "stars": "894", - "forks": "563", - "addStars": "5", + "stars": "618", + "forks": "1,484", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/51113474?s=40&v=4", - "name": "mcandu", - "url": "https://github.com/mcandu" + "avatar": "https://avatars.githubusercontent.com/u/991986?s=40&v=4", + "name": "lazka", + "url": "https://github.com/lazka" }, { - "avatar": "https://avatars.githubusercontent.com/u/686247?s=40&v=4", - "name": "cagataycivici", - "url": "https://github.com/cagataycivici" + "avatar": "https://avatars.githubusercontent.com/u/5071344?s=40&v=4", + "name": "lhmouse", + "url": "https://github.com/lhmouse" }, { - "avatar": "https://avatars.githubusercontent.com/u/11868120?s=40&v=4", - "name": "mertsincan", - "url": "https://github.com/mertsincan" + "avatar": "https://avatars.githubusercontent.com/u/53637421?s=40&v=4", + "name": "GalaxySnail", + "url": "https://github.com/GalaxySnail" }, { - "avatar": "https://avatars.githubusercontent.com/u/56156254?s=40&v=4", - "name": "ulasturann", - "url": "https://github.com/ulasturann" + "avatar": "https://avatars.githubusercontent.com/u/8400779?s=40&v=4", + "name": "podsvirov", + "url": "https://github.com/podsvirov" }, { - "avatar": "https://avatars.githubusercontent.com/u/11199097?s=40&v=4", - "name": "Merve7", - "url": "https://github.com/Merve7" + "avatar": "https://avatars.githubusercontent.com/u/3709715?s=40&v=4", + "name": "cclauss", + "url": "https://github.com/cclauss" } ] }, { - "title": "aws-samples/amplify-next-template", - "url": "https://github.com/aws-samples/amplify-next-template", - "description": "This is a Next.js starter for building a fullstack app with AWS Amplify.", + "title": "joy-of-react/project-wordle", + "url": "https://github.com/joy-of-react/project-wordle", + "description": "", "language": "CSS", "languageColor": "#563d7c", - "stars": "94", - "forks": "2,982", - "addStars": "5", + "stars": "127", + "forks": "1,295", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/38470430?s=40&v=4", - "name": "Jay2113", - "url": "https://github.com/Jay2113" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2429410?s=40&v=4", - "name": "swaminator", - "url": "https://github.com/swaminator" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87995712?s=40&v=4", - "name": "ykethan", - "url": "https://github.com/ykethan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5033303?s=40&v=4", - "name": "josefaidt", - "url": "https://github.com/josefaidt" + "avatar": "https://avatars.githubusercontent.com/u/6692932?s=40&v=4", + "name": "joshwcomeau", + "url": "https://github.com/joshwcomeau" }, { - "avatar": "https://avatars.githubusercontent.com/u/4989523?s=40&v=4", - "name": "renebrandel", - "url": "https://github.com/renebrandel" + "avatar": "https://avatars.githubusercontent.com/u/2423092?s=40&v=4", + "name": "dylano", + "url": "https://github.com/dylano" } ] } diff --git a/data/weekly/css.xml b/data/weekly/css.xml index 4458dfbe793b..9da6a3b1ed98 100644 --- a/data/weekly/css.xml +++ b/data/weekly/css.xml @@ -3,7 +3,7 @@ GitHub Css Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Css Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT primefaces/primeng https://github.com/primefaces/primeng @@ -11,7 +11,7 @@ https://github.com/primefaces/primeng CSS #563d7c - 10,596 + 10,602 4,620 38 @@ -87,7 +87,7 @@ https://github.com/ryanoasis/nerd-fonts CSS #563d7c - 55,007 + 55,011 3,648 149 @@ -109,40 +109,40 @@ - WebStackPage/WebStackPage.github.io - https://github.com/WebStackPage/WebStackPage.github.io - ❤️静态响应式网址导航网站 - webstack.cc - https://github.com/WebStackPage/WebStackPage.github.io + micro-zoe/micro-app + https://github.com/micro-zoe/micro-app + A simple, efficient and powerful micro front-end framework. 一款简约、高效、功能强大的微前端框架 + https://github.com/micro-zoe/micro-app CSS #563d7c - 6,452 - 1,829 - 23 + 5,637 + 573 + 19 - https://avatars.githubusercontent.com/u/10386656?s=40&v=4 - ViggoZ - https://github.com/ViggoZ + https://avatars.githubusercontent.com/u/20297227?s=40&v=4 + bailicangdu + https://github.com/bailicangdu - https://avatars.githubusercontent.com/u/23646495?s=40&v=4 - realbasin - https://github.com/realbasin + https://avatars.githubusercontent.com/u/14011130?s=40&v=4 + raoenhui + https://github.com/raoenhui - https://avatars.githubusercontent.com/u/13358658?s=40&v=4 - seven-steven - https://github.com/seven-steven + https://avatars.githubusercontent.com/u/177924688?s=40&v=4 + timhub66 + https://github.com/timhub66 - https://avatars.githubusercontent.com/u/13832759?s=40&v=4 - hustjiangtao - https://github.com/hustjiangtao + https://avatars.githubusercontent.com/u/1808990?s=40&v=4 + tinymins + https://github.com/tinymins - https://avatars.githubusercontent.com/u/20737412?s=40&v=4 - rainggo - https://github.com/rainggo + https://avatars.githubusercontent.com/u/5918515?s=40&v=4 + cuitianze + https://github.com/cuitianze @@ -153,7 +153,7 @@ https://github.com/panr/hugo-theme-terminal CSS #563d7c - 2,102 + 2,103 759 22 @@ -179,115 +179,6 @@ - - spicetify/spicetify-themes - https://github.com/spicetify/spicetify-themes - A community-driven collection of themes for customizing Spotify through Spicetify - https://github.com/spicetify/cli - https://github.com/spicetify/spicetify-themes - CSS - #563d7c - 5,115 - 1,271 - 22 - - - https://avatars.githubusercontent.com/u/36999173?s=40&v=4 - morpheusthewhite - https://github.com/morpheusthewhite - - - https://avatars.githubusercontent.com/u/84175605?s=40&v=4 - harbassan - https://github.com/harbassan - - - https://avatars.githubusercontent.com/u/19476925?s=40&v=4 - grasonchan - https://github.com/grasonchan - - - https://avatars.githubusercontent.com/u/60494758?s=40&v=4 - CharlieS1103 - https://github.com/CharlieS1103 - - - https://avatars.githubusercontent.com/u/111078063?s=40&v=4 - darkthemer - https://github.com/darkthemer - - - - - rime/home - https://github.com/rime/home - Rime::Home is home to Rime users and developers - https://github.com/rime/home - CSS - #563d7c - 4,304 - 233 - 14 - - - https://avatars.githubusercontent.com/u/151157?s=40&v=4 - lotem - https://github.com/lotem - - - https://avatars.githubusercontent.com/u/4469383?s=40&v=4 - LEOYoon-Tsaw - https://github.com/LEOYoon-Tsaw - - - https://avatars.githubusercontent.com/u/16241583?s=40&v=4 - determ1ne - https://github.com/determ1ne - - - https://avatars.githubusercontent.com/u/3831173?s=40&v=4 - gaboolic - https://github.com/gaboolic - - - - - micro-zoe/micro-app - https://github.com/micro-zoe/micro-app - A simple, efficient and powerful micro front-end framework. 一款简约、高效、功能强大的微前端框架 - https://github.com/micro-zoe/micro-app - CSS - #563d7c - 5,635 - 572 - 19 - - - https://avatars.githubusercontent.com/u/20297227?s=40&v=4 - bailicangdu - https://github.com/bailicangdu - - - https://avatars.githubusercontent.com/u/14011130?s=40&v=4 - raoenhui - https://github.com/raoenhui - - - https://avatars.githubusercontent.com/u/177924688?s=40&v=4 - timhub66 - https://github.com/timhub66 - - - https://avatars.githubusercontent.com/u/1808990?s=40&v=4 - tinymins - https://github.com/tinymins - - - https://avatars.githubusercontent.com/u/5918515?s=40&v=4 - cuitianze - https://github.com/cuitianze - - - necolas/normalize.css https://github.com/necolas/normalize.css @@ -364,6 +255,44 @@ + + WebStackPage/WebStackPage.github.io + https://github.com/WebStackPage/WebStackPage.github.io + ❤️静态响应式网址导航网站 - webstack.cc + https://github.com/WebStackPage/WebStackPage.github.io + CSS + #563d7c + 6,452 + 1,829 + 23 + + + https://avatars.githubusercontent.com/u/10386656?s=40&v=4 + ViggoZ + https://github.com/ViggoZ + + + https://avatars.githubusercontent.com/u/23646495?s=40&v=4 + realbasin + https://github.com/realbasin + + + https://avatars.githubusercontent.com/u/13358658?s=40&v=4 + seven-steven + https://github.com/seven-steven + + + https://avatars.githubusercontent.com/u/13832759?s=40&v=4 + hustjiangtao + https://github.com/hustjiangtao + + + https://avatars.githubusercontent.com/u/20737412?s=40&v=4 + rainggo + https://github.com/rainggo + + + primefaces/primereact https://github.com/primefaces/primereact @@ -371,7 +300,7 @@ https://github.com/primefaces/primereact CSS #563d7c - 6,948 + 6,950 1,054 40 @@ -403,268 +332,210 @@ - sindresorhus/github-markdown-css - https://github.com/sindresorhus/github-markdown-css - The minimal amount of CSS to replicate the GitHub Markdown style - https://github.com/sindresorhus/github-markdown-css - CSS - #563d7c - 8,022 - 2,097 - 20 - - - https://avatars.githubusercontent.com/u/170270?s=40&v=4 - sindresorhus - https://github.com/sindresorhus - - - https://avatars.githubusercontent.com/u/5268?s=40&v=4 - proppy - https://github.com/proppy - - - https://avatars.githubusercontent.com/u/733544?s=40&v=4 - tylerlong - https://github.com/tylerlong - - - https://avatars.githubusercontent.com/u/813865?s=40&v=4 - bnjmnt4n - https://github.com/bnjmnt4n - - - https://avatars.githubusercontent.com/u/2763135?s=40&v=4 - dguo - https://github.com/dguo - - - - - mingw-w64/mingw-w64.github.io - https://github.com/mingw-w64/mingw-w64.github.io - mingw-w64.net web page contents (The new web page) - https://github.com/mingw-w64/mingw-w64.github.io + rime/home + https://github.com/rime/home + Rime::Home is home to Rime users and developers + https://github.com/rime/home CSS #563d7c - 618 - 1,483 - 8 + 4,304 + 233 + 14 - https://avatars.githubusercontent.com/u/991986?s=40&v=4 - lazka - https://github.com/lazka - - - https://avatars.githubusercontent.com/u/5071344?s=40&v=4 - lhmouse - https://github.com/lhmouse + https://avatars.githubusercontent.com/u/151157?s=40&v=4 + lotem + https://github.com/lotem - https://avatars.githubusercontent.com/u/53637421?s=40&v=4 - GalaxySnail - https://github.com/GalaxySnail + https://avatars.githubusercontent.com/u/4469383?s=40&v=4 + LEOYoon-Tsaw + https://github.com/LEOYoon-Tsaw - https://avatars.githubusercontent.com/u/8400779?s=40&v=4 - podsvirov - https://github.com/podsvirov + https://avatars.githubusercontent.com/u/16241583?s=40&v=4 + determ1ne + https://github.com/determ1ne - https://avatars.githubusercontent.com/u/3709715?s=40&v=4 - cclauss - https://github.com/cclauss + https://avatars.githubusercontent.com/u/3831173?s=40&v=4 + gaboolic + https://github.com/gaboolic - AnswerDotAI/fasthtml-example - https://github.com/AnswerDotAI/fasthtml-example - Example fasthtml applications demonstrating a range of web programming techniques - https://github.com/AnswerDotAI/fasthtml-example + spicetify/spicetify-themes + https://github.com/spicetify/spicetify-themes + A community-driven collection of themes for customizing Spotify through Spicetify - https://github.com/spicetify/cli + https://github.com/spicetify/spicetify-themes CSS #563d7c - 663 - 100 - 12 + 5,115 + 1,271 + 22 - https://avatars.githubusercontent.com/u/346999?s=40&v=4 - jph00 - https://github.com/jph00 + https://avatars.githubusercontent.com/u/36999173?s=40&v=4 + morpheusthewhite + https://github.com/morpheusthewhite - https://avatars.githubusercontent.com/u/6575163?s=40&v=4 - johnowhitaker - https://github.com/johnowhitaker + https://avatars.githubusercontent.com/u/84175605?s=40&v=4 + harbassan + https://github.com/harbassan - https://avatars.githubusercontent.com/u/7613470?s=40&v=4 - ncoop57 - https://github.com/ncoop57 + https://avatars.githubusercontent.com/u/19476925?s=40&v=4 + grasonchan + https://github.com/grasonchan - https://avatars.githubusercontent.com/u/62857?s=40&v=4 - pydanny - https://github.com/pydanny + https://avatars.githubusercontent.com/u/60494758?s=40&v=4 + CharlieS1103 + https://github.com/CharlieS1103 - https://avatars.githubusercontent.com/u/463317?s=40&v=4 - altryne - https://github.com/altryne + https://avatars.githubusercontent.com/u/111078063?s=40&v=4 + darkthemer + https://github.com/darkthemer - mwittrien/BetterDiscordAddons - https://github.com/mwittrien/BetterDiscordAddons - A series of plugins and themes for BetterDiscord. - https://github.com/mwittrien/BetterDiscordAddons + primefaces/sakai-react + https://github.com/primefaces/sakai-react + Free React Admin Template + https://github.com/primefaces/sakai-react CSS #563d7c - 2,108 - 3,840 - 1 + 894 + 563 + 5 - https://avatars.githubusercontent.com/u/23700969?s=40&v=4 - mwittrien - https://github.com/mwittrien + https://avatars.githubusercontent.com/u/51113474?s=40&v=4 + mcandu + https://github.com/mcandu - https://avatars.githubusercontent.com/u/20620901?s=40&v=4 - intrnl - https://github.com/intrnl + https://avatars.githubusercontent.com/u/686247?s=40&v=4 + cagataycivici + https://github.com/cagataycivici - https://avatars.githubusercontent.com/u/2082232?s=40&v=4 - satoru8 - https://github.com/satoru8 + https://avatars.githubusercontent.com/u/11868120?s=40&v=4 + mertsincan + https://github.com/mertsincan - https://avatars.githubusercontent.com/u/6865942?s=40&v=4 - zerebos - https://github.com/zerebos + https://avatars.githubusercontent.com/u/56156254?s=40&v=4 + ulasturann + https://github.com/ulasturann - https://avatars.githubusercontent.com/u/13532058?s=40&v=4 - jokerr77 - https://github.com/jokerr77 + https://avatars.githubusercontent.com/u/11199097?s=40&v=4 + Merve7 + https://github.com/Merve7 - spring-projects/spring-petclinic - https://github.com/spring-projects/spring-petclinic - A sample Spring-based application - https://github.com/spring-projects/spring-petclinic + picocss/pico + https://github.com/picocss/pico + Minimal CSS Framework for semantic HTML + https://github.com/picocss/pico CSS #563d7c - 7,784 - 23,946 - 19 + 13,849 + 407 + 43 - https://avatars.githubusercontent.com/u/124075?s=40&v=4 - dsyer - https://github.com/dsyer + https://avatars.githubusercontent.com/u/23470684?s=40&v=4 + lucaslarroche + https://github.com/lucaslarroche - https://avatars.githubusercontent.com/u/838318?s=40&v=4 - arey - https://github.com/arey + https://avatars.githubusercontent.com/u/13364465?s=40&v=4 + KiranMantha + https://github.com/KiranMantha - https://avatars.githubusercontent.com/u/490484?s=40&v=4 - snicoll - https://github.com/snicoll + https://avatars.githubusercontent.com/u/43730771?s=40&v=4 + jelmerveen + https://github.com/jelmerveen - https://avatars.githubusercontent.com/u/103264?s=40&v=4 - bclozel - https://github.com/bclozel + https://avatars.githubusercontent.com/u/8252267?s=40&v=4 + wenkeming + https://github.com/wenkeming - https://avatars.githubusercontent.com/u/100741?s=40&v=4 - anyulled - https://github.com/anyulled + https://avatars.githubusercontent.com/u/2085468?s=40&v=4 + hayatbiralem + https://github.com/hayatbiralem - primefaces/sakai-react - https://github.com/primefaces/sakai-react - Free React Admin Template - https://github.com/primefaces/sakai-react + mingw-w64/mingw-w64.github.io + https://github.com/mingw-w64/mingw-w64.github.io + mingw-w64.net web page contents (The new web page) + https://github.com/mingw-w64/mingw-w64.github.io CSS #563d7c - 894 - 563 - 5 + 618 + 1,484 + 8 - https://avatars.githubusercontent.com/u/51113474?s=40&v=4 - mcandu - https://github.com/mcandu + https://avatars.githubusercontent.com/u/991986?s=40&v=4 + lazka + https://github.com/lazka - https://avatars.githubusercontent.com/u/686247?s=40&v=4 - cagataycivici - https://github.com/cagataycivici + https://avatars.githubusercontent.com/u/5071344?s=40&v=4 + lhmouse + https://github.com/lhmouse - https://avatars.githubusercontent.com/u/11868120?s=40&v=4 - mertsincan - https://github.com/mertsincan + https://avatars.githubusercontent.com/u/53637421?s=40&v=4 + GalaxySnail + https://github.com/GalaxySnail - https://avatars.githubusercontent.com/u/56156254?s=40&v=4 - ulasturann - https://github.com/ulasturann + https://avatars.githubusercontent.com/u/8400779?s=40&v=4 + podsvirov + https://github.com/podsvirov - https://avatars.githubusercontent.com/u/11199097?s=40&v=4 - Merve7 - https://github.com/Merve7 + https://avatars.githubusercontent.com/u/3709715?s=40&v=4 + cclauss + https://github.com/cclauss - aws-samples/amplify-next-template - https://github.com/aws-samples/amplify-next-template - This is a Next.js starter for building a fullstack app with AWS Amplify. - https://github.com/aws-samples/amplify-next-template + joy-of-react/project-wordle + https://github.com/joy-of-react/project-wordle + + https://github.com/joy-of-react/project-wordle CSS #563d7c - 94 - 2,982 - 5 + 127 + 1,295 + 0 - https://avatars.githubusercontent.com/u/38470430?s=40&v=4 - Jay2113 - https://github.com/Jay2113 - - - https://avatars.githubusercontent.com/u/2429410?s=40&v=4 - swaminator - https://github.com/swaminator - - - https://avatars.githubusercontent.com/u/87995712?s=40&v=4 - ykethan - https://github.com/ykethan - - - https://avatars.githubusercontent.com/u/5033303?s=40&v=4 - josefaidt - https://github.com/josefaidt + https://avatars.githubusercontent.com/u/6692932?s=40&v=4 + joshwcomeau + https://github.com/joshwcomeau - https://avatars.githubusercontent.com/u/4989523?s=40&v=4 - renebrandel - https://github.com/renebrandel + https://avatars.githubusercontent.com/u/2423092?s=40&v=4 + dylano + https://github.com/dylano diff --git a/data/weekly/csv.json b/data/weekly/csv.json index 1bd1685851b8..ea6cc0c7a0a9 100644 --- a/data/weekly/csv.json +++ b/data/weekly/csv.json @@ -2,6 +2,6 @@ "title": "GitHub Csv Languages Weekly Trending", "description": "Weekly Trending of Csv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/csv.xml b/data/weekly/csv.xml index 050854308336..1cf1dca56f82 100644 --- a/data/weekly/csv.xml +++ b/data/weekly/csv.xml @@ -3,6 +3,6 @@ GitHub Csv Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Csv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cuda.json b/data/weekly/cuda.json index d1e1fb1e82ca..23a8bb843b5d 100644 --- a/data/weekly/cuda.json +++ b/data/weekly/cuda.json @@ -2,7 +2,7 @@ "title": "GitHub Cuda Languages Weekly Trending", "description": "Weekly Trending of Cuda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "karpathy/llm.c", @@ -10,7 +10,7 @@ "description": "LLM training in simple, raw C/CUDA", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "24,536", + "stars": "24,542", "forks": "2,780", "addStars": "103", "contributors": [ @@ -47,7 +47,7 @@ "description": "CUDA accelerated rasterization of gaussian splatting", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "2,258", + "stars": "2,262", "forks": "289", "addStars": "26", "contributors": [ @@ -78,51 +78,14 @@ } ] }, - { - "title": "NVIDIA/CUDALibrarySamples", - "url": "https://github.com/NVIDIA/CUDALibrarySamples", - "description": "CUDA Library Samples", - "language": "Cuda", - "languageColor": "#3A4E3A", - "stars": "1,624", - "forks": "349", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/50021634?s=40&v=4", - "name": "mnicely", - "url": "https://github.com/mnicely" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50413820?s=40&v=4", - "name": "fbusato", - "url": "https://github.com/fbusato" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20576829?s=40&v=4", - "name": "almogsegal", - "url": "https://github.com/almogsegal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5178240?s=40&v=4", - "name": "springer13", - "url": "https://github.com/springer13" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1907101?s=40&v=4", - "name": "mrogowski", - "url": "https://github.com/mrogowski" - } - ] - }, { "title": "flashinfer-ai/flashinfer", "url": "https://github.com/flashinfer-ai/flashinfer", "description": "FlashInfer: Kernel Library for LLM Serving", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "1,463", - "forks": "143", + "stars": "1,466", + "forks": "144", "addStars": "22", "contributors": [ { @@ -153,7 +116,7 @@ "description": "cuGraph - RAPIDS Graph Analytics Library", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "1,760", + "stars": "1,761", "forks": "304", "addStars": "14", "contributors": [ @@ -190,7 +153,7 @@ "description": "NCCL Tests", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "902", + "stars": "904", "forks": "241", "addStars": "6", "contributors": [ @@ -221,6 +184,43 @@ } ] }, + { + "title": "NVIDIA/CUDALibrarySamples", + "url": "https://github.com/NVIDIA/CUDALibrarySamples", + "description": "CUDA Library Samples", + "language": "Cuda", + "languageColor": "#3A4E3A", + "stars": "1,624", + "forks": "349", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/50021634?s=40&v=4", + "name": "mnicely", + "url": "https://github.com/mnicely" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50413820?s=40&v=4", + "name": "fbusato", + "url": "https://github.com/fbusato" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20576829?s=40&v=4", + "name": "almogsegal", + "url": "https://github.com/almogsegal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5178240?s=40&v=4", + "name": "springer13", + "url": "https://github.com/springer13" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1907101?s=40&v=4", + "name": "mrogowski", + "url": "https://github.com/mrogowski" + } + ] + }, { "title": "NVIDIA/AMGX", "url": "https://github.com/NVIDIA/AMGX", @@ -258,43 +258,6 @@ } ] }, - { - "title": "HazyResearch/ThunderKittens", - "url": "https://github.com/HazyResearch/ThunderKittens", - "description": "Tile primitives for speedy kernels", - "language": "Cuda", - "languageColor": "#3A4E3A", - "stars": "1,669", - "forks": "71", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11230781?s=40&v=4", - "name": "benjaminfspector", - "url": "https://github.com/benjaminfspector" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48185825?s=40&v=4", - "name": "Aaryan0404", - "url": "https://github.com/Aaryan0404" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29069240?s=40&v=4", - "name": "simran-arora", - "url": "https://github.com/simran-arora" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4600866?s=40&v=4", - "name": "DanFu09", - "url": "https://github.com/DanFu09" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32619669?s=40&v=4", - "name": "qamcintyre", - "url": "https://github.com/qamcintyre" - } - ] - }, { "title": "Dao-AILab/causal-conv1d", "url": "https://github.com/Dao-AILab/causal-conv1d", @@ -332,13 +295,50 @@ } ] }, + { + "title": "HazyResearch/ThunderKittens", + "url": "https://github.com/HazyResearch/ThunderKittens", + "description": "Tile primitives for speedy kernels", + "language": "Cuda", + "languageColor": "#3A4E3A", + "stars": "1,671", + "forks": "71", + "addStars": "13", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11230781?s=40&v=4", + "name": "benjaminfspector", + "url": "https://github.com/benjaminfspector" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48185825?s=40&v=4", + "name": "Aaryan0404", + "url": "https://github.com/Aaryan0404" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29069240?s=40&v=4", + "name": "simran-arora", + "url": "https://github.com/simran-arora" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4600866?s=40&v=4", + "name": "DanFu09", + "url": "https://github.com/DanFu09" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32619669?s=40&v=4", + "name": "qamcintyre", + "url": "https://github.com/qamcintyre" + } + ] + }, { "title": "rapidsai/cuvs", "url": "https://github.com/rapidsai/cuvs", "description": "cuVS - a library for vector search and clustering on the GPU", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "228", + "stars": "229", "forks": "68", "addStars": "9", "contributors": [ @@ -370,24 +370,76 @@ ] }, { - "title": "Tony-Tan/CUDA_Freshman", - "url": "https://github.com/Tony-Tan/CUDA_Freshman", - "description": "", + "title": "NVlabs/instant-ngp", + "url": "https://github.com/NVlabs/instant-ngp", + "description": "Instant neural graphics primitives: lightning fast NeRF and more", "language": "Cuda", "languageColor": "#3A4E3A", - "stars": "2,211", - "forks": "440", - "addStars": "9", + "stars": "16,048", + "forks": "1,935", + "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9390153?s=40&v=4", - "name": "Tony-Tan", - "url": "https://github.com/Tony-Tan" + "avatar": "https://avatars.githubusercontent.com/u/4923655?s=40&v=4", + "name": "Tom94", + "url": "https://github.com/Tom94" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7601391?s=40&v=4", + "name": "FlorisE", + "url": "https://github.com/FlorisE" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29726242?s=40&v=4", + "name": "jc211", + "url": "https://github.com/jc211" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7057863?s=40&v=4", + "name": "yenchenlin", + "url": "https://github.com/yenchenlin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3280839?s=40&v=4", + "name": "JamesPerlman", + "url": "https://github.com/JamesPerlman" + } + ] + }, + { + "title": "rapidsai/raft", + "url": "https://github.com/rapidsai/raft", + "description": "RAFT contains fundamental widely-used algorithms and primitives for machine learning and information retrieval. The algorithms are CUDA-accelerated and form building blocks for more easily writing high performance applications.", + "language": "Cuda", + "languageColor": "#3A4E3A", + "stars": "783", + "forks": "195", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1242464?s=40&v=4", + "name": "cjnolet", + "url": "https://github.com/cjnolet" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38199262?s=40&v=4", + "name": "GPUtester", + "url": "https://github.com/GPUtester" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37386037?s=40&v=4", + "name": "aschaffer", + "url": "https://github.com/aschaffer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9253178?s=40&v=4", + "name": "achirkin", + "url": "https://github.com/achirkin" }, { - "avatar": "https://avatars.githubusercontent.com/u/60910989?s=40&v=4", - "name": "l1nkr", - "url": "https://github.com/l1nkr" + "avatar": "https://avatars.githubusercontent.com/u/14876585?s=40&v=4", + "name": "divyegala", + "url": "https://github.com/divyegala" } ] } diff --git a/data/weekly/cuda.xml b/data/weekly/cuda.xml index 8bd078596b9c..a003bf830ca8 100644 --- a/data/weekly/cuda.xml +++ b/data/weekly/cuda.xml @@ -3,7 +3,7 @@ GitHub Cuda Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cuda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT karpathy/llm.c https://github.com/karpathy/llm.c @@ -11,7 +11,7 @@ https://github.com/karpathy/llm.c Cuda #3A4E3A - 24,536 + 24,542 2,780 103 @@ -49,7 +49,7 @@ https://github.com/nerfstudio-project/gsplat Cuda #3A4E3A - 2,258 + 2,262 289 26 @@ -80,44 +80,6 @@ - - NVIDIA/CUDALibrarySamples - https://github.com/NVIDIA/CUDALibrarySamples - CUDA Library Samples - https://github.com/NVIDIA/CUDALibrarySamples - Cuda - #3A4E3A - 1,624 - 349 - 9 - - - https://avatars.githubusercontent.com/u/50021634?s=40&v=4 - mnicely - https://github.com/mnicely - - - https://avatars.githubusercontent.com/u/50413820?s=40&v=4 - fbusato - https://github.com/fbusato - - - https://avatars.githubusercontent.com/u/20576829?s=40&v=4 - almogsegal - https://github.com/almogsegal - - - https://avatars.githubusercontent.com/u/5178240?s=40&v=4 - springer13 - https://github.com/springer13 - - - https://avatars.githubusercontent.com/u/1907101?s=40&v=4 - mrogowski - https://github.com/mrogowski - - - flashinfer-ai/flashinfer https://github.com/flashinfer-ai/flashinfer @@ -125,8 +87,8 @@ https://github.com/flashinfer-ai/flashinfer Cuda #3A4E3A - 1,463 - 143 + 1,466 + 144 22 @@ -158,7 +120,7 @@ https://github.com/rapidsai/cugraph Cuda #3A4E3A - 1,760 + 1,761 304 14 @@ -196,7 +158,7 @@ https://github.com/NVIDIA/nccl-tests Cuda #3A4E3A - 902 + 904 241 6 @@ -227,6 +189,44 @@ + + NVIDIA/CUDALibrarySamples + https://github.com/NVIDIA/CUDALibrarySamples + CUDA Library Samples + https://github.com/NVIDIA/CUDALibrarySamples + Cuda + #3A4E3A + 1,624 + 349 + 9 + + + https://avatars.githubusercontent.com/u/50021634?s=40&v=4 + mnicely + https://github.com/mnicely + + + https://avatars.githubusercontent.com/u/50413820?s=40&v=4 + fbusato + https://github.com/fbusato + + + https://avatars.githubusercontent.com/u/20576829?s=40&v=4 + almogsegal + https://github.com/almogsegal + + + https://avatars.githubusercontent.com/u/5178240?s=40&v=4 + springer13 + https://github.com/springer13 + + + https://avatars.githubusercontent.com/u/1907101?s=40&v=4 + mrogowski + https://github.com/mrogowski + + + NVIDIA/AMGX https://github.com/NVIDIA/AMGX @@ -265,44 +265,6 @@ - - HazyResearch/ThunderKittens - https://github.com/HazyResearch/ThunderKittens - Tile primitives for speedy kernels - https://github.com/HazyResearch/ThunderKittens - Cuda - #3A4E3A - 1,669 - 71 - 13 - - - https://avatars.githubusercontent.com/u/11230781?s=40&v=4 - benjaminfspector - https://github.com/benjaminfspector - - - https://avatars.githubusercontent.com/u/48185825?s=40&v=4 - Aaryan0404 - https://github.com/Aaryan0404 - - - https://avatars.githubusercontent.com/u/29069240?s=40&v=4 - simran-arora - https://github.com/simran-arora - - - https://avatars.githubusercontent.com/u/4600866?s=40&v=4 - DanFu09 - https://github.com/DanFu09 - - - https://avatars.githubusercontent.com/u/32619669?s=40&v=4 - qamcintyre - https://github.com/qamcintyre - - - Dao-AILab/causal-conv1d https://github.com/Dao-AILab/causal-conv1d @@ -341,6 +303,44 @@ + + HazyResearch/ThunderKittens + https://github.com/HazyResearch/ThunderKittens + Tile primitives for speedy kernels + https://github.com/HazyResearch/ThunderKittens + Cuda + #3A4E3A + 1,671 + 71 + 13 + + + https://avatars.githubusercontent.com/u/11230781?s=40&v=4 + benjaminfspector + https://github.com/benjaminfspector + + + https://avatars.githubusercontent.com/u/48185825?s=40&v=4 + Aaryan0404 + https://github.com/Aaryan0404 + + + https://avatars.githubusercontent.com/u/29069240?s=40&v=4 + simran-arora + https://github.com/simran-arora + + + https://avatars.githubusercontent.com/u/4600866?s=40&v=4 + DanFu09 + https://github.com/DanFu09 + + + https://avatars.githubusercontent.com/u/32619669?s=40&v=4 + qamcintyre + https://github.com/qamcintyre + + + rapidsai/cuvs https://github.com/rapidsai/cuvs @@ -348,7 +348,7 @@ https://github.com/rapidsai/cuvs Cuda #3A4E3A - 228 + 229 68 9 @@ -380,25 +380,78 @@ - Tony-Tan/CUDA_Freshman - https://github.com/Tony-Tan/CUDA_Freshman - - https://github.com/Tony-Tan/CUDA_Freshman + NVlabs/instant-ngp + https://github.com/NVlabs/instant-ngp + Instant neural graphics primitives: lightning fast NeRF and more + https://github.com/NVlabs/instant-ngp Cuda #3A4E3A - 2,211 - 440 - 9 + 16,048 + 1,935 + 22 + + + https://avatars.githubusercontent.com/u/4923655?s=40&v=4 + Tom94 + https://github.com/Tom94 + + + https://avatars.githubusercontent.com/u/7601391?s=40&v=4 + FlorisE + https://github.com/FlorisE + + + https://avatars.githubusercontent.com/u/29726242?s=40&v=4 + jc211 + https://github.com/jc211 + + + https://avatars.githubusercontent.com/u/7057863?s=40&v=4 + yenchenlin + https://github.com/yenchenlin + + + https://avatars.githubusercontent.com/u/3280839?s=40&v=4 + JamesPerlman + https://github.com/JamesPerlman + + + + + rapidsai/raft + https://github.com/rapidsai/raft + RAFT contains fundamental widely-used algorithms and primitives for machine learning and information retrieval. The algorithms are CUDA-accelerated and form building blocks for more easily writing high performance applications. + https://github.com/rapidsai/raft + Cuda + #3A4E3A + 783 + 195 + 8 - https://avatars.githubusercontent.com/u/9390153?s=40&v=4 - Tony-Tan - https://github.com/Tony-Tan + https://avatars.githubusercontent.com/u/1242464?s=40&v=4 + cjnolet + https://github.com/cjnolet + + + https://avatars.githubusercontent.com/u/38199262?s=40&v=4 + GPUtester + https://github.com/GPUtester + + + https://avatars.githubusercontent.com/u/37386037?s=40&v=4 + aschaffer + https://github.com/aschaffer + + + https://avatars.githubusercontent.com/u/9253178?s=40&v=4 + achirkin + https://github.com/achirkin - https://avatars.githubusercontent.com/u/60910989?s=40&v=4 - l1nkr - https://github.com/l1nkr + https://avatars.githubusercontent.com/u/14876585?s=40&v=4 + divyegala + https://github.com/divyegala diff --git a/data/weekly/cue-sheet.json b/data/weekly/cue-sheet.json index d2cc59585172..b3472197e9a5 100644 --- a/data/weekly/cue-sheet.json +++ b/data/weekly/cue-sheet.json @@ -2,6 +2,6 @@ "title": "GitHub Cue-sheet Languages Weekly Trending", "description": "Weekly Trending of Cue-sheet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cue-sheet.xml b/data/weekly/cue-sheet.xml index 8c65249c3503..64b71a88f064 100644 --- a/data/weekly/cue-sheet.xml +++ b/data/weekly/cue-sheet.xml @@ -3,6 +3,6 @@ GitHub Cue-sheet Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cue-sheet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cue.json b/data/weekly/cue.json index 9aeb5cdf8a61..0c302ef66e07 100644 --- a/data/weekly/cue.json +++ b/data/weekly/cue.json @@ -2,6 +2,6 @@ "title": "GitHub Cue Languages Weekly Trending", "description": "Weekly Trending of Cue Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cue.xml b/data/weekly/cue.xml index e8138b5a009a..af5659622b44 100644 --- a/data/weekly/cue.xml +++ b/data/weekly/cue.xml @@ -3,6 +3,6 @@ GitHub Cue Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cue Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/curl-config.json b/data/weekly/curl-config.json index 33d7d048b941..af453904fd06 100644 --- a/data/weekly/curl-config.json +++ b/data/weekly/curl-config.json @@ -2,6 +2,6 @@ "title": "GitHub Curl-config Languages Weekly Trending", "description": "Weekly Trending of Curl-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/curl-config.xml b/data/weekly/curl-config.xml index dcb9849f89e1..ac997f53e6c2 100644 --- a/data/weekly/curl-config.xml +++ b/data/weekly/curl-config.xml @@ -3,6 +3,6 @@ GitHub Curl-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Curl-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/curry.json b/data/weekly/curry.json index 8810da5d8b45..d0b1b12cb4dd 100644 --- a/data/weekly/curry.json +++ b/data/weekly/curry.json @@ -2,6 +2,6 @@ "title": "GitHub Curry Languages Weekly Trending", "description": "Weekly Trending of Curry Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/curry.xml b/data/weekly/curry.xml index 704a3212a867..5ffebea14d33 100644 --- a/data/weekly/curry.xml +++ b/data/weekly/curry.xml @@ -3,6 +3,6 @@ GitHub Curry Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Curry Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cweb.json b/data/weekly/cweb.json index cdce179c46fe..452dd379da60 100644 --- a/data/weekly/cweb.json +++ b/data/weekly/cweb.json @@ -2,6 +2,6 @@ "title": "GitHub Cweb Languages Weekly Trending", "description": "Weekly Trending of Cweb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cweb.xml b/data/weekly/cweb.xml index 0da24de36d3b..48be75e59dd3 100644 --- a/data/weekly/cweb.xml +++ b/data/weekly/cweb.xml @@ -3,6 +3,6 @@ GitHub Cweb Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cweb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cycript.json b/data/weekly/cycript.json index 5adfc4a5b5a9..0900c268a784 100644 --- a/data/weekly/cycript.json +++ b/data/weekly/cycript.json @@ -2,6 +2,6 @@ "title": "GitHub Cycript Languages Weekly Trending", "description": "Weekly Trending of Cycript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cycript.xml b/data/weekly/cycript.xml index 385e366885c1..50d18e6447dc 100644 --- a/data/weekly/cycript.xml +++ b/data/weekly/cycript.xml @@ -3,6 +3,6 @@ GitHub Cycript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cycript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cypher.json b/data/weekly/cypher.json index 110e7b22aa7c..f78487ae7554 100644 --- a/data/weekly/cypher.json +++ b/data/weekly/cypher.json @@ -2,6 +2,6 @@ "title": "GitHub Cypher Languages Weekly Trending", "description": "Weekly Trending of Cypher Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/cypher.xml b/data/weekly/cypher.xml index 1e4acab5e07b..4c366cd15dc5 100644 --- a/data/weekly/cypher.xml +++ b/data/weekly/cypher.xml @@ -3,6 +3,6 @@ GitHub Cypher Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cypher Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/cython.json b/data/weekly/cython.json index 64d4390bd72a..46faffa2f485 100644 --- a/data/weekly/cython.json +++ b/data/weekly/cython.json @@ -2,7 +2,7 @@ "title": "GitHub Cython Languages Weekly Trending", "description": "Weekly Trending of Cython Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "TA-Lib/ta-lib-python", @@ -10,7 +10,7 @@ "description": "Python wrapper for TA-Lib (http://ta-lib.org/).", "language": "Cython", "languageColor": "#fedf5b", - "stars": "9,774", + "stars": "9,778", "forks": "1,772", "addStars": "34", "contributors": [ @@ -78,13 +78,50 @@ } ] }, + { + "title": "MagicStack/uvloop", + "url": "https://github.com/MagicStack/uvloop", + "description": "Ultra fast asyncio event loop.", + "language": "Cython", + "languageColor": "#fedf5b", + "stars": "10,441", + "forks": "547", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/239003?s=40&v=4", + "name": "1st1", + "url": "https://github.com/1st1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1751601?s=40&v=4", + "name": "fantix", + "url": "https://github.com/fantix" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/347119?s=40&v=4", + "name": "elprans", + "url": "https://github.com/elprans" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1567624?s=40&v=4", + "name": "jlaine", + "url": "https://github.com/jlaine" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/413772?s=40&v=4", + "name": "graingert", + "url": "https://github.com/graingert" + } + ] + }, { "title": "openai/mujoco-py", "url": "https://github.com/openai/mujoco-py", "description": "MuJoCo is a physics engine for detailed, efficient rigid body simulations with contacts. mujoco-py allows using MuJoCo from Python 3.", "language": "Cython", "languageColor": "#fedf5b", - "stars": "2,880", + "stars": "2,882", "forks": "813", "addStars": "3", "contributors": [ @@ -116,39 +153,39 @@ ] }, { - "title": "MagicStack/uvloop", - "url": "https://github.com/MagicStack/uvloop", - "description": "Ultra fast asyncio event loop.", + "title": "pysam-developers/pysam", + "url": "https://github.com/pysam-developers/pysam", + "description": "Pysam is a Python package for reading, manipulating, and writing genomics data such as SAM/BAM/CRAM and VCF/BCF files. It's a lightweight wrapper of the HTSlib API, the same one that powers samtools, bcftools, and tabix.", "language": "Cython", "languageColor": "#fedf5b", - "stars": "10,439", - "forks": "546", - "addStars": "19", + "stars": "786", + "forks": "273", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/239003?s=40&v=4", - "name": "1st1", - "url": "https://github.com/1st1" + "avatar": "https://avatars.githubusercontent.com/u/4426336?s=40&v=4", + "name": "AndreasHeger", + "url": "https://github.com/AndreasHeger" }, { - "avatar": "https://avatars.githubusercontent.com/u/1751601?s=40&v=4", - "name": "fantix", - "url": "https://github.com/fantix" + "avatar": "https://avatars.githubusercontent.com/u/70921?s=40&v=4", + "name": "jmarshall", + "url": "https://github.com/jmarshall" }, { - "avatar": "https://avatars.githubusercontent.com/u/347119?s=40&v=4", - "name": "elprans", - "url": "https://github.com/elprans" + "avatar": "https://avatars.githubusercontent.com/u/1261247?s=40&v=4", + "name": "bioinformed", + "url": "https://github.com/bioinformed" }, { - "avatar": "https://avatars.githubusercontent.com/u/1567624?s=40&v=4", - "name": "jlaine", - "url": "https://github.com/jlaine" + "avatar": "https://avatars.githubusercontent.com/u/1900459?s=40&v=4", + "name": "kyleabeauchamp", + "url": "https://github.com/kyleabeauchamp" }, { - "avatar": "https://avatars.githubusercontent.com/u/413772?s=40&v=4", - "name": "graingert", - "url": "https://github.com/graingert" + "avatar": "https://avatars.githubusercontent.com/u/724864?s=40&v=4", + "name": "marcelm", + "url": "https://github.com/marcelm" } ] }, @@ -188,43 +225,6 @@ "url": "https://github.com/headtr1ck" } ] - }, - { - "title": "pysam-developers/pysam", - "url": "https://github.com/pysam-developers/pysam", - "description": "Pysam is a Python package for reading, manipulating, and writing genomics data such as SAM/BAM/CRAM and VCF/BCF files. It's a lightweight wrapper of the HTSlib API, the same one that powers samtools, bcftools, and tabix.", - "language": "Cython", - "languageColor": "#fedf5b", - "stars": "786", - "forks": "273", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4426336?s=40&v=4", - "name": "AndreasHeger", - "url": "https://github.com/AndreasHeger" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/70921?s=40&v=4", - "name": "jmarshall", - "url": "https://github.com/jmarshall" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1261247?s=40&v=4", - "name": "bioinformed", - "url": "https://github.com/bioinformed" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1900459?s=40&v=4", - "name": "kyleabeauchamp", - "url": "https://github.com/kyleabeauchamp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/724864?s=40&v=4", - "name": "marcelm", - "url": "https://github.com/marcelm" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/cython.xml b/data/weekly/cython.xml index cd0048e20f2a..546e64d6a298 100644 --- a/data/weekly/cython.xml +++ b/data/weekly/cython.xml @@ -3,7 +3,7 @@ GitHub Cython Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Cython Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT TA-Lib/ta-lib-python https://github.com/TA-Lib/ta-lib-python @@ -11,7 +11,7 @@ https://github.com/TA-Lib/ta-lib-python Cython #fedf5b - 9,774 + 9,778 1,772 34 @@ -80,6 +80,44 @@ + + MagicStack/uvloop + https://github.com/MagicStack/uvloop + Ultra fast asyncio event loop. + https://github.com/MagicStack/uvloop + Cython + #fedf5b + 10,441 + 547 + 19 + + + https://avatars.githubusercontent.com/u/239003?s=40&v=4 + 1st1 + https://github.com/1st1 + + + https://avatars.githubusercontent.com/u/1751601?s=40&v=4 + fantix + https://github.com/fantix + + + https://avatars.githubusercontent.com/u/347119?s=40&v=4 + elprans + https://github.com/elprans + + + https://avatars.githubusercontent.com/u/1567624?s=40&v=4 + jlaine + https://github.com/jlaine + + + https://avatars.githubusercontent.com/u/413772?s=40&v=4 + graingert + https://github.com/graingert + + + openai/mujoco-py https://github.com/openai/mujoco-py @@ -87,7 +125,7 @@ https://github.com/openai/mujoco-py Cython #fedf5b - 2,880 + 2,882 813 3 @@ -119,40 +157,40 @@ - MagicStack/uvloop - https://github.com/MagicStack/uvloop - Ultra fast asyncio event loop. - https://github.com/MagicStack/uvloop + pysam-developers/pysam + https://github.com/pysam-developers/pysam + Pysam is a Python package for reading, manipulating, and writing genomics data such as SAM/BAM/CRAM and VCF/BCF files. It's a lightweight wrapper of the HTSlib API, the same one that powers samtools, bcftools, and tabix. + https://github.com/pysam-developers/pysam Cython #fedf5b - 10,439 - 546 - 19 + 786 + 273 + 2 - https://avatars.githubusercontent.com/u/239003?s=40&v=4 - 1st1 - https://github.com/1st1 + https://avatars.githubusercontent.com/u/4426336?s=40&v=4 + AndreasHeger + https://github.com/AndreasHeger - https://avatars.githubusercontent.com/u/1751601?s=40&v=4 - fantix - https://github.com/fantix + https://avatars.githubusercontent.com/u/70921?s=40&v=4 + jmarshall + https://github.com/jmarshall - https://avatars.githubusercontent.com/u/347119?s=40&v=4 - elprans - https://github.com/elprans + https://avatars.githubusercontent.com/u/1261247?s=40&v=4 + bioinformed + https://github.com/bioinformed - https://avatars.githubusercontent.com/u/1567624?s=40&v=4 - jlaine - https://github.com/jlaine + https://avatars.githubusercontent.com/u/1900459?s=40&v=4 + kyleabeauchamp + https://github.com/kyleabeauchamp - https://avatars.githubusercontent.com/u/413772?s=40&v=4 - graingert - https://github.com/graingert + https://avatars.githubusercontent.com/u/724864?s=40&v=4 + marcelm + https://github.com/marcelm @@ -194,43 +232,5 @@ - - pysam-developers/pysam - https://github.com/pysam-developers/pysam - Pysam is a Python package for reading, manipulating, and writing genomics data such as SAM/BAM/CRAM and VCF/BCF files. It's a lightweight wrapper of the HTSlib API, the same one that powers samtools, bcftools, and tabix. - https://github.com/pysam-developers/pysam - Cython - #fedf5b - 786 - 273 - 2 - - - https://avatars.githubusercontent.com/u/4426336?s=40&v=4 - AndreasHeger - https://github.com/AndreasHeger - - - https://avatars.githubusercontent.com/u/70921?s=40&v=4 - jmarshall - https://github.com/jmarshall - - - https://avatars.githubusercontent.com/u/1261247?s=40&v=4 - bioinformed - https://github.com/bioinformed - - - https://avatars.githubusercontent.com/u/1900459?s=40&v=4 - kyleabeauchamp - https://github.com/kyleabeauchamp - - - https://avatars.githubusercontent.com/u/724864?s=40&v=4 - marcelm - https://github.com/marcelm - - - \ No newline at end of file diff --git a/data/weekly/d-objdump.json b/data/weekly/d-objdump.json index 802cfb3bae0d..6d57d2a947b4 100644 --- a/data/weekly/d-objdump.json +++ b/data/weekly/d-objdump.json @@ -2,6 +2,6 @@ "title": "GitHub D-objdump Languages Weekly Trending", "description": "Weekly Trending of D-objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/d-objdump.xml b/data/weekly/d-objdump.xml index 7879077dc1c6..14b06bdde3d9 100644 --- a/data/weekly/d-objdump.xml +++ b/data/weekly/d-objdump.xml @@ -3,6 +3,6 @@ GitHub D-objdump Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of D-objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/d.json b/data/weekly/d.json index d8dfc38f3ebf..d7ba1663ebbe 100644 --- a/data/weekly/d.json +++ b/data/weekly/d.json @@ -2,7 +2,7 @@ "title": "GitHub D Languages Weekly Trending", "description": "Weekly Trending of D Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Dadoum/anisette-v3-server", @@ -47,7 +47,7 @@ "description": "OneDrive Client for Linux", "language": "D", "languageColor": "#ba595e", - "stars": "10,182", + "stars": "10,183", "forks": "866", "addStars": "28", "contributors": [ @@ -84,7 +84,7 @@ "description": "dmd D Programming Language compiler", "language": "D", "languageColor": "#ba595e", - "stars": "2,974", + "stars": "2,975", "forks": "607", "addStars": "5", "contributors": [ diff --git a/data/weekly/d.xml b/data/weekly/d.xml index d067cbd1bfab..08903f522d52 100644 --- a/data/weekly/d.xml +++ b/data/weekly/d.xml @@ -3,7 +3,7 @@ GitHub D Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of D Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Dadoum/anisette-v3-server https://github.com/Dadoum/anisette-v3-server @@ -49,7 +49,7 @@ https://github.com/abraunegg/onedrive D #ba595e - 10,182 + 10,183 866 28 @@ -87,7 +87,7 @@ https://github.com/dlang/dmd D #ba595e - 2,974 + 2,975 607 5 diff --git a/data/weekly/d2.json b/data/weekly/d2.json index 893e8c840efc..106596372d6f 100644 --- a/data/weekly/d2.json +++ b/data/weekly/d2.json @@ -2,6 +2,6 @@ "title": "GitHub D2 Languages Weekly Trending", "description": "Weekly Trending of D2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/d2.xml b/data/weekly/d2.xml index 67739c42d5f6..720d8d2464e4 100644 --- a/data/weekly/d2.xml +++ b/data/weekly/d2.xml @@ -3,6 +3,6 @@ GitHub D2 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of D2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dafny.json b/data/weekly/dafny.json index e9e6b1f168a4..4c08d1246ffb 100644 --- a/data/weekly/dafny.json +++ b/data/weekly/dafny.json @@ -2,6 +2,6 @@ "title": "GitHub Dafny Languages Weekly Trending", "description": "Weekly Trending of Dafny Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dafny.xml b/data/weekly/dafny.xml index f2a32ef7a5bc..ba442d3f3fee 100644 --- a/data/weekly/dafny.xml +++ b/data/weekly/dafny.xml @@ -3,6 +3,6 @@ GitHub Dafny Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dafny Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/darcs-patch.json b/data/weekly/darcs-patch.json index 676b4d658c6d..745a85f317fd 100644 --- a/data/weekly/darcs-patch.json +++ b/data/weekly/darcs-patch.json @@ -2,6 +2,6 @@ "title": "GitHub Darcs-patch Languages Weekly Trending", "description": "Weekly Trending of Darcs-patch Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/darcs-patch.xml b/data/weekly/darcs-patch.xml index b4b2807ec947..a9adfa070ce8 100644 --- a/data/weekly/darcs-patch.xml +++ b/data/weekly/darcs-patch.xml @@ -3,6 +3,6 @@ GitHub Darcs-patch Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Darcs-patch Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dart.json b/data/weekly/dart.json index 3ff5cbb300b2..91cdf7905432 100644 --- a/data/weekly/dart.json +++ b/data/weekly/dart.json @@ -2,7 +2,7 @@ "title": "GitHub Dart Languages Weekly Trending", "description": "Weekly Trending of Dart Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "flutter/samples", @@ -10,8 +10,8 @@ "description": "A collection of Flutter examples and demos", "language": "Dart", "languageColor": "#00B4AB", - "stars": "17,350", - "forks": "7,541", + "stars": "17,352", + "forks": "7,542", "addStars": "45", "contributors": [ { @@ -42,8 +42,8 @@ "description": "A multi-platform proxy client based on ClashMeta,simple and easy to use, open-source and ad-free.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "11,172", - "forks": "649", + "stars": "11,186", + "forks": "650", "addStars": "327", "contributors": [ { @@ -69,7 +69,7 @@ "description": "The Dart SDK, including the VM, JS and Wasm compilers, analysis, core libraries, and more.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "10,283", + "stars": "10,282", "forks": "1,585", "addStars": "26", "contributors": [ @@ -100,77 +100,13 @@ } ] }, - { - "title": "localsend/localsend", - "url": "https://github.com/localsend/localsend", - "description": "An open-source cross-platform alternative to AirDrop", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "53,243", - "forks": "2,866", - "addStars": "928", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/38380847?s=40&v=4", - "name": "Tienisto", - "url": "https://github.com/Tienisto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/125032334?s=40&v=4", - "name": "sergd88", - "url": "https://github.com/sergd88" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/78599753?s=40&v=4", - "name": "ShlomoCode", - "url": "https://github.com/ShlomoCode" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39922116?s=40&v=4", - "name": "TheGB0077", - "url": "https://github.com/TheGB0077" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4068977?s=40&v=4", - "name": "gidano", - "url": "https://github.com/gidano" - } - ] - }, - { - "title": "abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard", - "url": "https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard", - "description": "Responsive Admin Panel or Dashboard using Flutter", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "6,739", - "forks": "1,920", - "addStars": "18", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/42710380?s=40&v=4", - "name": "abuanwar072", - "url": "https://github.com/abuanwar072" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38634459?s=40&v=4", - "name": "RounakTadvi", - "url": "https://github.com/RounakTadvi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1250858?s=40&v=4", - "name": "simon1tan", - "url": "https://github.com/simon1tan" - } - ] - }, { "title": "Predidit/Kazumi", "url": "https://github.com/Predidit/Kazumi", "description": "基于自定义规则的番剧采集APP,支持流媒体在线观看,支持弹幕。", "language": "Dart", "languageColor": "#00B4AB", - "stars": "5,054", + "stars": "5,065", "forks": "135", "addStars": "111", "contributors": [ @@ -201,6 +137,43 @@ } ] }, + { + "title": "localsend/localsend", + "url": "https://github.com/localsend/localsend", + "description": "An open-source cross-platform alternative to AirDrop", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "53,272", + "forks": "2,871", + "addStars": "928", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/38380847?s=40&v=4", + "name": "Tienisto", + "url": "https://github.com/Tienisto" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/125032334?s=40&v=4", + "name": "sergd88", + "url": "https://github.com/sergd88" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/78599753?s=40&v=4", + "name": "ShlomoCode", + "url": "https://github.com/ShlomoCode" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39922116?s=40&v=4", + "name": "TheGB0077", + "url": "https://github.com/TheGB0077" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4068977?s=40&v=4", + "name": "gidano", + "url": "https://github.com/gidano" + } + ] + }, { "title": "flutter/packages", "url": "https://github.com/flutter/packages", @@ -208,7 +181,7 @@ "language": "Dart", "languageColor": "#00B4AB", "stars": "4,421", - "forks": "2,921", + "forks": "2,924", "addStars": "14", "contributors": [ { @@ -239,8 +212,8 @@ "description": "Flutter makes it easy and fast to build beautiful apps for mobile and beyond", "language": "Dart", "languageColor": "#00B4AB", - "stars": "166,663", - "forks": "27,604", + "stars": "166,667", + "forks": "27,605", "addStars": "375", "contributors": [ { @@ -271,39 +244,76 @@ ] }, { - "title": "videah/SkyBridge", - "url": "https://github.com/videah/SkyBridge", - "description": "A work in progress bridge/proxy that lets you use Mastodon apps with Bluesky", + "title": "ente-io/ente", + "url": "https://github.com/ente-io/ente", + "description": "Fully open source, End to End Encrypted alternative to Google Photos and Apple Photos", "language": "Dart", "languageColor": "#00B4AB", - "stars": "540", - "forks": "35", - "addStars": "25", + "stars": "16,235", + "forks": "837", + "addStars": "116", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4761786?s=40&v=4", - "name": "videah", - "url": "https://github.com/videah" + "avatar": "https://avatars.githubusercontent.com/u/46242073?s=40&v=4", + "name": "abhinavkgrd", + "url": "https://github.com/abhinavkgrd" }, { - "avatar": "https://avatars.githubusercontent.com/u/13072231?s=40&v=4", - "name": "myConsciousness", - "url": "https://github.com/myConsciousness" + "avatar": "https://avatars.githubusercontent.com/u/24503581?s=40&v=4", + "name": "mnvr", + "url": "https://github.com/mnvr" }, { - "avatar": "https://avatars.githubusercontent.com/u/31049082?s=40&v=4", - "name": "twnlink", - "url": "https://github.com/twnlink" + "avatar": "https://avatars.githubusercontent.com/u/254676?s=40&v=4", + "name": "ua741", + "url": "https://github.com/ua741" }, { - "avatar": "https://avatars.githubusercontent.com/u/228195?s=40&v=4", - "name": "Treeki", - "url": "https://github.com/Treeki" + "avatar": "https://avatars.githubusercontent.com/u/1161789?s=40&v=4", + "name": "vishnukvmd", + "url": "https://github.com/vishnukvmd" }, { - "avatar": "https://avatars.githubusercontent.com/u/853461?s=40&v=4", - "name": "epetousis", - "url": "https://github.com/epetousis" + "avatar": "https://avatars.githubusercontent.com/u/77285023?s=40&v=4", + "name": "ashilkn", + "url": "https://github.com/ashilkn" + } + ] + }, + { + "title": "hiddify/hiddify-app", + "url": "https://github.com/hiddify/hiddify-app", + "description": "Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free.", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "17,736", + "forks": "1,590", + "addStars": "242", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/114227601?s=40&v=4", + "name": "hiddify-com", + "url": "https://github.com/hiddify-com" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/132705824?s=40&v=4", + "name": "problematicconsumer", + "url": "https://github.com/problematicconsumer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/125398461?s=40&v=4", + "name": "lymanjre", + "url": "https://github.com/lymanjre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/129010482?s=40&v=4", + "name": "HiddifyOfficial", + "url": "https://github.com/HiddifyOfficial" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1331289?s=40&v=4", + "name": "amirsaam", + "url": "https://github.com/amirsaam" } ] }, @@ -313,7 +323,7 @@ "description": "💊 Application to use ReVanced on Android", "language": "Dart", "languageColor": "#00B4AB", - "stars": "18,376", + "stars": "18,377", "forks": "749", "addStars": "137", "contributors": [ @@ -344,55 +354,6 @@ } ] }, - { - "title": "jing332/AListFlutter", - "url": "https://github.com/jing332/AListFlutter", - "description": "AList 安卓版本,APK安装即用,无需Root或Termux。", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "1,400", - "forks": "90", - "addStars": "45", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/42014615?s=40&v=4", - "name": "jing332", - "url": "https://github.com/jing332" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17618134?s=40&v=4", - "name": "DearZack", - "url": "https://github.com/DearZack" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/128231127?s=40&v=4", - "name": "explorer-exe-bilibili", - "url": "https://github.com/explorer-exe-bilibili" - } - ] - }, - { - "title": "canxin121/app_rhyme", - "url": "https://github.com/canxin121/app_rhyme", - "description": "使用Flutter和Rust构建的开源免费音乐软件", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "1,713", - "forks": "87", - "addStars": "67", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/69547456?s=40&v=4", - "name": "canxin121", - "url": "https://github.com/canxin121" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38352552?s=40&v=4", - "name": "nook4sh", - "url": "https://github.com/nook4sh" - } - ] - }, { "title": "kodjodevf/mangayomi", "url": "https://github.com/kodjodevf/mangayomi", @@ -431,71 +392,115 @@ ] }, { - "title": "toly1994328/FlutterUnit", - "url": "https://github.com/toly1994328/FlutterUnit", - "description": "All Platform Flutter Experience App", + "title": "videah/SkyBridge", + "url": "https://github.com/videah/SkyBridge", + "description": "A work in progress bridge/proxy that lets you use Mastodon apps with Bluesky", "language": "Dart", "languageColor": "#00B4AB", - "stars": "7,965", - "forks": "1,288", - "addStars": "26", + "stars": "540", + "forks": "35", + "addStars": "25", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26687012?s=40&v=4", - "name": "toly1994328", - "url": "https://github.com/toly1994328" + "avatar": "https://avatars.githubusercontent.com/u/4761786?s=40&v=4", + "name": "videah", + "url": "https://github.com/videah" }, { - "avatar": "https://avatars.githubusercontent.com/u/87525862?s=40&v=4", - "name": "acsweets", - "url": "https://github.com/acsweets" + "avatar": "https://avatars.githubusercontent.com/u/13072231?s=40&v=4", + "name": "myConsciousness", + "url": "https://github.com/myConsciousness" }, { - "avatar": "https://avatars.githubusercontent.com/u/2888674?s=40&v=4", - "name": "yrom", - "url": "https://github.com/yrom" + "avatar": "https://avatars.githubusercontent.com/u/31049082?s=40&v=4", + "name": "twnlink", + "url": "https://github.com/twnlink" }, { - "avatar": "https://avatars.githubusercontent.com/u/15721565?s=40&v=4", - "name": "dingjianjaja", - "url": "https://github.com/dingjianjaja" + "avatar": "https://avatars.githubusercontent.com/u/228195?s=40&v=4", + "name": "Treeki", + "url": "https://github.com/Treeki" }, { - "avatar": "https://avatars.githubusercontent.com/u/153727250?s=40&v=4", - "name": "starsweets", - "url": "https://github.com/starsweets" + "avatar": "https://avatars.githubusercontent.com/u/853461?s=40&v=4", + "name": "epetousis", + "url": "https://github.com/epetousis" } ] }, { - "title": "felangel/bloc", - "url": "https://github.com/felangel/bloc", - "description": "A predictable state management library that helps implement the BLoC design pattern", + "title": "abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard", + "url": "https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard", + "description": "Responsive Admin Panel or Dashboard using Flutter", "language": "Dart", "languageColor": "#00B4AB", - "stars": "11,857", - "forks": "3,396", - "addStars": "20", + "stars": "6,738", + "forks": "1,921", + "addStars": "18", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8855632?s=40&v=4", - "name": "felangel", - "url": "https://github.com/felangel" + "avatar": "https://avatars.githubusercontent.com/u/42710380?s=40&v=4", + "name": "abuanwar072", + "url": "https://github.com/abuanwar072" }, { - "avatar": "https://avatars.githubusercontent.com/u/5255042?s=40&v=4", - "name": "alisson-suzigan", - "url": "https://github.com/alisson-suzigan" + "avatar": "https://avatars.githubusercontent.com/u/38634459?s=40&v=4", + "name": "RounakTadvi", + "url": "https://github.com/RounakTadvi" }, { - "avatar": "https://avatars.githubusercontent.com/u/44533235?s=40&v=4", - "name": "zepfietje", - "url": "https://github.com/zepfietje" + "avatar": "https://avatars.githubusercontent.com/u/1250858?s=40&v=4", + "name": "simon1tan", + "url": "https://github.com/simon1tan" + } + ] + }, + { + "title": "canxin121/app_rhyme", + "url": "https://github.com/canxin121/app_rhyme", + "description": "使用Flutter和Rust构建的开源免费音乐软件", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "1,713", + "forks": "89", + "addStars": "67", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/69547456?s=40&v=4", + "name": "canxin121", + "url": "https://github.com/canxin121" }, { - "avatar": "https://avatars.githubusercontent.com/u/5287596?s=40&v=4", - "name": "tenhobi", - "url": "https://github.com/tenhobi" + "avatar": "https://avatars.githubusercontent.com/u/38352552?s=40&v=4", + "name": "nook4sh", + "url": "https://github.com/nook4sh" + } + ] + }, + { + "title": "jing332/AListFlutter", + "url": "https://github.com/jing332/AListFlutter", + "description": "AList 安卓版本,APK安装即用,无需Root或Termux。", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "1,402", + "forks": "90", + "addStars": "45", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/42014615?s=40&v=4", + "name": "jing332", + "url": "https://github.com/jing332" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17618134?s=40&v=4", + "name": "DearZack", + "url": "https://github.com/DearZack" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/128231127?s=40&v=4", + "name": "explorer-exe-bilibili", + "url": "https://github.com/explorer-exe-bilibili" } ] }, @@ -536,50 +541,13 @@ } ] }, - { - "title": "hiddify/hiddify-app", - "url": "https://github.com/hiddify/hiddify-app", - "description": "Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free.", - "language": "Dart", - "languageColor": "#00B4AB", - "stars": "17,733", - "forks": "1,589", - "addStars": "242", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/114227601?s=40&v=4", - "name": "hiddify-com", - "url": "https://github.com/hiddify-com" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/132705824?s=40&v=4", - "name": "problematicconsumer", - "url": "https://github.com/problematicconsumer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/125398461?s=40&v=4", - "name": "lymanjre", - "url": "https://github.com/lymanjre" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/129010482?s=40&v=4", - "name": "HiddifyOfficial", - "url": "https://github.com/HiddifyOfficial" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1331289?s=40&v=4", - "name": "amirsaam", - "url": "https://github.com/amirsaam" - } - ] - }, { "title": "GopeedLab/gopeed", "url": "https://github.com/GopeedLab/gopeed", "description": "A modern download manager that supports all platforms. Built with Golang and Flutter.", "language": "Dart", "languageColor": "#00B4AB", - "stars": "17,021", + "stars": "17,030", "forks": "1,198", "addStars": "137", "contributors": [ @@ -610,6 +578,28 @@ } ] }, + { + "title": "academind/flutter-complete-guide-course-resources", + "url": "https://github.com/academind/flutter-complete-guide-course-resources", + "description": "Course resources (code snapshots & slides) for our complete Flutter & Dart course (https://acad.link/flutter).", + "language": "Dart", + "languageColor": "#00B4AB", + "stars": "1,983", + "forks": "847", + "addStars": "11", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/28806196?s=40&v=4", + "name": "maxschwarzmueller", + "url": "https://github.com/maxschwarzmueller" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26225174?s=40&v=4", + "name": "unsivilaudio", + "url": "https://github.com/unsivilaudio" + } + ] + }, { "title": "miguelpruivo/flutter_file_picker", "url": "https://github.com/miguelpruivo/flutter_file_picker", @@ -648,140 +638,140 @@ ] }, { - "title": "flutter/website", - "url": "https://github.com/flutter/website", - "description": "Flutter documentation web site", + "title": "toly1994328/FlutterUnit", + "url": "https://github.com/toly1994328/FlutterUnit", + "description": "All Platform Flutter Experience App", "language": "Dart", "languageColor": "#00B4AB", - "stars": "2,835", - "forks": "3,236", - "addStars": "10", + "stars": "7,966", + "forks": "1,288", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/44418985?s=40&v=4", - "name": "sfshaza2", - "url": "https://github.com/sfshaza2" + "avatar": "https://avatars.githubusercontent.com/u/26687012?s=40&v=4", + "name": "toly1994328", + "url": "https://github.com/toly1994328" }, { - "avatar": "https://avatars.githubusercontent.com/u/18372958?s=40&v=4", - "name": "parlough", - "url": "https://github.com/parlough" + "avatar": "https://avatars.githubusercontent.com/u/87525862?s=40&v=4", + "name": "acsweets", + "url": "https://github.com/acsweets" }, { - "avatar": "https://avatars.githubusercontent.com/u/4140793?s=40&v=4", - "name": "chalin", - "url": "https://github.com/chalin" + "avatar": "https://avatars.githubusercontent.com/u/2888674?s=40&v=4", + "name": "yrom", + "url": "https://github.com/yrom" }, { - "avatar": "https://avatars.githubusercontent.com/u/706219?s=40&v=4", - "name": "atsansone", - "url": "https://github.com/atsansone" + "avatar": "https://avatars.githubusercontent.com/u/15721565?s=40&v=4", + "name": "dingjianjaja", + "url": "https://github.com/dingjianjaja" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/153727250?s=40&v=4", + "name": "starsweets", + "url": "https://github.com/starsweets" } ] }, { - "title": "singerdmx/flutter-quill", - "url": "https://github.com/singerdmx/flutter-quill", - "description": "Rich text editor for Flutter", + "title": "felangel/bloc", + "url": "https://github.com/felangel/bloc", + "description": "A predictable state management library that helps implement the BLoC design pattern", "language": "Dart", "languageColor": "#00B4AB", - "stars": "2,598", - "forks": "840", - "addStars": "8", + "stars": "11,856", + "forks": "3,396", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/122956?s=40&v=4", - "name": "singerdmx", - "url": "https://github.com/singerdmx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73608287?s=40&v=4", - "name": "EchoEllet", - "url": "https://github.com/EchoEllet" + "avatar": "https://avatars.githubusercontent.com/u/8855632?s=40&v=4", + "name": "felangel", + "url": "https://github.com/felangel" }, { - "avatar": "https://avatars.githubusercontent.com/u/46685982?s=40&v=4", - "name": "li3317", - "url": "https://github.com/li3317" + "avatar": "https://avatars.githubusercontent.com/u/5255042?s=40&v=4", + "name": "alisson-suzigan", + "url": "https://github.com/alisson-suzigan" }, { - "avatar": "https://avatars.githubusercontent.com/u/40752995?s=40&v=4", - "name": "xinyuezhang0402", - "url": "https://github.com/xinyuezhang0402" + "avatar": "https://avatars.githubusercontent.com/u/44533235?s=40&v=4", + "name": "zepfietje", + "url": "https://github.com/zepfietje" }, { - "avatar": "https://avatars.githubusercontent.com/u/10923085?s=40&v=4", - "name": "friebetill", - "url": "https://github.com/friebetill" + "avatar": "https://avatars.githubusercontent.com/u/5287596?s=40&v=4", + "name": "tenhobi", + "url": "https://github.com/tenhobi" } ] }, { - "title": "flutter-stripe/flutter_stripe", - "url": "https://github.com/flutter-stripe/flutter_stripe", - "description": "Flutter SDK for Stripe.", + "title": "flutter/website", + "url": "https://github.com/flutter/website", + "description": "Flutter documentation web site", "language": "Dart", "languageColor": "#00B4AB", - "stars": "960", - "forks": "528", - "addStars": "4", + "stars": "2,835", + "forks": "3,236", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20230599?s=40&v=4", - "name": "remonh87", - "url": "https://github.com/remonh87" + "avatar": "https://avatars.githubusercontent.com/u/44418985?s=40&v=4", + "name": "sfshaza2", + "url": "https://github.com/sfshaza2" }, { - "avatar": "https://avatars.githubusercontent.com/u/1151304?s=40&v=4", - "name": "jonasbark", - "url": "https://github.com/jonasbark" + "avatar": "https://avatars.githubusercontent.com/u/18372958?s=40&v=4", + "name": "parlough", + "url": "https://github.com/parlough" }, { - "avatar": "https://avatars.githubusercontent.com/u/19904063?s=40&v=4", - "name": "jamesblasco", - "url": "https://github.com/jamesblasco" + "avatar": "https://avatars.githubusercontent.com/u/4140793?s=40&v=4", + "name": "chalin", + "url": "https://github.com/chalin" }, { - "avatar": "https://avatars.githubusercontent.com/u/22280985?s=40&v=4", - "name": "cedvdb", - "url": "https://github.com/cedvdb" + "avatar": "https://avatars.githubusercontent.com/u/706219?s=40&v=4", + "name": "atsansone", + "url": "https://github.com/atsansone" } ] }, { - "title": "guozhigq/pilipala", - "url": "https://github.com/guozhigq/pilipala", - "description": "PiliPala 是使用Flutter开发的BiliBili第三方客户端,感谢使用。", + "title": "lollipopkit/flutter_server_box", + "url": "https://github.com/lollipopkit/flutter_server_box", + "description": "ServerBox - server status & toolbox", "language": "Dart", "languageColor": "#00B4AB", - "stars": "7,503", + "stars": "4,882", "forks": "309", - "addStars": "211", + "addStars": "88", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25545225?s=40&v=4", - "name": "guozhigq", - "url": "https://github.com/guozhigq" + "avatar": "https://avatars.githubusercontent.com/u/10864310?s=40&v=4", + "name": "lollipopkit", + "url": "https://github.com/lollipopkit" }, { - "avatar": "https://avatars.githubusercontent.com/u/17450420?s=40&v=4", - "name": "orz12", - "url": "https://github.com/orz12" + "avatar": "https://avatars.githubusercontent.com/u/7395735?s=40&v=4", + "name": "PaperCube", + "url": "https://github.com/PaperCube" }, { - "avatar": "https://avatars.githubusercontent.com/u/89803422?s=40&v=4", - "name": "VillagerTom", - "url": "https://github.com/VillagerTom" + "avatar": "https://avatars.githubusercontent.com/u/71180087?s=40&v=4", + "name": "Integral-Tech", + "url": "https://github.com/Integral-Tech" }, { - "avatar": "https://avatars.githubusercontent.com/u/70067449?s=40&v=4", - "name": "Daydreamer-riri", - "url": "https://github.com/Daydreamer-riri" + "avatar": "https://avatars.githubusercontent.com/u/72285529?s=40&v=4", + "name": "No06", + "url": "https://github.com/No06" }, { - "avatar": "https://avatars.githubusercontent.com/u/51787949?s=40&v=4", - "name": "KoolShow", - "url": "https://github.com/KoolShow" + "avatar": "https://avatars.githubusercontent.com/u/77213338?s=40&v=4", + "name": "its-tom", + "url": "https://github.com/its-tom" } ] } diff --git a/data/weekly/dart.xml b/data/weekly/dart.xml index 285acb444196..5e475bbe7126 100644 --- a/data/weekly/dart.xml +++ b/data/weekly/dart.xml @@ -3,7 +3,7 @@ GitHub Dart Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dart Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT flutter/samples https://github.com/flutter/samples @@ -11,8 +11,8 @@ https://github.com/flutter/samples Dart #00B4AB - 17,350 - 7,541 + 17,352 + 7,542 45 @@ -44,8 +44,8 @@ https://github.com/chen08209/FlClash Dart #00B4AB - 11,172 - 649 + 11,186 + 650 327 @@ -72,7 +72,7 @@ https://github.com/dart-lang/sdk Dart #00B4AB - 10,283 + 10,282 1,585 26 @@ -103,72 +103,6 @@ - - localsend/localsend - https://github.com/localsend/localsend - An open-source cross-platform alternative to AirDrop - https://github.com/localsend/localsend - Dart - #00B4AB - 53,243 - 2,866 - 928 - - - https://avatars.githubusercontent.com/u/38380847?s=40&v=4 - Tienisto - https://github.com/Tienisto - - - https://avatars.githubusercontent.com/u/125032334?s=40&v=4 - sergd88 - https://github.com/sergd88 - - - https://avatars.githubusercontent.com/u/78599753?s=40&v=4 - ShlomoCode - https://github.com/ShlomoCode - - - https://avatars.githubusercontent.com/u/39922116?s=40&v=4 - TheGB0077 - https://github.com/TheGB0077 - - - https://avatars.githubusercontent.com/u/4068977?s=40&v=4 - gidano - https://github.com/gidano - - - - - abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard - https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard - Responsive Admin Panel or Dashboard using Flutter - https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard - Dart - #00B4AB - 6,739 - 1,920 - 18 - - - https://avatars.githubusercontent.com/u/42710380?s=40&v=4 - abuanwar072 - https://github.com/abuanwar072 - - - https://avatars.githubusercontent.com/u/38634459?s=40&v=4 - RounakTadvi - https://github.com/RounakTadvi - - - https://avatars.githubusercontent.com/u/1250858?s=40&v=4 - simon1tan - https://github.com/simon1tan - - - Predidit/Kazumi https://github.com/Predidit/Kazumi @@ -176,7 +110,7 @@ https://github.com/Predidit/Kazumi Dart #00B4AB - 5,054 + 5,065 135 111 @@ -207,6 +141,44 @@ + + localsend/localsend + https://github.com/localsend/localsend + An open-source cross-platform alternative to AirDrop + https://github.com/localsend/localsend + Dart + #00B4AB + 53,272 + 2,871 + 928 + + + https://avatars.githubusercontent.com/u/38380847?s=40&v=4 + Tienisto + https://github.com/Tienisto + + + https://avatars.githubusercontent.com/u/125032334?s=40&v=4 + sergd88 + https://github.com/sergd88 + + + https://avatars.githubusercontent.com/u/78599753?s=40&v=4 + ShlomoCode + https://github.com/ShlomoCode + + + https://avatars.githubusercontent.com/u/39922116?s=40&v=4 + TheGB0077 + https://github.com/TheGB0077 + + + https://avatars.githubusercontent.com/u/4068977?s=40&v=4 + gidano + https://github.com/gidano + + + flutter/packages https://github.com/flutter/packages @@ -215,7 +187,7 @@ Dart #00B4AB 4,421 - 2,921 + 2,924 14 @@ -247,8 +219,8 @@ https://github.com/flutter/flutter Dart #00B4AB - 166,663 - 27,604 + 166,667 + 27,605 375 @@ -279,40 +251,78 @@ - videah/SkyBridge - https://github.com/videah/SkyBridge - A work in progress bridge/proxy that lets you use Mastodon apps with Bluesky - https://github.com/videah/SkyBridge + ente-io/ente + https://github.com/ente-io/ente + Fully open source, End to End Encrypted alternative to Google Photos and Apple Photos + https://github.com/ente-io/ente Dart #00B4AB - 540 - 35 - 25 + 16,235 + 837 + 116 - https://avatars.githubusercontent.com/u/4761786?s=40&v=4 - videah - https://github.com/videah + https://avatars.githubusercontent.com/u/46242073?s=40&v=4 + abhinavkgrd + https://github.com/abhinavkgrd - https://avatars.githubusercontent.com/u/13072231?s=40&v=4 - myConsciousness - https://github.com/myConsciousness + https://avatars.githubusercontent.com/u/24503581?s=40&v=4 + mnvr + https://github.com/mnvr - https://avatars.githubusercontent.com/u/31049082?s=40&v=4 - twnlink - https://github.com/twnlink + https://avatars.githubusercontent.com/u/254676?s=40&v=4 + ua741 + https://github.com/ua741 - https://avatars.githubusercontent.com/u/228195?s=40&v=4 - Treeki - https://github.com/Treeki + https://avatars.githubusercontent.com/u/1161789?s=40&v=4 + vishnukvmd + https://github.com/vishnukvmd - https://avatars.githubusercontent.com/u/853461?s=40&v=4 - epetousis - https://github.com/epetousis + https://avatars.githubusercontent.com/u/77285023?s=40&v=4 + ashilkn + https://github.com/ashilkn + + + + + hiddify/hiddify-app + https://github.com/hiddify/hiddify-app + Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free. + https://github.com/hiddify/hiddify-app + Dart + #00B4AB + 17,736 + 1,590 + 242 + + + https://avatars.githubusercontent.com/u/114227601?s=40&v=4 + hiddify-com + https://github.com/hiddify-com + + + https://avatars.githubusercontent.com/u/132705824?s=40&v=4 + problematicconsumer + https://github.com/problematicconsumer + + + https://avatars.githubusercontent.com/u/125398461?s=40&v=4 + lymanjre + https://github.com/lymanjre + + + https://avatars.githubusercontent.com/u/129010482?s=40&v=4 + HiddifyOfficial + https://github.com/HiddifyOfficial + + + https://avatars.githubusercontent.com/u/1331289?s=40&v=4 + amirsaam + https://github.com/amirsaam @@ -323,7 +333,7 @@ https://github.com/ReVanced/revanced-manager Dart #00B4AB - 18,376 + 18,377 749 137 @@ -354,57 +364,6 @@ - - jing332/AListFlutter - https://github.com/jing332/AListFlutter - AList 安卓版本,APK安装即用,无需Root或Termux。 - https://github.com/jing332/AListFlutter - Dart - #00B4AB - 1,400 - 90 - 45 - - - https://avatars.githubusercontent.com/u/42014615?s=40&v=4 - jing332 - https://github.com/jing332 - - - https://avatars.githubusercontent.com/u/17618134?s=40&v=4 - DearZack - https://github.com/DearZack - - - https://avatars.githubusercontent.com/u/128231127?s=40&v=4 - explorer-exe-bilibili - https://github.com/explorer-exe-bilibili - - - - - canxin121/app_rhyme - https://github.com/canxin121/app_rhyme - 使用Flutter和Rust构建的开源免费音乐软件 - https://github.com/canxin121/app_rhyme - Dart - #00B4AB - 1,713 - 87 - 67 - - - https://avatars.githubusercontent.com/u/69547456?s=40&v=4 - canxin121 - https://github.com/canxin121 - - - https://avatars.githubusercontent.com/u/38352552?s=40&v=4 - nook4sh - https://github.com/nook4sh - - - kodjodevf/mangayomi https://github.com/kodjodevf/mangayomi @@ -444,73 +403,119 @@ - toly1994328/FlutterUnit - https://github.com/toly1994328/FlutterUnit - All Platform Flutter Experience App - https://github.com/toly1994328/FlutterUnit + videah/SkyBridge + https://github.com/videah/SkyBridge + A work in progress bridge/proxy that lets you use Mastodon apps with Bluesky + https://github.com/videah/SkyBridge Dart #00B4AB - 7,965 - 1,288 - 26 + 540 + 35 + 25 - https://avatars.githubusercontent.com/u/26687012?s=40&v=4 - toly1994328 - https://github.com/toly1994328 + https://avatars.githubusercontent.com/u/4761786?s=40&v=4 + videah + https://github.com/videah - https://avatars.githubusercontent.com/u/87525862?s=40&v=4 - acsweets - https://github.com/acsweets + https://avatars.githubusercontent.com/u/13072231?s=40&v=4 + myConsciousness + https://github.com/myConsciousness - https://avatars.githubusercontent.com/u/2888674?s=40&v=4 - yrom - https://github.com/yrom + https://avatars.githubusercontent.com/u/31049082?s=40&v=4 + twnlink + https://github.com/twnlink - https://avatars.githubusercontent.com/u/15721565?s=40&v=4 - dingjianjaja - https://github.com/dingjianjaja + https://avatars.githubusercontent.com/u/228195?s=40&v=4 + Treeki + https://github.com/Treeki - https://avatars.githubusercontent.com/u/153727250?s=40&v=4 - starsweets - https://github.com/starsweets + https://avatars.githubusercontent.com/u/853461?s=40&v=4 + epetousis + https://github.com/epetousis - felangel/bloc - https://github.com/felangel/bloc - A predictable state management library that helps implement the BLoC design pattern - https://github.com/felangel/bloc + abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard + https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard + Responsive Admin Panel or Dashboard using Flutter + https://github.com/abuanwar072/Flutter-Responsive-Admin-Panel-or-Dashboard Dart #00B4AB - 11,857 - 3,396 - 20 + 6,738 + 1,921 + 18 - https://avatars.githubusercontent.com/u/8855632?s=40&v=4 - felangel - https://github.com/felangel + https://avatars.githubusercontent.com/u/42710380?s=40&v=4 + abuanwar072 + https://github.com/abuanwar072 - https://avatars.githubusercontent.com/u/5255042?s=40&v=4 - alisson-suzigan - https://github.com/alisson-suzigan + https://avatars.githubusercontent.com/u/38634459?s=40&v=4 + RounakTadvi + https://github.com/RounakTadvi - https://avatars.githubusercontent.com/u/44533235?s=40&v=4 - zepfietje - https://github.com/zepfietje + https://avatars.githubusercontent.com/u/1250858?s=40&v=4 + simon1tan + https://github.com/simon1tan + + + + + canxin121/app_rhyme + https://github.com/canxin121/app_rhyme + 使用Flutter和Rust构建的开源免费音乐软件 + https://github.com/canxin121/app_rhyme + Dart + #00B4AB + 1,713 + 89 + 67 + + + https://avatars.githubusercontent.com/u/69547456?s=40&v=4 + canxin121 + https://github.com/canxin121 - https://avatars.githubusercontent.com/u/5287596?s=40&v=4 - tenhobi - https://github.com/tenhobi + https://avatars.githubusercontent.com/u/38352552?s=40&v=4 + nook4sh + https://github.com/nook4sh + + + + + jing332/AListFlutter + https://github.com/jing332/AListFlutter + AList 安卓版本,APK安装即用,无需Root或Termux。 + https://github.com/jing332/AListFlutter + Dart + #00B4AB + 1,402 + 90 + 45 + + + https://avatars.githubusercontent.com/u/42014615?s=40&v=4 + jing332 + https://github.com/jing332 + + + https://avatars.githubusercontent.com/u/17618134?s=40&v=4 + DearZack + https://github.com/DearZack + + + https://avatars.githubusercontent.com/u/128231127?s=40&v=4 + explorer-exe-bilibili + https://github.com/explorer-exe-bilibili @@ -552,44 +557,6 @@ - - hiddify/hiddify-app - https://github.com/hiddify/hiddify-app - Multi-platform auto-proxy client, supporting Sing-box, X-ray, TUIC, Hysteria, Reality, Trojan, SSH etc. It’s an open-source, secure and ad-free. - https://github.com/hiddify/hiddify-app - Dart - #00B4AB - 17,733 - 1,589 - 242 - - - https://avatars.githubusercontent.com/u/114227601?s=40&v=4 - hiddify-com - https://github.com/hiddify-com - - - https://avatars.githubusercontent.com/u/132705824?s=40&v=4 - problematicconsumer - https://github.com/problematicconsumer - - - https://avatars.githubusercontent.com/u/125398461?s=40&v=4 - lymanjre - https://github.com/lymanjre - - - https://avatars.githubusercontent.com/u/129010482?s=40&v=4 - HiddifyOfficial - https://github.com/HiddifyOfficial - - - https://avatars.githubusercontent.com/u/1331289?s=40&v=4 - amirsaam - https://github.com/amirsaam - - - GopeedLab/gopeed https://github.com/GopeedLab/gopeed @@ -597,7 +564,7 @@ https://github.com/GopeedLab/gopeed Dart #00B4AB - 17,021 + 17,030 1,198 137 @@ -628,6 +595,29 @@ + + academind/flutter-complete-guide-course-resources + https://github.com/academind/flutter-complete-guide-course-resources + Course resources (code snapshots & slides) for our complete Flutter & Dart course (https://acad.link/flutter). + https://github.com/academind/flutter-complete-guide-course-resources + Dart + #00B4AB + 1,983 + 847 + 11 + + + https://avatars.githubusercontent.com/u/28806196?s=40&v=4 + maxschwarzmueller + https://github.com/maxschwarzmueller + + + https://avatars.githubusercontent.com/u/26225174?s=40&v=4 + unsivilaudio + https://github.com/unsivilaudio + + + miguelpruivo/flutter_file_picker https://github.com/miguelpruivo/flutter_file_picker @@ -667,144 +657,144 @@ - flutter/website - https://github.com/flutter/website - Flutter documentation web site - https://github.com/flutter/website + toly1994328/FlutterUnit + https://github.com/toly1994328/FlutterUnit + All Platform Flutter Experience App + https://github.com/toly1994328/FlutterUnit Dart #00B4AB - 2,835 - 3,236 - 10 + 7,966 + 1,288 + 26 - https://avatars.githubusercontent.com/u/44418985?s=40&v=4 - sfshaza2 - https://github.com/sfshaza2 + https://avatars.githubusercontent.com/u/26687012?s=40&v=4 + toly1994328 + https://github.com/toly1994328 - https://avatars.githubusercontent.com/u/18372958?s=40&v=4 - parlough - https://github.com/parlough + https://avatars.githubusercontent.com/u/87525862?s=40&v=4 + acsweets + https://github.com/acsweets - https://avatars.githubusercontent.com/u/4140793?s=40&v=4 - chalin - https://github.com/chalin + https://avatars.githubusercontent.com/u/2888674?s=40&v=4 + yrom + https://github.com/yrom - https://avatars.githubusercontent.com/u/706219?s=40&v=4 - atsansone - https://github.com/atsansone + https://avatars.githubusercontent.com/u/15721565?s=40&v=4 + dingjianjaja + https://github.com/dingjianjaja + + + https://avatars.githubusercontent.com/u/153727250?s=40&v=4 + starsweets + https://github.com/starsweets - singerdmx/flutter-quill - https://github.com/singerdmx/flutter-quill - Rich text editor for Flutter - https://github.com/singerdmx/flutter-quill + felangel/bloc + https://github.com/felangel/bloc + A predictable state management library that helps implement the BLoC design pattern + https://github.com/felangel/bloc Dart #00B4AB - 2,598 - 840 - 8 + 11,856 + 3,396 + 20 - https://avatars.githubusercontent.com/u/122956?s=40&v=4 - singerdmx - https://github.com/singerdmx - - - https://avatars.githubusercontent.com/u/73608287?s=40&v=4 - EchoEllet - https://github.com/EchoEllet + https://avatars.githubusercontent.com/u/8855632?s=40&v=4 + felangel + https://github.com/felangel - https://avatars.githubusercontent.com/u/46685982?s=40&v=4 - li3317 - https://github.com/li3317 + https://avatars.githubusercontent.com/u/5255042?s=40&v=4 + alisson-suzigan + https://github.com/alisson-suzigan - https://avatars.githubusercontent.com/u/40752995?s=40&v=4 - xinyuezhang0402 - https://github.com/xinyuezhang0402 + https://avatars.githubusercontent.com/u/44533235?s=40&v=4 + zepfietje + https://github.com/zepfietje - https://avatars.githubusercontent.com/u/10923085?s=40&v=4 - friebetill - https://github.com/friebetill + https://avatars.githubusercontent.com/u/5287596?s=40&v=4 + tenhobi + https://github.com/tenhobi - flutter-stripe/flutter_stripe - https://github.com/flutter-stripe/flutter_stripe - Flutter SDK for Stripe. - https://github.com/flutter-stripe/flutter_stripe + flutter/website + https://github.com/flutter/website + Flutter documentation web site + https://github.com/flutter/website Dart #00B4AB - 960 - 528 - 4 + 2,835 + 3,236 + 10 - https://avatars.githubusercontent.com/u/20230599?s=40&v=4 - remonh87 - https://github.com/remonh87 + https://avatars.githubusercontent.com/u/44418985?s=40&v=4 + sfshaza2 + https://github.com/sfshaza2 - https://avatars.githubusercontent.com/u/1151304?s=40&v=4 - jonasbark - https://github.com/jonasbark + https://avatars.githubusercontent.com/u/18372958?s=40&v=4 + parlough + https://github.com/parlough - https://avatars.githubusercontent.com/u/19904063?s=40&v=4 - jamesblasco - https://github.com/jamesblasco + https://avatars.githubusercontent.com/u/4140793?s=40&v=4 + chalin + https://github.com/chalin - https://avatars.githubusercontent.com/u/22280985?s=40&v=4 - cedvdb - https://github.com/cedvdb + https://avatars.githubusercontent.com/u/706219?s=40&v=4 + atsansone + https://github.com/atsansone - guozhigq/pilipala - https://github.com/guozhigq/pilipala - PiliPala 是使用Flutter开发的BiliBili第三方客户端,感谢使用。 - https://github.com/guozhigq/pilipala + lollipopkit/flutter_server_box + https://github.com/lollipopkit/flutter_server_box + ServerBox - server status & toolbox + https://github.com/lollipopkit/flutter_server_box Dart #00B4AB - 7,503 + 4,882 309 - 211 + 88 - https://avatars.githubusercontent.com/u/25545225?s=40&v=4 - guozhigq - https://github.com/guozhigq + https://avatars.githubusercontent.com/u/10864310?s=40&v=4 + lollipopkit + https://github.com/lollipopkit - https://avatars.githubusercontent.com/u/17450420?s=40&v=4 - orz12 - https://github.com/orz12 + https://avatars.githubusercontent.com/u/7395735?s=40&v=4 + PaperCube + https://github.com/PaperCube - https://avatars.githubusercontent.com/u/89803422?s=40&v=4 - VillagerTom - https://github.com/VillagerTom + https://avatars.githubusercontent.com/u/71180087?s=40&v=4 + Integral-Tech + https://github.com/Integral-Tech - https://avatars.githubusercontent.com/u/70067449?s=40&v=4 - Daydreamer-riri - https://github.com/Daydreamer-riri + https://avatars.githubusercontent.com/u/72285529?s=40&v=4 + No06 + https://github.com/No06 - https://avatars.githubusercontent.com/u/51787949?s=40&v=4 - KoolShow - https://github.com/KoolShow + https://avatars.githubusercontent.com/u/77213338?s=40&v=4 + its-tom + https://github.com/its-tom diff --git a/data/weekly/dataweave.json b/data/weekly/dataweave.json index 8d22fdbecc5f..9f93632e30e6 100644 --- a/data/weekly/dataweave.json +++ b/data/weekly/dataweave.json @@ -2,6 +2,6 @@ "title": "GitHub Dataweave Languages Weekly Trending", "description": "Weekly Trending of Dataweave Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dataweave.xml b/data/weekly/dataweave.xml index 1e2ef4d901a3..19f7263d6436 100644 --- a/data/weekly/dataweave.xml +++ b/data/weekly/dataweave.xml @@ -3,6 +3,6 @@ GitHub Dataweave Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dataweave Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/debian-package-control-file.json b/data/weekly/debian-package-control-file.json index 57b33334c04a..2c0557c8f1a8 100644 --- a/data/weekly/debian-package-control-file.json +++ b/data/weekly/debian-package-control-file.json @@ -2,6 +2,6 @@ "title": "GitHub Debian-package-control-file Languages Weekly Trending", "description": "Weekly Trending of Debian-package-control-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/debian-package-control-file.xml b/data/weekly/debian-package-control-file.xml index 5e80a12116ba..7ac452348d0e 100644 --- a/data/weekly/debian-package-control-file.xml +++ b/data/weekly/debian-package-control-file.xml @@ -3,6 +3,6 @@ GitHub Debian-package-control-file Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Debian-package-control-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/denizenscript.json b/data/weekly/denizenscript.json index 0ae37382beaf..a7ed5c702dc3 100644 --- a/data/weekly/denizenscript.json +++ b/data/weekly/denizenscript.json @@ -2,6 +2,6 @@ "title": "GitHub Denizenscript Languages Weekly Trending", "description": "Weekly Trending of Denizenscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/denizenscript.xml b/data/weekly/denizenscript.xml index 08ae5f866eda..ee216f46699e 100644 --- a/data/weekly/denizenscript.xml +++ b/data/weekly/denizenscript.xml @@ -3,6 +3,6 @@ GitHub Denizenscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Denizenscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/desktop.json b/data/weekly/desktop.json index 985929f37bcf..9ad4458840b3 100644 --- a/data/weekly/desktop.json +++ b/data/weekly/desktop.json @@ -2,6 +2,6 @@ "title": "GitHub Desktop Languages Weekly Trending", "description": "Weekly Trending of Desktop Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/desktop.xml b/data/weekly/desktop.xml index 3448016f732a..9cc0ef50e2c0 100644 --- a/data/weekly/desktop.xml +++ b/data/weekly/desktop.xml @@ -3,6 +3,6 @@ GitHub Desktop Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Desktop Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dhall.json b/data/weekly/dhall.json index 17facb5f7da8..b660332835b4 100644 --- a/data/weekly/dhall.json +++ b/data/weekly/dhall.json @@ -2,6 +2,6 @@ "title": "GitHub Dhall Languages Weekly Trending", "description": "Weekly Trending of Dhall Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dhall.xml b/data/weekly/dhall.xml index 2a1367885c28..c679a3988347 100644 --- a/data/weekly/dhall.xml +++ b/data/weekly/dhall.xml @@ -3,6 +3,6 @@ GitHub Dhall Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dhall Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/diff.json b/data/weekly/diff.json index 2e15392e665e..b264dc9aa751 100644 --- a/data/weekly/diff.json +++ b/data/weekly/diff.json @@ -2,6 +2,6 @@ "title": "GitHub Diff Languages Weekly Trending", "description": "Weekly Trending of Diff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/diff.xml b/data/weekly/diff.xml index 9ee34c034c71..2a7801ae3b90 100644 --- a/data/weekly/diff.xml +++ b/data/weekly/diff.xml @@ -3,6 +3,6 @@ GitHub Diff Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Diff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/digital-command-language.json b/data/weekly/digital-command-language.json index c5fd065ad207..29edac109916 100644 --- a/data/weekly/digital-command-language.json +++ b/data/weekly/digital-command-language.json @@ -2,44 +2,6 @@ "title": "GitHub Digital-command-language Languages Weekly Trending", "description": "Weekly Trending of Digital-command-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "github/dmca", - "url": "https://github.com/github/dmca", - "description": "Repository with text of DMCA takedown notices as received. GitHub does not endorse or adopt any assertion contained in the following notices. Users identified in the notices are presumed innocent until proven guilty. Additional information about our DMCA policy can be found at", - "language": "DIGITAL Command Language", - "languageColor": "#ccc", - "stars": "5,559", - "forks": "1,276", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/480938?s=40&v=4", - "name": "hubot", - "url": "https://github.com/hubot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89167002?s=40&v=4", - "name": "dmca-sync-bot", - "url": "https://github.com/dmca-sync-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4822039?s=40&v=4", - "name": "emilyistoofunky", - "url": "https://github.com/emilyistoofunky" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/706?s=40&v=4", - "name": "tekkub", - "url": "https://github.com/tekkub" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6133249?s=40&v=4", - "name": "jessephus", - "url": "https://github.com/jessephus" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/weekly/digital-command-language.xml b/data/weekly/digital-command-language.xml index 862d6d331505..5608faaea34b 100644 --- a/data/weekly/digital-command-language.xml +++ b/data/weekly/digital-command-language.xml @@ -3,44 +3,6 @@ GitHub Digital-command-language Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Digital-command-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - github/dmca - https://github.com/github/dmca - Repository with text of DMCA takedown notices as received. GitHub does not endorse or adopt any assertion contained in the following notices. Users identified in the notices are presumed innocent until proven guilty. Additional information about our DMCA policy can be found at - https://github.com/github/dmca - DIGITAL Command Language - #ccc - 5,559 - 1,276 - 9 - - - https://avatars.githubusercontent.com/u/480938?s=40&v=4 - hubot - https://github.com/hubot - - - https://avatars.githubusercontent.com/u/89167002?s=40&v=4 - dmca-sync-bot - https://github.com/dmca-sync-bot - - - https://avatars.githubusercontent.com/u/4822039?s=40&v=4 - emilyistoofunky - https://github.com/emilyistoofunky - - - https://avatars.githubusercontent.com/u/706?s=40&v=4 - tekkub - https://github.com/tekkub - - - https://avatars.githubusercontent.com/u/6133249?s=40&v=4 - jessephus - https://github.com/jessephus - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dircolors.json b/data/weekly/dircolors.json index c7498e9e8afc..0cfb36df94be 100644 --- a/data/weekly/dircolors.json +++ b/data/weekly/dircolors.json @@ -2,6 +2,6 @@ "title": "GitHub Dircolors Languages Weekly Trending", "description": "Weekly Trending of Dircolors Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dircolors.xml b/data/weekly/dircolors.xml index 4e7049585135..9fb4ad0b3b41 100644 --- a/data/weekly/dircolors.xml +++ b/data/weekly/dircolors.xml @@ -3,6 +3,6 @@ GitHub Dircolors Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dircolors Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/directx-3d-file.json b/data/weekly/directx-3d-file.json index 19bf18b22402..4a0dd9626bf7 100644 --- a/data/weekly/directx-3d-file.json +++ b/data/weekly/directx-3d-file.json @@ -2,6 +2,6 @@ "title": "GitHub Directx-3d-file Languages Weekly Trending", "description": "Weekly Trending of Directx-3d-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/directx-3d-file.xml b/data/weekly/directx-3d-file.xml index 484a903cc4c5..56c7bfd7aa47 100644 --- a/data/weekly/directx-3d-file.xml +++ b/data/weekly/directx-3d-file.xml @@ -3,6 +3,6 @@ GitHub Directx-3d-file Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Directx-3d-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dm.json b/data/weekly/dm.json index a957f22f79b2..a32776d3f650 100644 --- a/data/weekly/dm.json +++ b/data/weekly/dm.json @@ -2,45 +2,8 @@ "title": "GitHub Dm Languages Weekly Trending", "description": "Weekly Trending of Dm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "tgstation/tgstation", - "url": "https://github.com/tgstation/tgstation", - "description": "The /tg/station branch of SS13.", - "language": "DM", - "languageColor": "#447265", - "stars": "1,723", - "forks": "4,768", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12387510?s=40&v=4", - "name": "tgstation-server", - "url": "https://github.com/tgstation-server" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/61334995?s=40&v=4", - "name": "comfyorange", - "url": "https://github.com/comfyorange" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8171642?s=40&v=4", - "name": "Cyberboss", - "url": "https://github.com/Cyberboss" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3827314?s=40&v=4", - "name": "Cheridan", - "url": "https://github.com/Cheridan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3886584?s=40&v=4", - "name": "ChangelingRain", - "url": "https://github.com/ChangelingRain" - } - ] - }, { "title": "Bubberstation/Bubberstation", "url": "https://github.com/Bubberstation/Bubberstation", @@ -116,14 +79,14 @@ ] }, { - "title": "Monkestation/Monkestation2.0", - "url": "https://github.com/Monkestation/Monkestation2.0", - "description": "A monkestation rebase to TG code", + "title": "tgstation/tgstation", + "url": "https://github.com/tgstation/tgstation", + "description": "The /tg/station branch of SS13.", "language": "DM", "languageColor": "#447265", - "stars": "48", - "forks": "249", - "addStars": "2", + "stars": "1,724", + "forks": "4,769", + "addStars": "4", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/12387510?s=40&v=4", @@ -131,9 +94,9 @@ "url": "https://github.com/tgstation-server" }, { - "avatar": "https://avatars.githubusercontent.com/u/3827314?s=40&v=4", - "name": "Cheridan", - "url": "https://github.com/Cheridan" + "avatar": "https://avatars.githubusercontent.com/u/61334995?s=40&v=4", + "name": "comfyorange", + "url": "https://github.com/comfyorange" }, { "avatar": "https://avatars.githubusercontent.com/u/8171642?s=40&v=4", @@ -141,9 +104,9 @@ "url": "https://github.com/Cyberboss" }, { - "avatar": "https://avatars.githubusercontent.com/u/82520990?s=40&v=4", - "name": "dwasint", - "url": "https://github.com/dwasint" + "avatar": "https://avatars.githubusercontent.com/u/3827314?s=40&v=4", + "name": "Cheridan", + "url": "https://github.com/Cheridan" }, { "avatar": "https://avatars.githubusercontent.com/u/3886584?s=40&v=4", @@ -178,6 +141,43 @@ "url": "https://github.com/stanalbatross" } ] + }, + { + "title": "Monkestation/Monkestation2.0", + "url": "https://github.com/Monkestation/Monkestation2.0", + "description": "A monkestation rebase to TG code", + "language": "DM", + "languageColor": "#447265", + "stars": "48", + "forks": "249", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12387510?s=40&v=4", + "name": "tgstation-server", + "url": "https://github.com/tgstation-server" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3827314?s=40&v=4", + "name": "Cheridan", + "url": "https://github.com/Cheridan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8171642?s=40&v=4", + "name": "Cyberboss", + "url": "https://github.com/Cyberboss" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82520990?s=40&v=4", + "name": "dwasint", + "url": "https://github.com/dwasint" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3886584?s=40&v=4", + "name": "ChangelingRain", + "url": "https://github.com/ChangelingRain" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/dm.xml b/data/weekly/dm.xml index 406e2d5c4421..de834d9bf0e7 100644 --- a/data/weekly/dm.xml +++ b/data/weekly/dm.xml @@ -3,45 +3,7 @@ GitHub Dm Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - tgstation/tgstation - https://github.com/tgstation/tgstation - The /tg/station branch of SS13. - https://github.com/tgstation/tgstation - DM - #447265 - 1,723 - 4,768 - 4 - - - https://avatars.githubusercontent.com/u/12387510?s=40&v=4 - tgstation-server - https://github.com/tgstation-server - - - https://avatars.githubusercontent.com/u/61334995?s=40&v=4 - comfyorange - https://github.com/comfyorange - - - https://avatars.githubusercontent.com/u/8171642?s=40&v=4 - Cyberboss - https://github.com/Cyberboss - - - https://avatars.githubusercontent.com/u/3827314?s=40&v=4 - Cheridan - https://github.com/Cheridan - - - https://avatars.githubusercontent.com/u/3886584?s=40&v=4 - ChangelingRain - https://github.com/ChangelingRain - - - + Mon, 25 Nov 2024 13:30:36 GMT Bubberstation/Bubberstation https://github.com/Bubberstation/Bubberstation @@ -119,15 +81,15 @@ - Monkestation/Monkestation2.0 - https://github.com/Monkestation/Monkestation2.0 - A monkestation rebase to TG code - https://github.com/Monkestation/Monkestation2.0 + tgstation/tgstation + https://github.com/tgstation/tgstation + The /tg/station branch of SS13. + https://github.com/tgstation/tgstation DM #447265 - 48 - 249 - 2 + 1,724 + 4,769 + 4 https://avatars.githubusercontent.com/u/12387510?s=40&v=4 @@ -135,9 +97,9 @@ https://github.com/tgstation-server - https://avatars.githubusercontent.com/u/3827314?s=40&v=4 - Cheridan - https://github.com/Cheridan + https://avatars.githubusercontent.com/u/61334995?s=40&v=4 + comfyorange + https://github.com/comfyorange https://avatars.githubusercontent.com/u/8171642?s=40&v=4 @@ -145,9 +107,9 @@ https://github.com/Cyberboss - https://avatars.githubusercontent.com/u/82520990?s=40&v=4 - dwasint - https://github.com/dwasint + https://avatars.githubusercontent.com/u/3827314?s=40&v=4 + Cheridan + https://github.com/Cheridan https://avatars.githubusercontent.com/u/3886584?s=40&v=4 @@ -184,5 +146,43 @@ + + Monkestation/Monkestation2.0 + https://github.com/Monkestation/Monkestation2.0 + A monkestation rebase to TG code + https://github.com/Monkestation/Monkestation2.0 + DM + #447265 + 48 + 249 + 2 + + + https://avatars.githubusercontent.com/u/12387510?s=40&v=4 + tgstation-server + https://github.com/tgstation-server + + + https://avatars.githubusercontent.com/u/3827314?s=40&v=4 + Cheridan + https://github.com/Cheridan + + + https://avatars.githubusercontent.com/u/8171642?s=40&v=4 + Cyberboss + https://github.com/Cyberboss + + + https://avatars.githubusercontent.com/u/82520990?s=40&v=4 + dwasint + https://github.com/dwasint + + + https://avatars.githubusercontent.com/u/3886584?s=40&v=4 + ChangelingRain + https://github.com/ChangelingRain + + + \ No newline at end of file diff --git a/data/weekly/dns-zone.json b/data/weekly/dns-zone.json index ce7fc9072b8f..0c60d650801b 100644 --- a/data/weekly/dns-zone.json +++ b/data/weekly/dns-zone.json @@ -2,6 +2,6 @@ "title": "GitHub Dns-zone Languages Weekly Trending", "description": "Weekly Trending of Dns-zone Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dns-zone.xml b/data/weekly/dns-zone.xml index a28c943b7c7f..c57bf146b207 100644 --- a/data/weekly/dns-zone.xml +++ b/data/weekly/dns-zone.xml @@ -3,6 +3,6 @@ GitHub Dns-zone Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dns-zone Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dockerfile.json b/data/weekly/dockerfile.json index 1a2a85080a53..5dba536bfebe 100644 --- a/data/weekly/dockerfile.json +++ b/data/weekly/dockerfile.json @@ -2,7 +2,7 @@ "title": "GitHub Dockerfile Languages Weekly Trending", "description": "Weekly Trending of Dockerfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "autowarefoundation/autoware", @@ -32,39 +32,39 @@ ] }, { - "title": "linuxserver/docker-qbittorrent", - "url": "https://github.com/linuxserver/docker-qbittorrent", - "description": "", + "title": "dotnet/dotnet-docker", + "url": "https://github.com/dotnet/dotnet-docker", + "description": "Docker images for .NET and the .NET Tools.", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "1,057", - "forks": "155", - "addStars": "15", + "stars": "4,498", + "forks": "1,935", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20182680?s=40&v=4", - "name": "LinuxServer-CI", - "url": "https://github.com/LinuxServer-CI" + "avatar": "https://avatars.githubusercontent.com/u/15789599?s=40&v=4", + "name": "mthalman", + "url": "https://github.com/mthalman" }, { - "avatar": "https://avatars.githubusercontent.com/u/8425502?s=40&v=4", - "name": "thespad", - "url": "https://github.com/thespad" + "avatar": "https://avatars.githubusercontent.com/u/8290530?s=40&v=4", + "name": "MichaelSimons", + "url": "https://github.com/MichaelSimons" }, { - "avatar": "https://avatars.githubusercontent.com/u/4343808?s=40&v=4", - "name": "sparklyballs", - "url": "https://github.com/sparklyballs" + "avatar": "https://avatars.githubusercontent.com/u/36081148?s=40&v=4", + "name": "lbussell", + "url": "https://github.com/lbussell" }, { - "avatar": "https://avatars.githubusercontent.com/u/541623?s=40&v=4", - "name": "aptalca", - "url": "https://github.com/aptalca" + "avatar": "https://avatars.githubusercontent.com/u/60522487?s=40&v=4", + "name": "dotnet-docker-bot", + "url": "https://github.com/dotnet-docker-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/1852688?s=40&v=4", - "name": "thelamer", - "url": "https://github.com/thelamer" + "avatar": "https://avatars.githubusercontent.com/u/2608468?s=40&v=4", + "name": "richlander", + "url": "https://github.com/richlander" } ] }, @@ -74,7 +74,7 @@ "description": "Pre-Built Vulnerable Environments Based on Docker-Compose", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "17,856", + "stars": "17,862", "forks": "4,485", "addStars": "43", "contributors": [ @@ -105,73 +105,14 @@ } ] }, - { - "title": "dotnet/dotnet-docker", - "url": "https://github.com/dotnet/dotnet-docker", - "description": "Docker images for .NET and the .NET Tools.", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "4,498", - "forks": "1,935", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/15789599?s=40&v=4", - "name": "mthalman", - "url": "https://github.com/mthalman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8290530?s=40&v=4", - "name": "MichaelSimons", - "url": "https://github.com/MichaelSimons" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36081148?s=40&v=4", - "name": "lbussell", - "url": "https://github.com/lbussell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60522487?s=40&v=4", - "name": "dotnet-docker-bot", - "url": "https://github.com/dotnet-docker-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2608468?s=40&v=4", - "name": "richlander", - "url": "https://github.com/richlander" - } - ] - }, - { - "title": "medusajs/docker-medusa", - "url": "https://github.com/medusajs/docker-medusa", - "description": "This repo is managed by the Medusa Community. Medusa does not provide official support for Docker, but we will accept fixes and documentation. Use at your own risk.", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "178", - "forks": "86", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/59018053?s=40&v=4", - "name": "olivermrbl", - "url": "https://github.com/olivermrbl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7554214?s=40&v=4", - "name": "srindom", - "url": "https://github.com/srindom" - } - ] - }, { "title": "Anduin2017/HowToCook", "url": "https://github.com/Anduin2017/HowToCook", "description": "程序员在家做饭方法指南。Programmer's guide about how to cook at home (Simplified Chinese only).", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "67,590", - "forks": "8,749", + "stars": "67,605", + "forks": "8,748", "addStars": "138", "contributors": [ { @@ -197,39 +138,39 @@ ] }, { - "title": "microsoft/vscode-remote-release", - "url": "https://github.com/microsoft/vscode-remote-release", - "description": "Visual Studio Code Remote Development: Open any folder in WSL, in a Docker container, or on a remote machine using SSH and take advantage of VS Code's full feature set.", + "title": "linuxserver/docker-qbittorrent", + "url": "https://github.com/linuxserver/docker-qbittorrent", + "description": "", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "3,682", - "forks": "296", - "addStars": "11", + "stars": "1,057", + "forks": "155", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26030610?s=40&v=4", - "name": "eleanorjboyd", - "url": "https://github.com/eleanorjboyd" + "avatar": "https://avatars.githubusercontent.com/u/20182680?s=40&v=4", + "name": "LinuxServer-CI", + "url": "https://github.com/LinuxServer-CI" }, { - "avatar": "https://avatars.githubusercontent.com/u/312252?s=40&v=4", - "name": "Chuxel", - "url": "https://github.com/Chuxel" + "avatar": "https://avatars.githubusercontent.com/u/8425502?s=40&v=4", + "name": "thespad", + "url": "https://github.com/thespad" }, { - "avatar": "https://avatars.githubusercontent.com/u/25044782?s=40&v=4", - "name": "bhavyaus", - "url": "https://github.com/bhavyaus" + "avatar": "https://avatars.githubusercontent.com/u/4343808?s=40&v=4", + "name": "sparklyballs", + "url": "https://github.com/sparklyballs" }, { - "avatar": "https://avatars.githubusercontent.com/u/25310137?s=40&v=4", - "name": "bamurtaugh", - "url": "https://github.com/bamurtaugh" + "avatar": "https://avatars.githubusercontent.com/u/541623?s=40&v=4", + "name": "aptalca", + "url": "https://github.com/aptalca" }, { - "avatar": "https://avatars.githubusercontent.com/u/8586769?s=40&v=4", - "name": "JacksonKearl", - "url": "https://github.com/JacksonKearl" + "avatar": "https://avatars.githubusercontent.com/u/1852688?s=40&v=4", + "name": "thelamer", + "url": "https://github.com/thelamer" } ] }, @@ -239,7 +180,7 @@ "description": "The Web Security Testing Guide is a comprehensive Open Source guide to testing the security of web applications and web services.", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "7,357", + "stars": "7,360", "forks": "1,334", "addStars": "19", "contributors": [ @@ -271,39 +212,39 @@ ] }, { - "title": "linuxserver/docker-wireguard", - "url": "https://github.com/linuxserver/docker-wireguard", - "description": "", + "title": "laradock/laradock", + "url": "https://github.com/laradock/laradock", + "description": "Full PHP development environment for Docker.", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "2,992", - "forks": "370", - "addStars": "18", + "stars": "12,434", + "forks": "4,440", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20182680?s=40&v=4", - "name": "LinuxServer-CI", - "url": "https://github.com/LinuxServer-CI" + "avatar": "https://avatars.githubusercontent.com/u/4023909?s=40&v=4", + "name": "bestlong", + "url": "https://github.com/bestlong" }, { - "avatar": "https://avatars.githubusercontent.com/u/541623?s=40&v=4", - "name": "aptalca", - "url": "https://github.com/aptalca" + "avatar": "https://avatars.githubusercontent.com/u/1983984?s=40&v=4", + "name": "Mahmoudz", + "url": "https://github.com/Mahmoudz" }, { - "avatar": "https://avatars.githubusercontent.com/u/8425502?s=40&v=4", - "name": "thespad", - "url": "https://github.com/thespad" + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" }, { - "avatar": "https://avatars.githubusercontent.com/u/40674481?s=40&v=4", - "name": "drizuid", - "url": "https://github.com/drizuid" + "avatar": "https://avatars.githubusercontent.com/u/756956?s=40&v=4", + "name": "winfried-van-loon", + "url": "https://github.com/winfried-van-loon" }, { - "avatar": "https://avatars.githubusercontent.com/u/1852688?s=40&v=4", - "name": "thelamer", - "url": "https://github.com/thelamer" + "avatar": "https://avatars.githubusercontent.com/u/21979?s=40&v=4", + "name": "appleboy", + "url": "https://github.com/appleboy" } ] }, @@ -339,6 +280,43 @@ } ] }, + { + "title": "dunglas/symfony-docker", + "url": "https://github.com/dunglas/symfony-docker", + "description": "A Docker-based installer and runtime for Symfony. Install: download and `docker compose up`.", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "2,614", + "forks": "779", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/57224?s=40&v=4", + "name": "dunglas", + "url": "https://github.com/dunglas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12966574?s=40&v=4", + "name": "maxhelias", + "url": "https://github.com/maxhelias" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47313?s=40&v=4", + "name": "fabpot", + "url": "https://github.com/fabpot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16259603?s=40&v=4", + "name": "bkosun", + "url": "https://github.com/bkosun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/226021?s=40&v=4", + "name": "thePanz", + "url": "https://github.com/thePanz" + } + ] + }, { "title": "aschmelyun/docker-compose-laravel", "url": "https://github.com/aschmelyun/docker-compose-laravel", @@ -376,70 +354,6 @@ } ] }, - { - "title": "jaywcjlove/reference", - "url": "https://github.com/jaywcjlove/reference", - "description": "为开发人员分享快速参考备忘清单(速查表)", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "12,354", - "forks": "1,890", - "addStars": "51", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1680273?s=40&v=4", - "name": "jaywcjlove", - "url": "https://github.com/jaywcjlove" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82551626?s=40&v=4", - "name": "fwqaaq", - "url": "https://github.com/fwqaaq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40376181?s=40&v=4", - "name": "zhangymPerson", - "url": "https://github.com/zhangymPerson" - } - ] - }, - { - "title": "nodejs/docker-node", - "url": "https://github.com/nodejs/docker-node", - "description": "Official Docker Image for Node.js 🐳 🐢 🚀", - "language": "Dockerfile", - "languageColor": "#384d54", - "stars": "8,273", - "forks": "1,966", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", - "name": "PeterDaveHello", - "url": "https://github.com/PeterDaveHello" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2781?s=40&v=4", - "name": "chorrell", - "url": "https://github.com/chorrell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1297909?s=40&v=4", - "name": "nschonni", - "url": "https://github.com/nschonni" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1404810?s=40&v=4", - "name": "SimenB", - "url": "https://github.com/SimenB" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1090826?s=40&v=4", - "name": "LaurentGoderre", - "url": "https://github.com/LaurentGoderre" - } - ] - }, { "title": "bufbuild/plugins", "url": "https://github.com/bufbuild/plugins", @@ -468,39 +382,29 @@ ] }, { - "title": "redhat-openshift-ecosystem/certified-operators", - "url": "https://github.com/redhat-openshift-ecosystem/certified-operators", - "description": "Production catalog for Red Hat Certified Operator Bundles", + "title": "LinkedInLearning/training-neural-networks-in-python-3215347", + "url": "https://github.com/LinkedInLearning/training-neural-networks-in-python-3215347", + "description": "This is a LinkedIn Learning repo for Training Neural Networks in Python.", "language": "Dockerfile", "languageColor": "#384d54", - "stars": "48", - "forks": "439", + "stars": "38", + "forks": "378", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/88447997?s=40&v=4", - "name": "rh-operator-bundle-bot", - "url": "https://github.com/rh-operator-bundle-bot" + "avatar": "https://avatars.githubusercontent.com/u/1183242?s=40&v=4", + "name": "kuashio", + "url": "https://github.com/kuashio" }, { - "avatar": "https://avatars.githubusercontent.com/u/109753337?s=40&v=4", - "name": "turbodeploy", - "url": "https://github.com/turbodeploy" + "avatar": "https://avatars.githubusercontent.com/u/28540243?s=40&v=4", + "name": "smoser-LiL", + "url": "https://github.com/smoser-LiL" }, { - "avatar": "https://avatars.githubusercontent.com/u/17576476?s=40&v=4", - "name": "jfrancin", - "url": "https://github.com/jfrancin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/84674?s=40&v=4", - "name": "razvan", - "url": "https://github.com/razvan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1712947?s=40&v=4", - "name": "adwk67", - "url": "https://github.com/adwk67" + "avatar": "https://avatars.githubusercontent.com/u/25848438?s=40&v=4", + "name": "LinkedIn-Learning-A1", + "url": "https://github.com/LinkedIn-Learning-A1" } ] }, @@ -540,6 +444,92 @@ "url": "https://github.com/stepankuzmin" } ] + }, + { + "title": "sou6av/deploy-raganork", + "url": "https://github.com/sou6av/deploy-raganork", + "description": "", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "29", + "forks": "5,444", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/88765751?s=40&v=4", + "name": "sou6av", + "url": "https://github.com/sou6av" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/87891508?s=40&v=4", + "name": "souravkl11", + "url": "https://github.com/souravkl11" + } + ] + }, + { + "title": "jaywcjlove/reference", + "url": "https://github.com/jaywcjlove/reference", + "description": "为开发人员分享快速参考备忘清单(速查表)", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "12,355", + "forks": "1,890", + "addStars": "51", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1680273?s=40&v=4", + "name": "jaywcjlove", + "url": "https://github.com/jaywcjlove" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82551626?s=40&v=4", + "name": "fwqaaq", + "url": "https://github.com/fwqaaq" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40376181?s=40&v=4", + "name": "zhangymPerson", + "url": "https://github.com/zhangymPerson" + } + ] + }, + { + "title": "nodejs/docker-node", + "url": "https://github.com/nodejs/docker-node", + "description": "Official Docker Image for Node.js 🐳 🐢 🚀", + "language": "Dockerfile", + "languageColor": "#384d54", + "stars": "8,273", + "forks": "1,966", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", + "name": "PeterDaveHello", + "url": "https://github.com/PeterDaveHello" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2781?s=40&v=4", + "name": "chorrell", + "url": "https://github.com/chorrell" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1297909?s=40&v=4", + "name": "nschonni", + "url": "https://github.com/nschonni" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1404810?s=40&v=4", + "name": "SimenB", + "url": "https://github.com/SimenB" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1090826?s=40&v=4", + "name": "LaurentGoderre", + "url": "https://github.com/LaurentGoderre" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/dockerfile.xml b/data/weekly/dockerfile.xml index d837903787d9..341fdbd4c17b 100644 --- a/data/weekly/dockerfile.xml +++ b/data/weekly/dockerfile.xml @@ -3,7 +3,7 @@ GitHub Dockerfile Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dockerfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT autowarefoundation/autoware https://github.com/autowarefoundation/autoware @@ -33,40 +33,40 @@ - linuxserver/docker-qbittorrent - https://github.com/linuxserver/docker-qbittorrent - - https://github.com/linuxserver/docker-qbittorrent + dotnet/dotnet-docker + https://github.com/dotnet/dotnet-docker + Docker images for .NET and the .NET Tools. + https://github.com/dotnet/dotnet-docker Dockerfile #384d54 - 1,057 - 155 - 15 + 4,498 + 1,935 + 8 - https://avatars.githubusercontent.com/u/20182680?s=40&v=4 - LinuxServer-CI - https://github.com/LinuxServer-CI + https://avatars.githubusercontent.com/u/15789599?s=40&v=4 + mthalman + https://github.com/mthalman - https://avatars.githubusercontent.com/u/8425502?s=40&v=4 - thespad - https://github.com/thespad + https://avatars.githubusercontent.com/u/8290530?s=40&v=4 + MichaelSimons + https://github.com/MichaelSimons - https://avatars.githubusercontent.com/u/4343808?s=40&v=4 - sparklyballs - https://github.com/sparklyballs + https://avatars.githubusercontent.com/u/36081148?s=40&v=4 + lbussell + https://github.com/lbussell - https://avatars.githubusercontent.com/u/541623?s=40&v=4 - aptalca - https://github.com/aptalca + https://avatars.githubusercontent.com/u/60522487?s=40&v=4 + dotnet-docker-bot + https://github.com/dotnet-docker-bot - https://avatars.githubusercontent.com/u/1852688?s=40&v=4 - thelamer - https://github.com/thelamer + https://avatars.githubusercontent.com/u/2608468?s=40&v=4 + richlander + https://github.com/richlander @@ -77,7 +77,7 @@ https://github.com/vulhub/vulhub Dockerfile #384d54 - 17,856 + 17,862 4,485 43 @@ -108,67 +108,6 @@ - - dotnet/dotnet-docker - https://github.com/dotnet/dotnet-docker - Docker images for .NET and the .NET Tools. - https://github.com/dotnet/dotnet-docker - Dockerfile - #384d54 - 4,498 - 1,935 - 8 - - - https://avatars.githubusercontent.com/u/15789599?s=40&v=4 - mthalman - https://github.com/mthalman - - - https://avatars.githubusercontent.com/u/8290530?s=40&v=4 - MichaelSimons - https://github.com/MichaelSimons - - - https://avatars.githubusercontent.com/u/36081148?s=40&v=4 - lbussell - https://github.com/lbussell - - - https://avatars.githubusercontent.com/u/60522487?s=40&v=4 - dotnet-docker-bot - https://github.com/dotnet-docker-bot - - - https://avatars.githubusercontent.com/u/2608468?s=40&v=4 - richlander - https://github.com/richlander - - - - - medusajs/docker-medusa - https://github.com/medusajs/docker-medusa - This repo is managed by the Medusa Community. Medusa does not provide official support for Docker, but we will accept fixes and documentation. Use at your own risk. - https://github.com/medusajs/docker-medusa - Dockerfile - #384d54 - 178 - 86 - 3 - - - https://avatars.githubusercontent.com/u/59018053?s=40&v=4 - olivermrbl - https://github.com/olivermrbl - - - https://avatars.githubusercontent.com/u/7554214?s=40&v=4 - srindom - https://github.com/srindom - - - Anduin2017/HowToCook https://github.com/Anduin2017/HowToCook @@ -176,8 +115,8 @@ https://github.com/Anduin2017/HowToCook Dockerfile #384d54 - 67,590 - 8,749 + 67,605 + 8,748 138 @@ -203,40 +142,40 @@ - microsoft/vscode-remote-release - https://github.com/microsoft/vscode-remote-release - Visual Studio Code Remote Development: Open any folder in WSL, in a Docker container, or on a remote machine using SSH and take advantage of VS Code's full feature set. - https://github.com/microsoft/vscode-remote-release + linuxserver/docker-qbittorrent + https://github.com/linuxserver/docker-qbittorrent + + https://github.com/linuxserver/docker-qbittorrent Dockerfile #384d54 - 3,682 - 296 - 11 + 1,057 + 155 + 15 - https://avatars.githubusercontent.com/u/26030610?s=40&v=4 - eleanorjboyd - https://github.com/eleanorjboyd + https://avatars.githubusercontent.com/u/20182680?s=40&v=4 + LinuxServer-CI + https://github.com/LinuxServer-CI - https://avatars.githubusercontent.com/u/312252?s=40&v=4 - Chuxel - https://github.com/Chuxel + https://avatars.githubusercontent.com/u/8425502?s=40&v=4 + thespad + https://github.com/thespad - https://avatars.githubusercontent.com/u/25044782?s=40&v=4 - bhavyaus - https://github.com/bhavyaus + https://avatars.githubusercontent.com/u/4343808?s=40&v=4 + sparklyballs + https://github.com/sparklyballs - https://avatars.githubusercontent.com/u/25310137?s=40&v=4 - bamurtaugh - https://github.com/bamurtaugh + https://avatars.githubusercontent.com/u/541623?s=40&v=4 + aptalca + https://github.com/aptalca - https://avatars.githubusercontent.com/u/8586769?s=40&v=4 - JacksonKearl - https://github.com/JacksonKearl + https://avatars.githubusercontent.com/u/1852688?s=40&v=4 + thelamer + https://github.com/thelamer @@ -247,7 +186,7 @@ https://github.com/OWASP/wstg Dockerfile #384d54 - 7,357 + 7,360 1,334 19 @@ -279,40 +218,40 @@ - linuxserver/docker-wireguard - https://github.com/linuxserver/docker-wireguard - - https://github.com/linuxserver/docker-wireguard + laradock/laradock + https://github.com/laradock/laradock + Full PHP development environment for Docker. + https://github.com/laradock/laradock Dockerfile #384d54 - 2,992 - 370 - 18 + 12,434 + 4,440 + 9 - https://avatars.githubusercontent.com/u/20182680?s=40&v=4 - LinuxServer-CI - https://github.com/LinuxServer-CI + https://avatars.githubusercontent.com/u/4023909?s=40&v=4 + bestlong + https://github.com/bestlong - https://avatars.githubusercontent.com/u/541623?s=40&v=4 - aptalca - https://github.com/aptalca + https://avatars.githubusercontent.com/u/1983984?s=40&v=4 + Mahmoudz + https://github.com/Mahmoudz - https://avatars.githubusercontent.com/u/8425502?s=40&v=4 - thespad - https://github.com/thespad + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot - https://avatars.githubusercontent.com/u/40674481?s=40&v=4 - drizuid - https://github.com/drizuid + https://avatars.githubusercontent.com/u/756956?s=40&v=4 + winfried-van-loon + https://github.com/winfried-van-loon - https://avatars.githubusercontent.com/u/1852688?s=40&v=4 - thelamer - https://github.com/thelamer + https://avatars.githubusercontent.com/u/21979?s=40&v=4 + appleboy + https://github.com/appleboy @@ -349,6 +288,44 @@ + + dunglas/symfony-docker + https://github.com/dunglas/symfony-docker + A Docker-based installer and runtime for Symfony. Install: download and `docker compose up`. + https://github.com/dunglas/symfony-docker + Dockerfile + #384d54 + 2,614 + 779 + 10 + + + https://avatars.githubusercontent.com/u/57224?s=40&v=4 + dunglas + https://github.com/dunglas + + + https://avatars.githubusercontent.com/u/12966574?s=40&v=4 + maxhelias + https://github.com/maxhelias + + + https://avatars.githubusercontent.com/u/47313?s=40&v=4 + fabpot + https://github.com/fabpot + + + https://avatars.githubusercontent.com/u/16259603?s=40&v=4 + bkosun + https://github.com/bkosun + + + https://avatars.githubusercontent.com/u/226021?s=40&v=4 + thePanz + https://github.com/thePanz + + + aschmelyun/docker-compose-laravel https://github.com/aschmelyun/docker-compose-laravel @@ -387,72 +364,6 @@ - - jaywcjlove/reference - https://github.com/jaywcjlove/reference - 为开发人员分享快速参考备忘清单(速查表) - https://github.com/jaywcjlove/reference - Dockerfile - #384d54 - 12,354 - 1,890 - 51 - - - https://avatars.githubusercontent.com/u/1680273?s=40&v=4 - jaywcjlove - https://github.com/jaywcjlove - - - https://avatars.githubusercontent.com/u/82551626?s=40&v=4 - fwqaaq - https://github.com/fwqaaq - - - https://avatars.githubusercontent.com/u/40376181?s=40&v=4 - zhangymPerson - https://github.com/zhangymPerson - - - - - nodejs/docker-node - https://github.com/nodejs/docker-node - Official Docker Image for Node.js 🐳 🐢 🚀 - https://github.com/nodejs/docker-node - Dockerfile - #384d54 - 8,273 - 1,966 - 5 - - - https://avatars.githubusercontent.com/u/3691490?s=40&v=4 - PeterDaveHello - https://github.com/PeterDaveHello - - - https://avatars.githubusercontent.com/u/2781?s=40&v=4 - chorrell - https://github.com/chorrell - - - https://avatars.githubusercontent.com/u/1297909?s=40&v=4 - nschonni - https://github.com/nschonni - - - https://avatars.githubusercontent.com/u/1404810?s=40&v=4 - SimenB - https://github.com/SimenB - - - https://avatars.githubusercontent.com/u/1090826?s=40&v=4 - LaurentGoderre - https://github.com/LaurentGoderre - - - bufbuild/plugins https://github.com/bufbuild/plugins @@ -482,40 +393,30 @@ - redhat-openshift-ecosystem/certified-operators - https://github.com/redhat-openshift-ecosystem/certified-operators - Production catalog for Red Hat Certified Operator Bundles - https://github.com/redhat-openshift-ecosystem/certified-operators + LinkedInLearning/training-neural-networks-in-python-3215347 + https://github.com/LinkedInLearning/training-neural-networks-in-python-3215347 + This is a LinkedIn Learning repo for Training Neural Networks in Python. + https://github.com/LinkedInLearning/training-neural-networks-in-python-3215347 Dockerfile #384d54 - 48 - 439 + 38 + 378 0 - https://avatars.githubusercontent.com/u/88447997?s=40&v=4 - rh-operator-bundle-bot - https://github.com/rh-operator-bundle-bot + https://avatars.githubusercontent.com/u/1183242?s=40&v=4 + kuashio + https://github.com/kuashio - https://avatars.githubusercontent.com/u/109753337?s=40&v=4 - turbodeploy - https://github.com/turbodeploy + https://avatars.githubusercontent.com/u/28540243?s=40&v=4 + smoser-LiL + https://github.com/smoser-LiL - https://avatars.githubusercontent.com/u/17576476?s=40&v=4 - jfrancin - https://github.com/jfrancin - - - https://avatars.githubusercontent.com/u/84674?s=40&v=4 - razvan - https://github.com/razvan - - - https://avatars.githubusercontent.com/u/1712947?s=40&v=4 - adwk67 - https://github.com/adwk67 + https://avatars.githubusercontent.com/u/25848438?s=40&v=4 + LinkedIn-Learning-A1 + https://github.com/LinkedIn-Learning-A1 @@ -557,5 +458,94 @@ + + sou6av/deploy-raganork + https://github.com/sou6av/deploy-raganork + + https://github.com/sou6av/deploy-raganork + Dockerfile + #384d54 + 29 + 5,444 + 0 + + + https://avatars.githubusercontent.com/u/88765751?s=40&v=4 + sou6av + https://github.com/sou6av + + + https://avatars.githubusercontent.com/u/87891508?s=40&v=4 + souravkl11 + https://github.com/souravkl11 + + + + + jaywcjlove/reference + https://github.com/jaywcjlove/reference + 为开发人员分享快速参考备忘清单(速查表) + https://github.com/jaywcjlove/reference + Dockerfile + #384d54 + 12,355 + 1,890 + 51 + + + https://avatars.githubusercontent.com/u/1680273?s=40&v=4 + jaywcjlove + https://github.com/jaywcjlove + + + https://avatars.githubusercontent.com/u/82551626?s=40&v=4 + fwqaaq + https://github.com/fwqaaq + + + https://avatars.githubusercontent.com/u/40376181?s=40&v=4 + zhangymPerson + https://github.com/zhangymPerson + + + + + nodejs/docker-node + https://github.com/nodejs/docker-node + Official Docker Image for Node.js 🐳 🐢 🚀 + https://github.com/nodejs/docker-node + Dockerfile + #384d54 + 8,273 + 1,966 + 5 + + + https://avatars.githubusercontent.com/u/3691490?s=40&v=4 + PeterDaveHello + https://github.com/PeterDaveHello + + + https://avatars.githubusercontent.com/u/2781?s=40&v=4 + chorrell + https://github.com/chorrell + + + https://avatars.githubusercontent.com/u/1297909?s=40&v=4 + nschonni + https://github.com/nschonni + + + https://avatars.githubusercontent.com/u/1404810?s=40&v=4 + SimenB + https://github.com/SimenB + + + https://avatars.githubusercontent.com/u/1090826?s=40&v=4 + LaurentGoderre + https://github.com/LaurentGoderre + + + \ No newline at end of file diff --git a/data/weekly/dogescript.json b/data/weekly/dogescript.json index 38a59040031f..1dc2ddc9228c 100644 --- a/data/weekly/dogescript.json +++ b/data/weekly/dogescript.json @@ -2,6 +2,6 @@ "title": "GitHub Dogescript Languages Weekly Trending", "description": "Weekly Trending of Dogescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dogescript.xml b/data/weekly/dogescript.xml index 29f8c1d64c93..29552d6d5e3d 100644 --- a/data/weekly/dogescript.xml +++ b/data/weekly/dogescript.xml @@ -3,6 +3,6 @@ GitHub Dogescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dogescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dotenv.json b/data/weekly/dotenv.json index 5ee5577d8060..7e1404f2d75e 100644 --- a/data/weekly/dotenv.json +++ b/data/weekly/dotenv.json @@ -2,6 +2,6 @@ "title": "GitHub Dotenv Languages Weekly Trending", "description": "Weekly Trending of Dotenv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dotenv.xml b/data/weekly/dotenv.xml index 90032dd26f3d..3d291efea371 100644 --- a/data/weekly/dotenv.xml +++ b/data/weekly/dotenv.xml @@ -3,6 +3,6 @@ GitHub Dotenv Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dotenv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dtrace.json b/data/weekly/dtrace.json index e8748dfb9293..db538b894f0e 100644 --- a/data/weekly/dtrace.json +++ b/data/weekly/dtrace.json @@ -2,6 +2,6 @@ "title": "GitHub Dtrace Languages Weekly Trending", "description": "Weekly Trending of Dtrace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dtrace.xml b/data/weekly/dtrace.xml index b90264ca8de7..56956652e3e5 100644 --- a/data/weekly/dtrace.xml +++ b/data/weekly/dtrace.xml @@ -3,6 +3,6 @@ GitHub Dtrace Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dtrace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/dylan.json b/data/weekly/dylan.json index 61f546561ad7..2c1241254699 100644 --- a/data/weekly/dylan.json +++ b/data/weekly/dylan.json @@ -2,6 +2,6 @@ "title": "GitHub Dylan Languages Weekly Trending", "description": "Weekly Trending of Dylan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/dylan.xml b/data/weekly/dylan.xml index 5dc6a2f40da7..7ca99211f58f 100644 --- a/data/weekly/dylan.xml +++ b/data/weekly/dylan.xml @@ -3,6 +3,6 @@ GitHub Dylan Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Dylan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/e-mail.json b/data/weekly/e-mail.json index c7e9563488c6..932f91bb50b4 100644 --- a/data/weekly/e-mail.json +++ b/data/weekly/e-mail.json @@ -2,6 +2,6 @@ "title": "GitHub E-mail Languages Weekly Trending", "description": "Weekly Trending of E-mail Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/e-mail.xml b/data/weekly/e-mail.xml index a3e63cbb9449..d0c85ed0880b 100644 --- a/data/weekly/e-mail.xml +++ b/data/weekly/e-mail.xml @@ -3,6 +3,6 @@ GitHub E-mail Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of E-mail Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/e.json b/data/weekly/e.json index 09ef4c3a5525..9ab4e288c5e6 100644 --- a/data/weekly/e.json +++ b/data/weekly/e.json @@ -2,6 +2,6 @@ "title": "GitHub E Languages Weekly Trending", "description": "Weekly Trending of E Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/e.xml b/data/weekly/e.xml index 3ec3764ec55b..2d1367888327 100644 --- a/data/weekly/e.xml +++ b/data/weekly/e.xml @@ -3,6 +3,6 @@ GitHub E Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of E Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/eagle.json b/data/weekly/eagle.json index 1f8e6bc249e6..a0b62e53b687 100644 --- a/data/weekly/eagle.json +++ b/data/weekly/eagle.json @@ -2,6 +2,6 @@ "title": "GitHub Eagle Languages Weekly Trending", "description": "Weekly Trending of Eagle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/eagle.xml b/data/weekly/eagle.xml index 8aa98ffa54e1..9a03d60df723 100644 --- a/data/weekly/eagle.xml +++ b/data/weekly/eagle.xml @@ -3,6 +3,6 @@ GitHub Eagle Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Eagle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/earthly.json b/data/weekly/earthly.json index 09621b1c3b54..e17dc4d11390 100644 --- a/data/weekly/earthly.json +++ b/data/weekly/earthly.json @@ -2,6 +2,6 @@ "title": "GitHub Earthly Languages Weekly Trending", "description": "Weekly Trending of Earthly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/earthly.xml b/data/weekly/earthly.xml index 2b748b67b2d7..26164af41944 100644 --- a/data/weekly/earthly.xml +++ b/data/weekly/earthly.xml @@ -3,6 +3,6 @@ GitHub Earthly Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Earthly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/easybuild.json b/data/weekly/easybuild.json index 6f79adb4ca4b..6186110a5a0b 100644 --- a/data/weekly/easybuild.json +++ b/data/weekly/easybuild.json @@ -2,6 +2,6 @@ "title": "GitHub Easybuild Languages Weekly Trending", "description": "Weekly Trending of Easybuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/easybuild.xml b/data/weekly/easybuild.xml index 2fdf0050cc23..b8b2beb842be 100644 --- a/data/weekly/easybuild.xml +++ b/data/weekly/easybuild.xml @@ -3,6 +3,6 @@ GitHub Easybuild Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Easybuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ebnf.json b/data/weekly/ebnf.json index d1a36b48f374..7e5d24d32238 100644 --- a/data/weekly/ebnf.json +++ b/data/weekly/ebnf.json @@ -2,6 +2,6 @@ "title": "GitHub Ebnf Languages Weekly Trending", "description": "Weekly Trending of Ebnf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ebnf.xml b/data/weekly/ebnf.xml index 10e5d2c5ddf5..367c9b2f70b7 100644 --- a/data/weekly/ebnf.xml +++ b/data/weekly/ebnf.xml @@ -3,6 +3,6 @@ GitHub Ebnf Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ebnf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ec.json b/data/weekly/ec.json index b917dbb73b7a..efbead8984b1 100644 --- a/data/weekly/ec.json +++ b/data/weekly/ec.json @@ -2,6 +2,6 @@ "title": "GitHub Ec Languages Weekly Trending", "description": "Weekly Trending of Ec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ec.xml b/data/weekly/ec.xml index debc57dff383..1101cfc6437a 100644 --- a/data/weekly/ec.xml +++ b/data/weekly/ec.xml @@ -3,6 +3,6 @@ GitHub Ec Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ecere-projects.json b/data/weekly/ecere-projects.json index 6fef30dae3b1..3d8f955b7b31 100644 --- a/data/weekly/ecere-projects.json +++ b/data/weekly/ecere-projects.json @@ -2,6 +2,6 @@ "title": "GitHub Ecere-projects Languages Weekly Trending", "description": "Weekly Trending of Ecere-projects Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ecere-projects.xml b/data/weekly/ecere-projects.xml index ef5b128d015f..9c08fdf29b54 100644 --- a/data/weekly/ecere-projects.xml +++ b/data/weekly/ecere-projects.xml @@ -3,6 +3,6 @@ GitHub Ecere-projects Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ecere-projects Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ecl.json b/data/weekly/ecl.json index 79a54e3cf0ce..59106a30fc87 100644 --- a/data/weekly/ecl.json +++ b/data/weekly/ecl.json @@ -2,6 +2,6 @@ "title": "GitHub Ecl Languages Weekly Trending", "description": "Weekly Trending of Ecl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ecl.xml b/data/weekly/ecl.xml index 899498c0d787..c2e52db22ece 100644 --- a/data/weekly/ecl.xml +++ b/data/weekly/ecl.xml @@ -3,6 +3,6 @@ GitHub Ecl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ecl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/eclipse.json b/data/weekly/eclipse.json index 96c61e9af2e4..23ee8906ef3d 100644 --- a/data/weekly/eclipse.json +++ b/data/weekly/eclipse.json @@ -2,6 +2,6 @@ "title": "GitHub Eclipse Languages Weekly Trending", "description": "Weekly Trending of Eclipse Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/eclipse.xml b/data/weekly/eclipse.xml index 24b0aa4b972a..9a0ac7677c2f 100644 --- a/data/weekly/eclipse.xml +++ b/data/weekly/eclipse.xml @@ -3,6 +3,6 @@ GitHub Eclipse Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Eclipse Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ecmarkup.json b/data/weekly/ecmarkup.json index c6051134f6df..266821380b04 100644 --- a/data/weekly/ecmarkup.json +++ b/data/weekly/ecmarkup.json @@ -2,6 +2,6 @@ "title": "GitHub Ecmarkup Languages Weekly Trending", "description": "Weekly Trending of Ecmarkup Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ecmarkup.xml b/data/weekly/ecmarkup.xml index b2fb981fa0d7..f1ac646cb7f9 100644 --- a/data/weekly/ecmarkup.xml +++ b/data/weekly/ecmarkup.xml @@ -3,6 +3,6 @@ GitHub Ecmarkup Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ecmarkup Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/edge.json b/data/weekly/edge.json index 4ed464c21f62..e100ed5574d0 100644 --- a/data/weekly/edge.json +++ b/data/weekly/edge.json @@ -2,6 +2,6 @@ "title": "GitHub Edge Languages Weekly Trending", "description": "Weekly Trending of Edge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/edge.xml b/data/weekly/edge.xml index d935f02ed88d..afe9a5daf5cb 100644 --- a/data/weekly/edge.xml +++ b/data/weekly/edge.xml @@ -3,6 +3,6 @@ GitHub Edge Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Edge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/edgeql.json b/data/weekly/edgeql.json index 64babc28baf9..d5f010796926 100644 --- a/data/weekly/edgeql.json +++ b/data/weekly/edgeql.json @@ -2,6 +2,6 @@ "title": "GitHub Edgeql Languages Weekly Trending", "description": "Weekly Trending of Edgeql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/edgeql.xml b/data/weekly/edgeql.xml index d5df24bcfdc5..e0bed5bd0b7c 100644 --- a/data/weekly/edgeql.xml +++ b/data/weekly/edgeql.xml @@ -3,6 +3,6 @@ GitHub Edgeql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Edgeql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/editorconfig.json b/data/weekly/editorconfig.json index 197f020f9f75..4e18e5df382a 100644 --- a/data/weekly/editorconfig.json +++ b/data/weekly/editorconfig.json @@ -2,6 +2,6 @@ "title": "GitHub Editorconfig Languages Weekly Trending", "description": "Weekly Trending of Editorconfig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/editorconfig.xml b/data/weekly/editorconfig.xml index ec92eaa00baa..b05673b2a3ce 100644 --- a/data/weekly/editorconfig.xml +++ b/data/weekly/editorconfig.xml @@ -3,6 +3,6 @@ GitHub Editorconfig Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Editorconfig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/edje-data-collection.json b/data/weekly/edje-data-collection.json index e45dfc047a42..3390a0decb8f 100644 --- a/data/weekly/edje-data-collection.json +++ b/data/weekly/edje-data-collection.json @@ -2,6 +2,6 @@ "title": "GitHub Edje-data-collection Languages Weekly Trending", "description": "Weekly Trending of Edje-data-collection Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/edje-data-collection.xml b/data/weekly/edje-data-collection.xml index 30f118d648bd..19b7e1f01a03 100644 --- a/data/weekly/edje-data-collection.xml +++ b/data/weekly/edje-data-collection.xml @@ -3,6 +3,6 @@ GitHub Edje-data-collection Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Edje-data-collection Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/edn.json b/data/weekly/edn.json index d00ef0120822..d5d060102c3f 100644 --- a/data/weekly/edn.json +++ b/data/weekly/edn.json @@ -2,6 +2,6 @@ "title": "GitHub Edn Languages Weekly Trending", "description": "Weekly Trending of Edn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/edn.xml b/data/weekly/edn.xml index b3ca53b7e04d..b4a43539717e 100644 --- a/data/weekly/edn.xml +++ b/data/weekly/edn.xml @@ -3,6 +3,6 @@ GitHub Edn Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Edn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/eiffel.json b/data/weekly/eiffel.json index aef7d7516069..de062161dae3 100644 --- a/data/weekly/eiffel.json +++ b/data/weekly/eiffel.json @@ -2,6 +2,6 @@ "title": "GitHub Eiffel Languages Weekly Trending", "description": "Weekly Trending of Eiffel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/eiffel.xml b/data/weekly/eiffel.xml index 8b93892c267f..94d2abe3f243 100644 --- a/data/weekly/eiffel.xml +++ b/data/weekly/eiffel.xml @@ -3,6 +3,6 @@ GitHub Eiffel Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Eiffel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ejs.json b/data/weekly/ejs.json index 5558a37feac8..579f959b32c5 100644 --- a/data/weekly/ejs.json +++ b/data/weekly/ejs.json @@ -2,7 +2,7 @@ "title": "GitHub Ejs Languages Weekly Trending", "description": "Weekly Trending of Ejs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Ovi/DummyJSON", @@ -41,6 +41,38 @@ } ] }, + { + "title": "github/haikus-for-codespaces", + "url": "https://github.com/github/haikus-for-codespaces", + "description": "", + "language": "EJS", + "languageColor": "#a91e50", + "stars": "1,903", + "forks": "3,340", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9445180?s=40&v=4", + "name": "craiglpeters", + "url": "https://github.com/craiglpeters" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19893438?s=40&v=4", + "name": "jungaretti", + "url": "https://github.com/jungaretti" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17835681?s=40&v=4", + "name": "adnamalin", + "url": "https://github.com/adnamalin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13760?s=40&v=4", + "name": "joshaber", + "url": "https://github.com/joshaber" + } + ] + }, { "title": "docker/multi-container-app", "url": "https://github.com/docker/multi-container-app", @@ -78,38 +110,6 @@ } ] }, - { - "title": "github/haikus-for-codespaces", - "url": "https://github.com/github/haikus-for-codespaces", - "description": "", - "language": "EJS", - "languageColor": "#a91e50", - "stars": "1,903", - "forks": "3,340", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9445180?s=40&v=4", - "name": "craiglpeters", - "url": "https://github.com/craiglpeters" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19893438?s=40&v=4", - "name": "jungaretti", - "url": "https://github.com/jungaretti" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17835681?s=40&v=4", - "name": "adnamalin", - "url": "https://github.com/adnamalin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13760?s=40&v=4", - "name": "joshaber", - "url": "https://github.com/joshaber" - } - ] - }, { "title": "keikaavousi/fake-store-api", "url": "https://github.com/keikaavousi/fake-store-api", @@ -154,7 +154,7 @@ "language": "EJS", "languageColor": "#a91e50", "stars": "196", - "forks": "4,540", + "forks": "4,541", "addStars": "2", "contributors": [ { diff --git a/data/weekly/ejs.xml b/data/weekly/ejs.xml index ca4cd67782dc..f273d3c7e801 100644 --- a/data/weekly/ejs.xml +++ b/data/weekly/ejs.xml @@ -3,7 +3,7 @@ GitHub Ejs Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ejs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Ovi/DummyJSON https://github.com/Ovi/DummyJSON @@ -42,6 +42,39 @@ + + github/haikus-for-codespaces + https://github.com/github/haikus-for-codespaces + + https://github.com/github/haikus-for-codespaces + EJS + #a91e50 + 1,903 + 3,340 + 5 + + + https://avatars.githubusercontent.com/u/9445180?s=40&v=4 + craiglpeters + https://github.com/craiglpeters + + + https://avatars.githubusercontent.com/u/19893438?s=40&v=4 + jungaretti + https://github.com/jungaretti + + + https://avatars.githubusercontent.com/u/17835681?s=40&v=4 + adnamalin + https://github.com/adnamalin + + + https://avatars.githubusercontent.com/u/13760?s=40&v=4 + joshaber + https://github.com/joshaber + + + docker/multi-container-app https://github.com/docker/multi-container-app @@ -80,39 +113,6 @@ - - github/haikus-for-codespaces - https://github.com/github/haikus-for-codespaces - - https://github.com/github/haikus-for-codespaces - EJS - #a91e50 - 1,903 - 3,340 - 5 - - - https://avatars.githubusercontent.com/u/9445180?s=40&v=4 - craiglpeters - https://github.com/craiglpeters - - - https://avatars.githubusercontent.com/u/19893438?s=40&v=4 - jungaretti - https://github.com/jungaretti - - - https://avatars.githubusercontent.com/u/17835681?s=40&v=4 - adnamalin - https://github.com/adnamalin - - - https://avatars.githubusercontent.com/u/13760?s=40&v=4 - joshaber - https://github.com/joshaber - - - keikaavousi/fake-store-api https://github.com/keikaavousi/fake-store-api @@ -159,7 +159,7 @@ EJS #a91e50 196 - 4,540 + 4,541 2 diff --git a/data/weekly/elixir.json b/data/weekly/elixir.json index 5809825f94cc..0314fd8b964d 100644 --- a/data/weekly/elixir.json +++ b/data/weekly/elixir.json @@ -2,7 +2,7 @@ "title": "GitHub Elixir Languages Weekly Trending", "description": "Weekly Trending of Elixir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "supabase/realtime", @@ -10,7 +10,7 @@ "description": "Broadcast, Presence, and Postgres Changes via WebSockets", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "6,825", + "stars": "6,824", "forks": "315", "addStars": "17", "contributors": [ @@ -110,6 +110,38 @@ } ] }, + { + "title": "blockscout/blockscout", + "url": "https://github.com/blockscout/blockscout", + "description": "Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains.", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "3,645", + "forks": "2,431", + "addStars": "23", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4341812?s=40&v=4", + "name": "vbaranov", + "url": "https://github.com/vbaranov" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6567687?s=40&v=4", + "name": "ayrat555", + "url": "https://github.com/ayrat555" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/298259?s=40&v=4", + "name": "KronicDeth", + "url": "https://github.com/KronicDeth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32202610?s=40&v=4", + "name": "nikitosing", + "url": "https://github.com/nikitosing" + } + ] + }, { "title": "open-telemetry/opentelemetry-erlang-contrib", "url": "https://github.com/open-telemetry/opentelemetry-erlang-contrib", @@ -169,75 +201,6 @@ } ] }, - { - "title": "bluzky/salad_ui", - "url": "https://github.com/bluzky/salad_ui", - "description": "Phoenix Liveview component library inspired by shadcn UI", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "575", - "forks": "34", - "addStars": "30", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6194779?s=40&v=4", - "name": "bluzky", - "url": "https://github.com/bluzky" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3633772?s=40&v=4", - "name": "kamaroly", - "url": "https://github.com/kamaroly" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/136561822?s=40&v=4", - "name": "kamaro-amitruck", - "url": "https://github.com/kamaro-amitruck" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/157198464?s=40&v=4", - "name": "selenil", - "url": "https://github.com/selenil" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2451508?s=40&v=4", - "name": "feng19", - "url": "https://github.com/feng19" - } - ] - }, - { - "title": "blockscout/blockscout", - "url": "https://github.com/blockscout/blockscout", - "description": "Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains.", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "3,645", - "forks": "2,431", - "addStars": "23", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4341812?s=40&v=4", - "name": "vbaranov", - "url": "https://github.com/vbaranov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6567687?s=40&v=4", - "name": "ayrat555", - "url": "https://github.com/ayrat555" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/298259?s=40&v=4", - "name": "KronicDeth", - "url": "https://github.com/KronicDeth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32202610?s=40&v=4", - "name": "nikitosing", - "url": "https://github.com/nikitosing" - } - ] - }, { "title": "pentacent/keila", "url": "https://github.com/pentacent/keila", @@ -275,6 +238,43 @@ } ] }, + { + "title": "bluzky/salad_ui", + "url": "https://github.com/bluzky/salad_ui", + "description": "Phoenix Liveview component library inspired by shadcn UI", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "577", + "forks": "34", + "addStars": "30", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6194779?s=40&v=4", + "name": "bluzky", + "url": "https://github.com/bluzky" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3633772?s=40&v=4", + "name": "kamaroly", + "url": "https://github.com/kamaroly" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/136561822?s=40&v=4", + "name": "kamaro-amitruck", + "url": "https://github.com/kamaro-amitruck" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/157198464?s=40&v=4", + "name": "selenil", + "url": "https://github.com/selenil" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2451508?s=40&v=4", + "name": "feng19", + "url": "https://github.com/feng19" + } + ] + }, { "title": "elixir-ecto/ecto", "url": "https://github.com/elixir-ecto/ecto", @@ -318,7 +318,7 @@ "description": "Sync little subsets of your Postgres data into local apps and services.", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "6,475", + "stars": "6,477", "forks": "156", "addStars": "20", "contributors": [ @@ -387,29 +387,39 @@ ] }, { - "title": "oban-bg/oban", - "url": "https://github.com/oban-bg/oban", - "description": "💎 Robust job processing in Elixir, backed by modern PostgreSQL and SQLite3", + "title": "elixir-lang/elixir", + "url": "https://github.com/elixir-lang/elixir", + "description": "Elixir is a dynamic, functional language for building scalable and maintainable applications", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "3,368", - "forks": "313", - "addStars": "13", + "stars": "24,570", + "forks": "3,379", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/270831?s=40&v=4", - "name": "sorentwo", - "url": "https://github.com/sorentwo" + "avatar": "https://avatars.githubusercontent.com/u/9582?s=40&v=4", + "name": "josevalim", + "url": "https://github.com/josevalim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9133420?s=40&v=4", + "name": "eksperimental", + "url": "https://github.com/eksperimental" }, { - "avatar": "https://avatars.githubusercontent.com/u/34700?s=40&v=4", - "name": "milmazz", - "url": "https://github.com/milmazz" + "avatar": "https://avatars.githubusercontent.com/u/248290?s=40&v=4", + "name": "lexmag", + "url": "https://github.com/lexmag" }, { - "avatar": "https://avatars.githubusercontent.com/u/1370047?s=40&v=4", - "name": "smaximov", - "url": "https://github.com/smaximov" + "avatar": "https://avatars.githubusercontent.com/u/3890250?s=40&v=4", + "name": "whatyouhide", + "url": "https://github.com/whatyouhide" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/316890?s=40&v=4", + "name": "ericmj", + "url": "https://github.com/ericmj" } ] }, @@ -445,43 +455,6 @@ } ] }, - { - "title": "plausible/analytics", - "url": "https://github.com/plausible/analytics", - "description": "Simple, open source, lightweight (< 1 KB) and privacy-friendly web analytics alternative to Google Analytics.", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "20,531", - "forks": "1,095", - "addStars": "74", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3731516?s=40&v=4", - "name": "ukutaht", - "url": "https://github.com/ukutaht" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/173738?s=40&v=4", - "name": "aerosol", - "url": "https://github.com/aerosol" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/588351?s=40&v=4", - "name": "zoldar", - "url": "https://github.com/zoldar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/56999674?s=40&v=4", - "name": "RobertJoonas", - "url": "https://github.com/RobertJoonas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5093045?s=40&v=4", - "name": "vinibrsl", - "url": "https://github.com/vinibrsl" - } - ] - }, { "title": "commanded/eventstore", "url": "https://github.com/commanded/eventstore", @@ -519,46 +492,14 @@ } ] }, - { - "title": "naymspace/backpex", - "url": "https://github.com/naymspace/backpex", - "description": "Backpex is a highly customizable administration panel for Phoenix LiveView applications.", - "language": "Elixir", - "languageColor": "#6e4a7e", - "stars": "564", - "forks": "36", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/60519307?s=40&v=4", - "name": "Flo0807", - "url": "https://github.com/Flo0807" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7387295?s=40&v=4", - "name": "krns", - "url": "https://github.com/krns" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/133831?s=40&v=4", - "name": "pehbehbeh", - "url": "https://github.com/pehbehbeh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/279956?s=40&v=4", - "name": "thomasfortes", - "url": "https://github.com/thomasfortes" - } - ] - }, { "title": "teslamate-org/teslamate", "url": "https://github.com/teslamate-org/teslamate", "description": "A self-hosted data logger for your Tesla 🚘", "language": "Elixir", "languageColor": "#6e4a7e", - "stars": "6,039", - "forks": "752", + "stars": "6,041", + "forks": "753", "addStars": "34", "contributors": [ { @@ -614,6 +555,38 @@ "url": "https://github.com/obrok" } ] + }, + { + "title": "naymspace/backpex", + "url": "https://github.com/naymspace/backpex", + "description": "Backpex is a highly customizable administration panel for Phoenix LiveView applications.", + "language": "Elixir", + "languageColor": "#6e4a7e", + "stars": "564", + "forks": "36", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/60519307?s=40&v=4", + "name": "Flo0807", + "url": "https://github.com/Flo0807" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7387295?s=40&v=4", + "name": "krns", + "url": "https://github.com/krns" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/133831?s=40&v=4", + "name": "pehbehbeh", + "url": "https://github.com/pehbehbeh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/279956?s=40&v=4", + "name": "thomasfortes", + "url": "https://github.com/thomasfortes" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/elixir.xml b/data/weekly/elixir.xml index 4cb9d6dd86a1..0b3cfc64d7de 100644 --- a/data/weekly/elixir.xml +++ b/data/weekly/elixir.xml @@ -3,7 +3,7 @@ GitHub Elixir Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Elixir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT supabase/realtime https://github.com/supabase/realtime @@ -11,7 +11,7 @@ https://github.com/supabase/realtime Elixir #6e4a7e - 6,825 + 6,824 315 17 @@ -113,6 +113,39 @@ + + blockscout/blockscout + https://github.com/blockscout/blockscout + Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains. + https://github.com/blockscout/blockscout + Elixir + #6e4a7e + 3,645 + 2,431 + 23 + + + https://avatars.githubusercontent.com/u/4341812?s=40&v=4 + vbaranov + https://github.com/vbaranov + + + https://avatars.githubusercontent.com/u/6567687?s=40&v=4 + ayrat555 + https://github.com/ayrat555 + + + https://avatars.githubusercontent.com/u/298259?s=40&v=4 + KronicDeth + https://github.com/KronicDeth + + + https://avatars.githubusercontent.com/u/32202610?s=40&v=4 + nikitosing + https://github.com/nikitosing + + + open-telemetry/opentelemetry-erlang-contrib https://github.com/open-telemetry/opentelemetry-erlang-contrib @@ -174,77 +207,6 @@ - - bluzky/salad_ui - https://github.com/bluzky/salad_ui - Phoenix Liveview component library inspired by shadcn UI - https://github.com/bluzky/salad_ui - Elixir - #6e4a7e - 575 - 34 - 30 - - - https://avatars.githubusercontent.com/u/6194779?s=40&v=4 - bluzky - https://github.com/bluzky - - - https://avatars.githubusercontent.com/u/3633772?s=40&v=4 - kamaroly - https://github.com/kamaroly - - - https://avatars.githubusercontent.com/u/136561822?s=40&v=4 - kamaro-amitruck - https://github.com/kamaro-amitruck - - - https://avatars.githubusercontent.com/u/157198464?s=40&v=4 - selenil - https://github.com/selenil - - - https://avatars.githubusercontent.com/u/2451508?s=40&v=4 - feng19 - https://github.com/feng19 - - - - - blockscout/blockscout - https://github.com/blockscout/blockscout - Blockchain explorer for Ethereum based network and a tool for inspecting and analyzing EVM based blockchains. - https://github.com/blockscout/blockscout - Elixir - #6e4a7e - 3,645 - 2,431 - 23 - - - https://avatars.githubusercontent.com/u/4341812?s=40&v=4 - vbaranov - https://github.com/vbaranov - - - https://avatars.githubusercontent.com/u/6567687?s=40&v=4 - ayrat555 - https://github.com/ayrat555 - - - https://avatars.githubusercontent.com/u/298259?s=40&v=4 - KronicDeth - https://github.com/KronicDeth - - - https://avatars.githubusercontent.com/u/32202610?s=40&v=4 - nikitosing - https://github.com/nikitosing - - - pentacent/keila https://github.com/pentacent/keila @@ -283,6 +245,44 @@ + + bluzky/salad_ui + https://github.com/bluzky/salad_ui + Phoenix Liveview component library inspired by shadcn UI + https://github.com/bluzky/salad_ui + Elixir + #6e4a7e + 577 + 34 + 30 + + + https://avatars.githubusercontent.com/u/6194779?s=40&v=4 + bluzky + https://github.com/bluzky + + + https://avatars.githubusercontent.com/u/3633772?s=40&v=4 + kamaroly + https://github.com/kamaroly + + + https://avatars.githubusercontent.com/u/136561822?s=40&v=4 + kamaro-amitruck + https://github.com/kamaro-amitruck + + + https://avatars.githubusercontent.com/u/157198464?s=40&v=4 + selenil + https://github.com/selenil + + + https://avatars.githubusercontent.com/u/2451508?s=40&v=4 + feng19 + https://github.com/feng19 + + + elixir-ecto/ecto https://github.com/elixir-ecto/ecto @@ -328,7 +328,7 @@ https://github.com/electric-sql/electric Elixir #6e4a7e - 6,475 + 6,477 156 20 @@ -398,30 +398,40 @@ - oban-bg/oban - https://github.com/oban-bg/oban - 💎 Robust job processing in Elixir, backed by modern PostgreSQL and SQLite3 - https://github.com/oban-bg/oban + elixir-lang/elixir + https://github.com/elixir-lang/elixir + Elixir is a dynamic, functional language for building scalable and maintainable applications + https://github.com/elixir-lang/elixir Elixir #6e4a7e - 3,368 - 313 - 13 + 24,570 + 3,379 + 26 - https://avatars.githubusercontent.com/u/270831?s=40&v=4 - sorentwo - https://github.com/sorentwo + https://avatars.githubusercontent.com/u/9582?s=40&v=4 + josevalim + https://github.com/josevalim + + + https://avatars.githubusercontent.com/u/9133420?s=40&v=4 + eksperimental + https://github.com/eksperimental - https://avatars.githubusercontent.com/u/34700?s=40&v=4 - milmazz - https://github.com/milmazz + https://avatars.githubusercontent.com/u/248290?s=40&v=4 + lexmag + https://github.com/lexmag - https://avatars.githubusercontent.com/u/1370047?s=40&v=4 - smaximov - https://github.com/smaximov + https://avatars.githubusercontent.com/u/3890250?s=40&v=4 + whatyouhide + https://github.com/whatyouhide + + + https://avatars.githubusercontent.com/u/316890?s=40&v=4 + ericmj + https://github.com/ericmj @@ -458,44 +468,6 @@ - - plausible/analytics - https://github.com/plausible/analytics - Simple, open source, lightweight (< 1 KB) and privacy-friendly web analytics alternative to Google Analytics. - https://github.com/plausible/analytics - Elixir - #6e4a7e - 20,531 - 1,095 - 74 - - - https://avatars.githubusercontent.com/u/3731516?s=40&v=4 - ukutaht - https://github.com/ukutaht - - - https://avatars.githubusercontent.com/u/173738?s=40&v=4 - aerosol - https://github.com/aerosol - - - https://avatars.githubusercontent.com/u/588351?s=40&v=4 - zoldar - https://github.com/zoldar - - - https://avatars.githubusercontent.com/u/56999674?s=40&v=4 - RobertJoonas - https://github.com/RobertJoonas - - - https://avatars.githubusercontent.com/u/5093045?s=40&v=4 - vinibrsl - https://github.com/vinibrsl - - - commanded/eventstore https://github.com/commanded/eventstore @@ -534,39 +506,6 @@ - - naymspace/backpex - https://github.com/naymspace/backpex - Backpex is a highly customizable administration panel for Phoenix LiveView applications. - https://github.com/naymspace/backpex - Elixir - #6e4a7e - 564 - 36 - 9 - - - https://avatars.githubusercontent.com/u/60519307?s=40&v=4 - Flo0807 - https://github.com/Flo0807 - - - https://avatars.githubusercontent.com/u/7387295?s=40&v=4 - krns - https://github.com/krns - - - https://avatars.githubusercontent.com/u/133831?s=40&v=4 - pehbehbeh - https://github.com/pehbehbeh - - - https://avatars.githubusercontent.com/u/279956?s=40&v=4 - thomasfortes - https://github.com/thomasfortes - - - teslamate-org/teslamate https://github.com/teslamate-org/teslamate @@ -574,8 +513,8 @@ https://github.com/teslamate-org/teslamate Elixir #6e4a7e - 6,039 - 752 + 6,041 + 753 34 @@ -633,5 +572,38 @@ + + naymspace/backpex + https://github.com/naymspace/backpex + Backpex is a highly customizable administration panel for Phoenix LiveView applications. + https://github.com/naymspace/backpex + Elixir + #6e4a7e + 564 + 36 + 9 + + + https://avatars.githubusercontent.com/u/60519307?s=40&v=4 + Flo0807 + https://github.com/Flo0807 + + + https://avatars.githubusercontent.com/u/7387295?s=40&v=4 + krns + https://github.com/krns + + + https://avatars.githubusercontent.com/u/133831?s=40&v=4 + pehbehbeh + https://github.com/pehbehbeh + + + https://avatars.githubusercontent.com/u/279956?s=40&v=4 + thomasfortes + https://github.com/thomasfortes + + + \ No newline at end of file diff --git a/data/weekly/elm.json b/data/weekly/elm.json index 578ff494e983..58f5889a54b6 100644 --- a/data/weekly/elm.json +++ b/data/weekly/elm.json @@ -2,7 +2,7 @@ "title": "GitHub Elm Languages Weekly Trending", "description": "Weekly Trending of Elm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "azimuttapp/azimutt", @@ -10,7 +10,7 @@ "description": "Explore, document and optimize any database", "language": "Elm", "languageColor": "#60B5CC", - "stars": "1,353", + "stars": "1,354", "forks": "87", "addStars": "25", "contributors": [ @@ -40,6 +40,33 @@ "url": "https://github.com/alxckn" } ] + }, + { + "title": "eikek/docspell", + "url": "https://github.com/eikek/docspell", + "description": "Assist in organizing your piles of documents, resulting from scanners, e-mails and other sources with miminal effort.", + "language": "Elm", + "languageColor": "#60B5CC", + "stars": "1,655", + "forks": "128", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/701128?s=40&v=4", + "name": "eikek", + "url": "https://github.com/eikek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", + "name": "scala-steward", + "url": "https://github.com/scala-steward" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25180681?s=40&v=4", + "name": "renovate-bot", + "url": "https://github.com/renovate-bot" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/elm.xml b/data/weekly/elm.xml index f2954c19dcc5..18d8c420d8e2 100644 --- a/data/weekly/elm.xml +++ b/data/weekly/elm.xml @@ -3,7 +3,7 @@ GitHub Elm Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Elm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT azimuttapp/azimutt https://github.com/azimuttapp/azimutt @@ -11,7 +11,7 @@ https://github.com/azimuttapp/azimutt Elm #60B5CC - 1,353 + 1,354 87 25 @@ -42,5 +42,33 @@ + + eikek/docspell + https://github.com/eikek/docspell + Assist in organizing your piles of documents, resulting from scanners, e-mails and other sources with miminal effort. + https://github.com/eikek/docspell + Elm + #60B5CC + 1,655 + 128 + 8 + + + https://avatars.githubusercontent.com/u/701128?s=40&v=4 + eikek + https://github.com/eikek + + + https://avatars.githubusercontent.com/u/43047562?s=40&v=4 + scala-steward + https://github.com/scala-steward + + + https://avatars.githubusercontent.com/u/25180681?s=40&v=4 + renovate-bot + https://github.com/renovate-bot + + + \ No newline at end of file diff --git a/data/weekly/elvish-transcript.json b/data/weekly/elvish-transcript.json index 76dc93331c0d..1c7833019966 100644 --- a/data/weekly/elvish-transcript.json +++ b/data/weekly/elvish-transcript.json @@ -2,6 +2,6 @@ "title": "GitHub Elvish-transcript Languages Weekly Trending", "description": "Weekly Trending of Elvish-transcript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/elvish-transcript.xml b/data/weekly/elvish-transcript.xml index 89f1cdb42b44..9e43e3a9f511 100644 --- a/data/weekly/elvish-transcript.xml +++ b/data/weekly/elvish-transcript.xml @@ -3,6 +3,6 @@ GitHub Elvish-transcript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Elvish-transcript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/elvish.json b/data/weekly/elvish.json index b3dd2e9daa1e..f04d178e639f 100644 --- a/data/weekly/elvish.json +++ b/data/weekly/elvish.json @@ -2,6 +2,6 @@ "title": "GitHub Elvish Languages Weekly Trending", "description": "Weekly Trending of Elvish Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/elvish.xml b/data/weekly/elvish.xml index 551281809773..7a7d51282186 100644 --- a/data/weekly/elvish.xml +++ b/data/weekly/elvish.xml @@ -3,6 +3,6 @@ GitHub Elvish Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Elvish Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/emacs-lisp.json b/data/weekly/emacs-lisp.json index 485212fb5190..ec446502f53d 100644 --- a/data/weekly/emacs-lisp.json +++ b/data/weekly/emacs-lisp.json @@ -2,7 +2,7 @@ "title": "GitHub Emacs-lisp Languages Weekly Trending", "description": "Weekly Trending of Emacs-lisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "emacs-mirror/emacs", @@ -79,39 +79,39 @@ ] }, { - "title": "syl20bnr/spacemacs", - "url": "https://github.com/syl20bnr/spacemacs", - "description": "A community-driven Emacs distribution - The best editor is neither Emacs nor Vim, it's Emacs *and* Vim!", + "title": "s-kostyaev/ellama", + "url": "https://github.com/s-kostyaev/ellama", + "description": "Ellama is a tool for interacting with large language models from Emacs.", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "23,710", - "forks": "4,897", - "addStars": "19", + "stars": "575", + "forks": "38", + "addStars": "24", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1243537?s=40&v=4", - "name": "syl20bnr", - "url": "https://github.com/syl20bnr" + "avatar": "https://avatars.githubusercontent.com/u/8576745?s=40&v=4", + "name": "s-kostyaev", + "url": "https://github.com/s-kostyaev" }, { - "avatar": "https://avatars.githubusercontent.com/u/619375?s=40&v=4", - "name": "TheBB", - "url": "https://github.com/TheBB" + "avatar": "https://avatars.githubusercontent.com/u/310393?s=40&v=4", + "name": "Stebalien", + "url": "https://github.com/Stebalien" }, { - "avatar": "https://avatars.githubusercontent.com/u/18098560?s=40&v=4", - "name": "smile13241324", - "url": "https://github.com/smile13241324" + "avatar": "https://avatars.githubusercontent.com/u/21566?s=40&v=4", + "name": "r0man", + "url": "https://github.com/r0man" }, { - "avatar": "https://avatars.githubusercontent.com/u/1898905?s=40&v=4", - "name": "JAremko", - "url": "https://github.com/JAremko" + "avatar": "https://avatars.githubusercontent.com/u/54563?s=40&v=4", + "name": "stephenwithav", + "url": "https://github.com/stephenwithav" }, { - "avatar": "https://avatars.githubusercontent.com/u/13420573?s=40&v=4", - "name": "duianto", - "url": "https://github.com/duianto" + "avatar": "https://avatars.githubusercontent.com/u/16169950?s=40&v=4", + "name": "LionyxML", + "url": "https://github.com/LionyxML" } ] }, @@ -148,150 +148,39 @@ ] }, { - "title": "immerrr/lua-mode", - "url": "https://github.com/immerrr/lua-mode", - "description": "Emacs major mode for editing Lua", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "319", - "forks": "75", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/579798?s=40&v=4", - "name": "immerrr", - "url": "https://github.com/immerrr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17382?s=40&v=4", - "name": "rrthomas", - "url": "https://github.com/rrthomas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", - "name": "tarsius", - "url": "https://github.com/tarsius" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/69264154?s=40&v=4", - "name": "nbfalcon", - "url": "https://github.com/nbfalcon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/93108?s=40&v=4", - "name": "vhallac", - "url": "https://github.com/vhallac" - } - ] - }, - { - "title": "mmontone/emacs-inspector", - "url": "https://github.com/mmontone/emacs-inspector", - "description": "Inspection tool for Emacs Lisp objects.", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "107", - "forks": "9", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/436110?s=40&v=4", - "name": "mmontone", - "url": "https://github.com/mmontone" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/147256?s=40&v=4", - "name": "mjoerg", - "url": "https://github.com/mjoerg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32123754?s=40&v=4", - "name": "daanturo", - "url": "https://github.com/daanturo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/69144096?s=40&v=4", - "name": "nhannht", - "url": "https://github.com/nhannht" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1552853?s=40&v=4", - "name": "tazjin", - "url": "https://github.com/tazjin" - } - ] - }, - { - "title": "clojure-emacs/cider", - "url": "https://github.com/clojure-emacs/cider", - "description": "The Clojure Interactive Development Environment that Rocks for Emacs", - "language": "Emacs Lisp", - "languageColor": "#c065db", - "stars": "3,553", - "forks": "645", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/103882?s=40&v=4", - "name": "bbatsov", - "url": "https://github.com/bbatsov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/453029?s=40&v=4", - "name": "Malabarba", - "url": "https://github.com/Malabarba" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/414909?s=40&v=4", - "name": "kingtim", - "url": "https://github.com/kingtim" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1162994?s=40&v=4", - "name": "vemv", - "url": "https://github.com/vemv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1363467?s=40&v=4", - "name": "vspinu", - "url": "https://github.com/vspinu" - } - ] - }, - { - "title": "atomontage/xterm-color", - "url": "https://github.com/atomontage/xterm-color", - "description": "ANSI & xterm-256 color text property translator for Emacs", + "title": "syl20bnr/spacemacs", + "url": "https://github.com/syl20bnr/spacemacs", + "description": "A community-driven Emacs distribution - The best editor is neither Emacs nor Vim, it's Emacs *and* Vim!", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "220", - "forks": "20", - "addStars": "0", + "stars": "23,710", + "forks": "4,896", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/453886?s=40&v=4", - "name": "atomontage", - "url": "https://github.com/atomontage" + "avatar": "https://avatars.githubusercontent.com/u/1243537?s=40&v=4", + "name": "syl20bnr", + "url": "https://github.com/syl20bnr" }, { - "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", - "name": "tarsius", - "url": "https://github.com/tarsius" + "avatar": "https://avatars.githubusercontent.com/u/619375?s=40&v=4", + "name": "TheBB", + "url": "https://github.com/TheBB" }, { - "avatar": "https://avatars.githubusercontent.com/u/52205?s=40&v=4", - "name": "dandavison", - "url": "https://github.com/dandavison" + "avatar": "https://avatars.githubusercontent.com/u/18098560?s=40&v=4", + "name": "smile13241324", + "url": "https://github.com/smile13241324" }, { - "avatar": "https://avatars.githubusercontent.com/u/174330?s=40&v=4", - "name": "vapniks", - "url": "https://github.com/vapniks" + "avatar": "https://avatars.githubusercontent.com/u/1898905?s=40&v=4", + "name": "JAremko", + "url": "https://github.com/JAremko" }, { - "avatar": "https://avatars.githubusercontent.com/u/554281?s=40&v=4", - "name": "syohex", - "url": "https://github.com/syohex" + "avatar": "https://avatars.githubusercontent.com/u/13420573?s=40&v=4", + "name": "duianto", + "url": "https://github.com/duianto" } ] }, @@ -370,39 +259,113 @@ ] }, { - "title": "s-kostyaev/ellama", - "url": "https://github.com/s-kostyaev/ellama", - "description": "Ellama is a tool for interacting with large language models from Emacs.", + "title": "pashky/restclient.el", + "url": "https://github.com/pashky/restclient.el", + "description": "HTTP REST client tool for emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "573", - "forks": "38", - "addStars": "24", + "stars": "1,992", + "forks": "171", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8576745?s=40&v=4", - "name": "s-kostyaev", - "url": "https://github.com/s-kostyaev" + "avatar": "https://avatars.githubusercontent.com/u/663876?s=40&v=4", + "name": "pashky", + "url": "https://github.com/pashky" }, { - "avatar": "https://avatars.githubusercontent.com/u/310393?s=40&v=4", - "name": "Stebalien", - "url": "https://github.com/Stebalien" + "avatar": "https://avatars.githubusercontent.com/u/591567?s=40&v=4", + "name": "markus1189", + "url": "https://github.com/markus1189" }, { - "avatar": "https://avatars.githubusercontent.com/u/21566?s=40&v=4", - "name": "r0man", - "url": "https://github.com/r0man" + "avatar": "https://avatars.githubusercontent.com/u/554281?s=40&v=4", + "name": "syohex", + "url": "https://github.com/syohex" }, { - "avatar": "https://avatars.githubusercontent.com/u/54563?s=40&v=4", - "name": "stephenwithav", - "url": "https://github.com/stephenwithav" + "avatar": "https://avatars.githubusercontent.com/u/1216?s=40&v=4", + "name": "vetler", + "url": "https://github.com/vetler" }, { - "avatar": "https://avatars.githubusercontent.com/u/16169950?s=40&v=4", - "name": "LionyxML", - "url": "https://github.com/LionyxML" + "avatar": "https://avatars.githubusercontent.com/u/5636?s=40&v=4", + "name": "purcell", + "url": "https://github.com/purcell" + } + ] + }, + { + "title": "seagle0128/doom-modeline", + "url": "https://github.com/seagle0128/doom-modeline", + "description": "A fancy and fast mode-line inspired by minimalism design.", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "1,307", + "forks": "158", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/140797?s=40&v=4", + "name": "seagle0128", + "url": "https://github.com/seagle0128" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/510883?s=40&v=4", + "name": "hlissner", + "url": "https://github.com/hlissner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4433943?s=40&v=4", + "name": "jmromer", + "url": "https://github.com/jmromer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/59777257?s=40&v=4", + "name": "dr-scsi", + "url": "https://github.com/dr-scsi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20887?s=40&v=4", + "name": "seanfarley", + "url": "https://github.com/seanfarley" + } + ] + }, + { + "title": "spotify/dockerfile-mode", + "url": "https://github.com/spotify/dockerfile-mode", + "description": "An emacs mode for handling Dockerfiles", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "533", + "forks": "80", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5121910?s=40&v=4", + "name": "drewcsillag", + "url": "https://github.com/drewcsillag" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5636?s=40&v=4", + "name": "purcell", + "url": "https://github.com/purcell" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2564245?s=40&v=4", + "name": "lassik", + "url": "https://github.com/lassik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16015?s=40&v=4", + "name": "Silex", + "url": "https://github.com/Silex" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1286254?s=40&v=4", + "name": "pataquets", + "url": "https://github.com/pataquets" } ] }, @@ -444,66 +407,98 @@ ] }, { - "title": "ahyatt/emacs-websocket", - "url": "https://github.com/ahyatt/emacs-websocket", - "description": "A websocket implementation in elisp, for emacs.", + "title": "atomontage/xterm-color", + "url": "https://github.com/atomontage/xterm-color", + "description": "ANSI & xterm-256 color text property translator for Emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "324", - "forks": "42", + "stars": "220", + "forks": "20", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20452?s=40&v=4", - "name": "ahyatt", - "url": "https://github.com/ahyatt" + "avatar": "https://avatars.githubusercontent.com/u/453886?s=40&v=4", + "name": "atomontage", + "url": "https://github.com/atomontage" }, { - "avatar": "https://avatars.githubusercontent.com/u/29282?s=40&v=4", - "name": "tkf", - "url": "https://github.com/tkf" + "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", + "name": "tarsius", + "url": "https://github.com/tarsius" }, { - "avatar": "https://avatars.githubusercontent.com/u/3055271?s=40&v=4", - "name": "yuya373", - "url": "https://github.com/yuya373" + "avatar": "https://avatars.githubusercontent.com/u/52205?s=40&v=4", + "name": "dandavison", + "url": "https://github.com/dandavison" }, { - "avatar": "https://avatars.githubusercontent.com/u/11372289?s=40&v=4", - "name": "sten0", - "url": "https://github.com/sten0" + "avatar": "https://avatars.githubusercontent.com/u/174330?s=40&v=4", + "name": "vapniks", + "url": "https://github.com/vapniks" }, { - "avatar": "https://avatars.githubusercontent.com/u/3514?s=40&v=4", - "name": "jscheid", - "url": "https://github.com/jscheid" + "avatar": "https://avatars.githubusercontent.com/u/554281?s=40&v=4", + "name": "syohex", + "url": "https://github.com/syohex" } ] }, { - "title": "akermu/emacs-libvterm", - "url": "https://github.com/akermu/emacs-libvterm", - "description": "Emacs libvterm integration", + "title": "clojure-emacs/cider", + "url": "https://github.com/clojure-emacs/cider", + "description": "The Clojure Interactive Development Environment that Rocks for Emacs", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "1,719", - "forks": "137", - "addStars": "5", + "stars": "3,553", + "forks": "645", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5903576?s=40&v=4", - "name": "akermu", - "url": "https://github.com/akermu" + "avatar": "https://avatars.githubusercontent.com/u/103882?s=40&v=4", + "name": "bbatsov", + "url": "https://github.com/bbatsov" }, { - "avatar": "https://avatars.githubusercontent.com/u/461743?s=40&v=4", - "name": "jixiuf", - "url": "https://github.com/jixiuf" + "avatar": "https://avatars.githubusercontent.com/u/453029?s=40&v=4", + "name": "Malabarba", + "url": "https://github.com/Malabarba" }, { - "avatar": "https://avatars.githubusercontent.com/u/9167485?s=40&v=4", - "name": "Sbozzolo", - "url": "https://github.com/Sbozzolo" + "avatar": "https://avatars.githubusercontent.com/u/414909?s=40&v=4", + "name": "kingtim", + "url": "https://github.com/kingtim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1162994?s=40&v=4", + "name": "vemv", + "url": "https://github.com/vemv" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1363467?s=40&v=4", + "name": "vspinu", + "url": "https://github.com/vspinu" + } + ] + }, + { + "title": "immerrr/lua-mode", + "url": "https://github.com/immerrr/lua-mode", + "description": "Emacs major mode for editing Lua", + "language": "Emacs Lisp", + "languageColor": "#c065db", + "stars": "319", + "forks": "75", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/579798?s=40&v=4", + "name": "immerrr", + "url": "https://github.com/immerrr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17382?s=40&v=4", + "name": "rrthomas", + "url": "https://github.com/rrthomas" }, { "avatar": "https://avatars.githubusercontent.com/u/25046?s=40&v=4", @@ -511,46 +506,51 @@ "url": "https://github.com/tarsius" }, { - "avatar": "https://avatars.githubusercontent.com/u/1245807?s=40&v=4", - "name": "mbrumlow", - "url": "https://github.com/mbrumlow" + "avatar": "https://avatars.githubusercontent.com/u/69264154?s=40&v=4", + "name": "nbfalcon", + "url": "https://github.com/nbfalcon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/93108?s=40&v=4", + "name": "vhallac", + "url": "https://github.com/vhallac" } ] }, { - "title": "Wilfred/helpful", - "url": "https://github.com/Wilfred/helpful", - "description": "A better Emacs *help* buffer", + "title": "mmontone/emacs-inspector", + "url": "https://github.com/mmontone/emacs-inspector", + "description": "Inspection tool for Emacs Lisp objects.", "language": "Emacs Lisp", "languageColor": "#c065db", - "stars": "1,119", - "forks": "62", - "addStars": "4", + "stars": "107", + "forks": "9", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/70800?s=40&v=4", - "name": "Wilfred", - "url": "https://github.com/Wilfred" + "avatar": "https://avatars.githubusercontent.com/u/436110?s=40&v=4", + "name": "mmontone", + "url": "https://github.com/mmontone" }, { - "avatar": "https://avatars.githubusercontent.com/u/17630138?s=40&v=4", - "name": "nickdrozd", - "url": "https://github.com/nickdrozd" + "avatar": "https://avatars.githubusercontent.com/u/147256?s=40&v=4", + "name": "mjoerg", + "url": "https://github.com/mjoerg" }, { - "avatar": "https://avatars.githubusercontent.com/u/3595930?s=40&v=4", - "name": "narendraj9", - "url": "https://github.com/narendraj9" + "avatar": "https://avatars.githubusercontent.com/u/32123754?s=40&v=4", + "name": "daanturo", + "url": "https://github.com/daanturo" }, { - "avatar": "https://avatars.githubusercontent.com/u/217543?s=40&v=4", - "name": "DamienCassou", - "url": "https://github.com/DamienCassou" + "avatar": "https://avatars.githubusercontent.com/u/69144096?s=40&v=4", + "name": "nhannht", + "url": "https://github.com/nhannht" }, { - "avatar": "https://avatars.githubusercontent.com/u/11722318?s=40&v=4", - "name": "kisaragi-hiu", - "url": "https://github.com/kisaragi-hiu" + "avatar": "https://avatars.githubusercontent.com/u/1552853?s=40&v=4", + "name": "tazjin", + "url": "https://github.com/tazjin" } ] } diff --git a/data/weekly/emacs-lisp.xml b/data/weekly/emacs-lisp.xml index 4cb6ac075114..974aa58214af 100644 --- a/data/weekly/emacs-lisp.xml +++ b/data/weekly/emacs-lisp.xml @@ -3,7 +3,7 @@ GitHub Emacs-lisp Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Emacs-lisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT emacs-mirror/emacs https://github.com/emacs-mirror/emacs @@ -81,40 +81,40 @@ - syl20bnr/spacemacs - https://github.com/syl20bnr/spacemacs - A community-driven Emacs distribution - The best editor is neither Emacs nor Vim, it's Emacs *and* Vim! - https://github.com/syl20bnr/spacemacs + s-kostyaev/ellama + https://github.com/s-kostyaev/ellama + Ellama is a tool for interacting with large language models from Emacs. + https://github.com/s-kostyaev/ellama Emacs Lisp #c065db - 23,710 - 4,897 - 19 + 575 + 38 + 24 - https://avatars.githubusercontent.com/u/1243537?s=40&v=4 - syl20bnr - https://github.com/syl20bnr + https://avatars.githubusercontent.com/u/8576745?s=40&v=4 + s-kostyaev + https://github.com/s-kostyaev - https://avatars.githubusercontent.com/u/619375?s=40&v=4 - TheBB - https://github.com/TheBB + https://avatars.githubusercontent.com/u/310393?s=40&v=4 + Stebalien + https://github.com/Stebalien - https://avatars.githubusercontent.com/u/18098560?s=40&v=4 - smile13241324 - https://github.com/smile13241324 + https://avatars.githubusercontent.com/u/21566?s=40&v=4 + r0man + https://github.com/r0man - https://avatars.githubusercontent.com/u/1898905?s=40&v=4 - JAremko - https://github.com/JAremko + https://avatars.githubusercontent.com/u/54563?s=40&v=4 + stephenwithav + https://github.com/stephenwithav - https://avatars.githubusercontent.com/u/13420573?s=40&v=4 - duianto - https://github.com/duianto + https://avatars.githubusercontent.com/u/16169950?s=40&v=4 + LionyxML + https://github.com/LionyxML @@ -152,154 +152,40 @@ - immerrr/lua-mode - https://github.com/immerrr/lua-mode - Emacs major mode for editing Lua - https://github.com/immerrr/lua-mode - Emacs Lisp - #c065db - 319 - 75 - 0 - - - https://avatars.githubusercontent.com/u/579798?s=40&v=4 - immerrr - https://github.com/immerrr - - - https://avatars.githubusercontent.com/u/17382?s=40&v=4 - rrthomas - https://github.com/rrthomas - - - https://avatars.githubusercontent.com/u/25046?s=40&v=4 - tarsius - https://github.com/tarsius - - - https://avatars.githubusercontent.com/u/69264154?s=40&v=4 - nbfalcon - https://github.com/nbfalcon - - - https://avatars.githubusercontent.com/u/93108?s=40&v=4 - vhallac - https://github.com/vhallac - - - - - mmontone/emacs-inspector - https://github.com/mmontone/emacs-inspector - Inspection tool for Emacs Lisp objects. - https://github.com/mmontone/emacs-inspector - Emacs Lisp - #c065db - 107 - 9 - 0 - - - https://avatars.githubusercontent.com/u/436110?s=40&v=4 - mmontone - https://github.com/mmontone - - - https://avatars.githubusercontent.com/u/147256?s=40&v=4 - mjoerg - https://github.com/mjoerg - - - https://avatars.githubusercontent.com/u/32123754?s=40&v=4 - daanturo - https://github.com/daanturo - - - https://avatars.githubusercontent.com/u/69144096?s=40&v=4 - nhannht - https://github.com/nhannht - - - https://avatars.githubusercontent.com/u/1552853?s=40&v=4 - tazjin - https://github.com/tazjin - - - - - clojure-emacs/cider - https://github.com/clojure-emacs/cider - The Clojure Interactive Development Environment that Rocks for Emacs - https://github.com/clojure-emacs/cider - Emacs Lisp - #c065db - 3,553 - 645 - 1 - - - https://avatars.githubusercontent.com/u/103882?s=40&v=4 - bbatsov - https://github.com/bbatsov - - - https://avatars.githubusercontent.com/u/453029?s=40&v=4 - Malabarba - https://github.com/Malabarba - - - https://avatars.githubusercontent.com/u/414909?s=40&v=4 - kingtim - https://github.com/kingtim - - - https://avatars.githubusercontent.com/u/1162994?s=40&v=4 - vemv - https://github.com/vemv - - - https://avatars.githubusercontent.com/u/1363467?s=40&v=4 - vspinu - https://github.com/vspinu - - - - - atomontage/xterm-color - https://github.com/atomontage/xterm-color - ANSI & xterm-256 color text property translator for Emacs - https://github.com/atomontage/xterm-color + syl20bnr/spacemacs + https://github.com/syl20bnr/spacemacs + A community-driven Emacs distribution - The best editor is neither Emacs nor Vim, it's Emacs *and* Vim! + https://github.com/syl20bnr/spacemacs Emacs Lisp #c065db - 220 - 20 - 0 + 23,710 + 4,896 + 19 - https://avatars.githubusercontent.com/u/453886?s=40&v=4 - atomontage - https://github.com/atomontage + https://avatars.githubusercontent.com/u/1243537?s=40&v=4 + syl20bnr + https://github.com/syl20bnr - https://avatars.githubusercontent.com/u/25046?s=40&v=4 - tarsius - https://github.com/tarsius + https://avatars.githubusercontent.com/u/619375?s=40&v=4 + TheBB + https://github.com/TheBB - https://avatars.githubusercontent.com/u/52205?s=40&v=4 - dandavison - https://github.com/dandavison + https://avatars.githubusercontent.com/u/18098560?s=40&v=4 + smile13241324 + https://github.com/smile13241324 - https://avatars.githubusercontent.com/u/174330?s=40&v=4 - vapniks - https://github.com/vapniks + https://avatars.githubusercontent.com/u/1898905?s=40&v=4 + JAremko + https://github.com/JAremko - https://avatars.githubusercontent.com/u/554281?s=40&v=4 - syohex - https://github.com/syohex + https://avatars.githubusercontent.com/u/13420573?s=40&v=4 + duianto + https://github.com/duianto @@ -380,40 +266,116 @@ - s-kostyaev/ellama - https://github.com/s-kostyaev/ellama - Ellama is a tool for interacting with large language models from Emacs. - https://github.com/s-kostyaev/ellama + pashky/restclient.el + https://github.com/pashky/restclient.el + HTTP REST client tool for emacs + https://github.com/pashky/restclient.el Emacs Lisp #c065db - 573 - 38 - 24 + 1,992 + 171 + 1 - https://avatars.githubusercontent.com/u/8576745?s=40&v=4 - s-kostyaev - https://github.com/s-kostyaev + https://avatars.githubusercontent.com/u/663876?s=40&v=4 + pashky + https://github.com/pashky - https://avatars.githubusercontent.com/u/310393?s=40&v=4 - Stebalien - https://github.com/Stebalien + https://avatars.githubusercontent.com/u/591567?s=40&v=4 + markus1189 + https://github.com/markus1189 - https://avatars.githubusercontent.com/u/21566?s=40&v=4 - r0man - https://github.com/r0man + https://avatars.githubusercontent.com/u/554281?s=40&v=4 + syohex + https://github.com/syohex - https://avatars.githubusercontent.com/u/54563?s=40&v=4 - stephenwithav - https://github.com/stephenwithav + https://avatars.githubusercontent.com/u/1216?s=40&v=4 + vetler + https://github.com/vetler - https://avatars.githubusercontent.com/u/16169950?s=40&v=4 - LionyxML - https://github.com/LionyxML + https://avatars.githubusercontent.com/u/5636?s=40&v=4 + purcell + https://github.com/purcell + + + + + seagle0128/doom-modeline + https://github.com/seagle0128/doom-modeline + A fancy and fast mode-line inspired by minimalism design. + https://github.com/seagle0128/doom-modeline + Emacs Lisp + #c065db + 1,307 + 158 + 5 + + + https://avatars.githubusercontent.com/u/140797?s=40&v=4 + seagle0128 + https://github.com/seagle0128 + + + https://avatars.githubusercontent.com/u/510883?s=40&v=4 + hlissner + https://github.com/hlissner + + + https://avatars.githubusercontent.com/u/4433943?s=40&v=4 + jmromer + https://github.com/jmromer + + + https://avatars.githubusercontent.com/u/59777257?s=40&v=4 + dr-scsi + https://github.com/dr-scsi + + + https://avatars.githubusercontent.com/u/20887?s=40&v=4 + seanfarley + https://github.com/seanfarley + + + + + spotify/dockerfile-mode + https://github.com/spotify/dockerfile-mode + An emacs mode for handling Dockerfiles + https://github.com/spotify/dockerfile-mode + Emacs Lisp + #c065db + 533 + 80 + 0 + + + https://avatars.githubusercontent.com/u/5121910?s=40&v=4 + drewcsillag + https://github.com/drewcsillag + + + https://avatars.githubusercontent.com/u/5636?s=40&v=4 + purcell + https://github.com/purcell + + + https://avatars.githubusercontent.com/u/2564245?s=40&v=4 + lassik + https://github.com/lassik + + + https://avatars.githubusercontent.com/u/16015?s=40&v=4 + Silex + https://github.com/Silex + + + https://avatars.githubusercontent.com/u/1286254?s=40&v=4 + pataquets + https://github.com/pataquets @@ -456,68 +418,101 @@ - ahyatt/emacs-websocket - https://github.com/ahyatt/emacs-websocket - A websocket implementation in elisp, for emacs. - https://github.com/ahyatt/emacs-websocket + atomontage/xterm-color + https://github.com/atomontage/xterm-color + ANSI & xterm-256 color text property translator for Emacs + https://github.com/atomontage/xterm-color Emacs Lisp #c065db - 324 - 42 + 220 + 20 0 - https://avatars.githubusercontent.com/u/20452?s=40&v=4 - ahyatt - https://github.com/ahyatt + https://avatars.githubusercontent.com/u/453886?s=40&v=4 + atomontage + https://github.com/atomontage - https://avatars.githubusercontent.com/u/29282?s=40&v=4 - tkf - https://github.com/tkf + https://avatars.githubusercontent.com/u/25046?s=40&v=4 + tarsius + https://github.com/tarsius - https://avatars.githubusercontent.com/u/3055271?s=40&v=4 - yuya373 - https://github.com/yuya373 + https://avatars.githubusercontent.com/u/52205?s=40&v=4 + dandavison + https://github.com/dandavison - https://avatars.githubusercontent.com/u/11372289?s=40&v=4 - sten0 - https://github.com/sten0 + https://avatars.githubusercontent.com/u/174330?s=40&v=4 + vapniks + https://github.com/vapniks - https://avatars.githubusercontent.com/u/3514?s=40&v=4 - jscheid - https://github.com/jscheid + https://avatars.githubusercontent.com/u/554281?s=40&v=4 + syohex + https://github.com/syohex - akermu/emacs-libvterm - https://github.com/akermu/emacs-libvterm - Emacs libvterm integration - https://github.com/akermu/emacs-libvterm + clojure-emacs/cider + https://github.com/clojure-emacs/cider + The Clojure Interactive Development Environment that Rocks for Emacs + https://github.com/clojure-emacs/cider Emacs Lisp #c065db - 1,719 - 137 - 5 + 3,553 + 645 + 1 - https://avatars.githubusercontent.com/u/5903576?s=40&v=4 - akermu - https://github.com/akermu + https://avatars.githubusercontent.com/u/103882?s=40&v=4 + bbatsov + https://github.com/bbatsov + + + https://avatars.githubusercontent.com/u/453029?s=40&v=4 + Malabarba + https://github.com/Malabarba + + + https://avatars.githubusercontent.com/u/414909?s=40&v=4 + kingtim + https://github.com/kingtim + + + https://avatars.githubusercontent.com/u/1162994?s=40&v=4 + vemv + https://github.com/vemv - https://avatars.githubusercontent.com/u/461743?s=40&v=4 - jixiuf - https://github.com/jixiuf + https://avatars.githubusercontent.com/u/1363467?s=40&v=4 + vspinu + https://github.com/vspinu + + + + + immerrr/lua-mode + https://github.com/immerrr/lua-mode + Emacs major mode for editing Lua + https://github.com/immerrr/lua-mode + Emacs Lisp + #c065db + 319 + 75 + 0 + + + https://avatars.githubusercontent.com/u/579798?s=40&v=4 + immerrr + https://github.com/immerrr - https://avatars.githubusercontent.com/u/9167485?s=40&v=4 - Sbozzolo - https://github.com/Sbozzolo + https://avatars.githubusercontent.com/u/17382?s=40&v=4 + rrthomas + https://github.com/rrthomas https://avatars.githubusercontent.com/u/25046?s=40&v=4 @@ -525,47 +520,52 @@ https://github.com/tarsius - https://avatars.githubusercontent.com/u/1245807?s=40&v=4 - mbrumlow - https://github.com/mbrumlow + https://avatars.githubusercontent.com/u/69264154?s=40&v=4 + nbfalcon + https://github.com/nbfalcon + + + https://avatars.githubusercontent.com/u/93108?s=40&v=4 + vhallac + https://github.com/vhallac - Wilfred/helpful - https://github.com/Wilfred/helpful - A better Emacs *help* buffer - https://github.com/Wilfred/helpful + mmontone/emacs-inspector + https://github.com/mmontone/emacs-inspector + Inspection tool for Emacs Lisp objects. + https://github.com/mmontone/emacs-inspector Emacs Lisp #c065db - 1,119 - 62 - 4 + 107 + 9 + 0 - https://avatars.githubusercontent.com/u/70800?s=40&v=4 - Wilfred - https://github.com/Wilfred + https://avatars.githubusercontent.com/u/436110?s=40&v=4 + mmontone + https://github.com/mmontone - https://avatars.githubusercontent.com/u/17630138?s=40&v=4 - nickdrozd - https://github.com/nickdrozd + https://avatars.githubusercontent.com/u/147256?s=40&v=4 + mjoerg + https://github.com/mjoerg - https://avatars.githubusercontent.com/u/3595930?s=40&v=4 - narendraj9 - https://github.com/narendraj9 + https://avatars.githubusercontent.com/u/32123754?s=40&v=4 + daanturo + https://github.com/daanturo - https://avatars.githubusercontent.com/u/217543?s=40&v=4 - DamienCassou - https://github.com/DamienCassou + https://avatars.githubusercontent.com/u/69144096?s=40&v=4 + nhannht + https://github.com/nhannht - https://avatars.githubusercontent.com/u/11722318?s=40&v=4 - kisaragi-hiu - https://github.com/kisaragi-hiu + https://avatars.githubusercontent.com/u/1552853?s=40&v=4 + tazjin + https://github.com/tazjin diff --git a/data/weekly/emberscript.json b/data/weekly/emberscript.json index 23f7fadb58cf..b7e0685374a5 100644 --- a/data/weekly/emberscript.json +++ b/data/weekly/emberscript.json @@ -2,6 +2,44 @@ "title": "GitHub Emberscript Languages Weekly Trending", "description": "Weekly Trending of Emberscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "ros2/rosidl_python", + "url": "https://github.com/ros2/rosidl_python", + "description": "rosidl support for Python", + "language": "EmberScript", + "languageColor": "#FFF4F3", + "stars": "20", + "forks": "45", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1335366?s=40&v=4", + "name": "dirk-thomas", + "url": "https://github.com/dirk-thomas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6644208?s=40&v=4", + "name": "mikaelarguedas", + "url": "https://github.com/mikaelarguedas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/100427?s=40&v=4", + "name": "wjwwood", + "url": "https://github.com/wjwwood" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/163000?s=40&v=4", + "name": "clalancette", + "url": "https://github.com/clalancette" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/495933?s=40&v=4", + "name": "jacquelinekay", + "url": "https://github.com/jacquelinekay" + } + ] + } + ] } \ No newline at end of file diff --git a/data/weekly/emberscript.xml b/data/weekly/emberscript.xml index 42ee3173ea76..8e9d09f9269b 100644 --- a/data/weekly/emberscript.xml +++ b/data/weekly/emberscript.xml @@ -3,6 +3,44 @@ GitHub Emberscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Emberscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + ros2/rosidl_python + https://github.com/ros2/rosidl_python + rosidl support for Python + https://github.com/ros2/rosidl_python + EmberScript + #FFF4F3 + 20 + 45 + 0 + + + https://avatars.githubusercontent.com/u/1335366?s=40&v=4 + dirk-thomas + https://github.com/dirk-thomas + + + https://avatars.githubusercontent.com/u/6644208?s=40&v=4 + mikaelarguedas + https://github.com/mikaelarguedas + + + https://avatars.githubusercontent.com/u/100427?s=40&v=4 + wjwwood + https://github.com/wjwwood + + + https://avatars.githubusercontent.com/u/163000?s=40&v=4 + clalancette + https://github.com/clalancette + + + https://avatars.githubusercontent.com/u/495933?s=40&v=4 + jacquelinekay + https://github.com/jacquelinekay + + + \ No newline at end of file diff --git a/data/weekly/eq.json b/data/weekly/eq.json index d7f23888ecd1..daad903c16b1 100644 --- a/data/weekly/eq.json +++ b/data/weekly/eq.json @@ -2,6 +2,6 @@ "title": "GitHub Eq Languages Weekly Trending", "description": "Weekly Trending of Eq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/eq.xml b/data/weekly/eq.xml index 681834572684..8fc4da29f09f 100644 --- a/data/weekly/eq.xml +++ b/data/weekly/eq.xml @@ -3,6 +3,6 @@ GitHub Eq Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Eq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/erlang.json b/data/weekly/erlang.json index ae8112d6fd51..a4dc31c8bee1 100644 --- a/data/weekly/erlang.json +++ b/data/weekly/erlang.json @@ -2,7 +2,7 @@ "title": "GitHub Erlang Languages Weekly Trending", "description": "Weekly Trending of Erlang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "emqx/emqx", @@ -11,7 +11,7 @@ "language": "Erlang", "languageColor": "#B83998", "stars": "14,148", - "forks": "2,244", + "forks": "2,245", "addStars": "36", "contributors": [ { @@ -47,7 +47,7 @@ "description": "Erlang/OTP", "language": "Erlang", "languageColor": "#B83998", - "stars": "11,408", + "stars": "11,409", "forks": "2,954", "addStars": "16", "contributors": [ @@ -78,43 +78,6 @@ } ] }, - { - "title": "dgiot/dgiot", - "url": "https://github.com/dgiot/dgiot", - "description": "Open source platform for iot , 6 min Quick Deployment,10M devices connection,Carrier level Stability;物联网开源平台,6分钟快速部署,千万级承载,电信级稳定性. Low code for Object model-Rule Engine-Data Channel-Configuration Page; Fully open source, Multi industrial protocols are compatible.物模型-规则引擎-数据通道-组态页面全流程零代码开发、全业务全链路模拟压测、前后端完全开源、多行业工业协议兼容。QQ群:346566935", - "language": "Erlang", - "languageColor": "#B83998", - "stars": "6,532", - "forks": "1,502", - "addStars": "29", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5266023?s=40&v=4", - "name": "lsxredrain", - "url": "https://github.com/lsxredrain" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47805007?s=40&v=4", - "name": "dawnwinterLiu", - "url": "https://github.com/dawnwinterLiu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55233292?s=40&v=4", - "name": "h7ml", - "url": "https://github.com/h7ml" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51999461?s=40&v=4", - "name": "wanguliux", - "url": "https://github.com/wanguliux" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/104543768?s=40&v=4", - "name": "shifttttttt", - "url": "https://github.com/shifttttttt" - } - ] - }, { "title": "erlang-lager/lager", "url": "https://github.com/erlang-lager/lager", @@ -153,76 +116,39 @@ ] }, { - "title": "tomas-abrahamsson/gpb", - "url": "https://github.com/tomas-abrahamsson/gpb", - "description": "A Google Protobuf implementation for Erlang", - "language": "Erlang", - "languageColor": "#B83998", - "stars": "557", - "forks": "153", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/850307?s=40&v=4", - "name": "tomas-abrahamsson", - "url": "https://github.com/tomas-abrahamsson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/639415?s=40&v=4", - "name": "lrascao", - "url": "https://github.com/lrascao" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36227?s=40&v=4", - "name": "tsloughter", - "url": "https://github.com/tsloughter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/514926?s=40&v=4", - "name": "lukebakken", - "url": "https://github.com/lukebakken" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/932156?s=40&v=4", - "name": "squidfunk", - "url": "https://github.com/squidfunk" - } - ] - }, - { - "title": "ferd/recon", - "url": "https://github.com/ferd/recon", - "description": "Collection of functions and scripts to debug Erlang in production.", + "title": "dgiot/dgiot", + "url": "https://github.com/dgiot/dgiot", + "description": "Open source platform for iot , 6 min Quick Deployment,10M devices connection,Carrier level Stability;物联网开源平台,6分钟快速部署,千万级承载,电信级稳定性. Low code for Object model-Rule Engine-Data Channel-Configuration Page; Fully open source, Multi industrial protocols are compatible.物模型-规则引擎-数据通道-组态页面全流程零代码开发、全业务全链路模拟压测、前后端完全开源、多行业工业协议兼容。QQ群:346566935", "language": "Erlang", "languageColor": "#B83998", - "stars": "1,371", - "forks": "278", - "addStars": "1", + "stars": "6,532", + "forks": "1,502", + "addStars": "29", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/111141?s=40&v=4", - "name": "ferd", - "url": "https://github.com/ferd" + "avatar": "https://avatars.githubusercontent.com/u/5266023?s=40&v=4", + "name": "lsxredrain", + "url": "https://github.com/lsxredrain" }, { - "avatar": "https://avatars.githubusercontent.com/u/70064?s=40&v=4", - "name": "bartekgorny", - "url": "https://github.com/bartekgorny" + "avatar": "https://avatars.githubusercontent.com/u/47805007?s=40&v=4", + "name": "dawnwinterLiu", + "url": "https://github.com/dawnwinterLiu" }, { - "avatar": "https://avatars.githubusercontent.com/u/111762?s=40&v=4", - "name": "garazdawi", - "url": "https://github.com/garazdawi" + "avatar": "https://avatars.githubusercontent.com/u/55233292?s=40&v=4", + "name": "h7ml", + "url": "https://github.com/h7ml" }, { - "avatar": "https://avatars.githubusercontent.com/u/7303768?s=40&v=4", - "name": "paulo-ferraz-oliveira", - "url": "https://github.com/paulo-ferraz-oliveira" + "avatar": "https://avatars.githubusercontent.com/u/51999461?s=40&v=4", + "name": "wanguliux", + "url": "https://github.com/wanguliux" }, { - "avatar": "https://avatars.githubusercontent.com/u/9804420?s=40&v=4", - "name": "iilyak", - "url": "https://github.com/iilyak" + "avatar": "https://avatars.githubusercontent.com/u/104543768?s=40&v=4", + "name": "shifttttttt", + "url": "https://github.com/shifttttttt" } ] }, @@ -263,6 +189,80 @@ } ] }, + { + "title": "potatosalad/erlang-jose", + "url": "https://github.com/potatosalad/erlang-jose", + "description": "JSON Object Signing and Encryption (JOSE) for Erlang and Elixir", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "309", + "forks": "104", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1804?s=40&v=4", + "name": "potatosalad", + "url": "https://github.com/potatosalad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/316890?s=40&v=4", + "name": "ericmj", + "url": "https://github.com/ericmj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1090?s=40&v=4", + "name": "michaelklishin", + "url": "https://github.com/michaelklishin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3890250?s=40&v=4", + "name": "whatyouhide", + "url": "https://github.com/whatyouhide" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/87961?s=40&v=4", + "name": "talklittle", + "url": "https://github.com/talklittle" + } + ] + }, + { + "title": "tomas-abrahamsson/gpb", + "url": "https://github.com/tomas-abrahamsson/gpb", + "description": "A Google Protobuf implementation for Erlang", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "558", + "forks": "153", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/850307?s=40&v=4", + "name": "tomas-abrahamsson", + "url": "https://github.com/tomas-abrahamsson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/639415?s=40&v=4", + "name": "lrascao", + "url": "https://github.com/lrascao" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36227?s=40&v=4", + "name": "tsloughter", + "url": "https://github.com/tsloughter" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/514926?s=40&v=4", + "name": "lukebakken", + "url": "https://github.com/lukebakken" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/932156?s=40&v=4", + "name": "squidfunk", + "url": "https://github.com/squidfunk" + } + ] + }, { "title": "ninenines/ranch", "url": "https://github.com/ninenines/ranch", @@ -300,6 +300,43 @@ } ] }, + { + "title": "inaka/cowboy_swagger", + "url": "https://github.com/inaka/cowboy_swagger", + "description": "Swagger integration for Cowboy (built on trails)", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "121", + "forks": "58", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/93657?s=40&v=4", + "name": "elbrujohalcon", + "url": "https://github.com/elbrujohalcon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7303768?s=40&v=4", + "name": "paulo-ferraz-oliveira", + "url": "https://github.com/paulo-ferraz-oliveira" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/216031?s=40&v=4", + "name": "harenson", + "url": "https://github.com/harenson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5407370?s=40&v=4", + "name": "cabol", + "url": "https://github.com/cabol" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7842646?s=40&v=4", + "name": "ferigis", + "url": "https://github.com/ferigis" + } + ] + }, { "title": "jcomellas/getopt", "url": "https://github.com/jcomellas/getopt", @@ -337,43 +374,6 @@ } ] }, - { - "title": "potatosalad/erlang-jose", - "url": "https://github.com/potatosalad/erlang-jose", - "description": "JSON Object Signing and Encryption (JOSE) for Erlang and Elixir", - "language": "Erlang", - "languageColor": "#B83998", - "stars": "309", - "forks": "104", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1804?s=40&v=4", - "name": "potatosalad", - "url": "https://github.com/potatosalad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/316890?s=40&v=4", - "name": "ericmj", - "url": "https://github.com/ericmj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1090?s=40&v=4", - "name": "michaelklishin", - "url": "https://github.com/michaelklishin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3890250?s=40&v=4", - "name": "whatyouhide", - "url": "https://github.com/whatyouhide" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/87961?s=40&v=4", - "name": "talklittle", - "url": "https://github.com/talklittle" - } - ] - }, { "title": "uwiger/gproc", "url": "https://github.com/uwiger/gproc", @@ -411,43 +411,6 @@ } ] }, - { - "title": "ninenines/cowlib", - "url": "https://github.com/ninenines/cowlib", - "description": "Support library for manipulating Web protocols.", - "language": "Erlang", - "languageColor": "#B83998", - "stars": "281", - "forks": "172", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/141739?s=40&v=4", - "name": "essen", - "url": "https://github.com/essen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/439058?s=40&v=4", - "name": "manifest", - "url": "https://github.com/manifest" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32519?s=40&v=4", - "name": "ppolv", - "url": "https://github.com/ppolv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/273886?s=40&v=4", - "name": "zuiderkwast", - "url": "https://github.com/zuiderkwast" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1253659?s=40&v=4", - "name": "tony612", - "url": "https://github.com/tony612" - } - ] - }, { "title": "erlang/rebar3", "url": "https://github.com/erlang/rebar3", @@ -485,6 +448,43 @@ } ] }, + { + "title": "ninenines/cowlib", + "url": "https://github.com/ninenines/cowlib", + "description": "Support library for manipulating Web protocols.", + "language": "Erlang", + "languageColor": "#B83998", + "stars": "281", + "forks": "172", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/141739?s=40&v=4", + "name": "essen", + "url": "https://github.com/essen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/439058?s=40&v=4", + "name": "manifest", + "url": "https://github.com/manifest" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32519?s=40&v=4", + "name": "ppolv", + "url": "https://github.com/ppolv" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/273886?s=40&v=4", + "name": "zuiderkwast", + "url": "https://github.com/zuiderkwast" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1253659?s=40&v=4", + "name": "tony612", + "url": "https://github.com/tony612" + } + ] + }, { "title": "eproxus/meck", "url": "https://github.com/eproxus/meck", @@ -523,113 +523,113 @@ ] }, { - "title": "inaka/cowboy_swagger", - "url": "https://github.com/inaka/cowboy_swagger", - "description": "Swagger integration for Cowboy (built on trails)", + "title": "erlydtl/erlydtl", + "url": "https://github.com/erlydtl/erlydtl", + "description": "Django templates for Erlang", "language": "Erlang", "languageColor": "#B83998", - "stars": "121", - "forks": "58", - "addStars": "0", + "stars": "504", + "forks": "190", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/93657?s=40&v=4", - "name": "elbrujohalcon", - "url": "https://github.com/elbrujohalcon" + "avatar": "https://avatars.githubusercontent.com/u/72965?s=40&v=4", + "name": "kaos", + "url": "https://github.com/kaos" }, { - "avatar": "https://avatars.githubusercontent.com/u/7303768?s=40&v=4", - "name": "paulo-ferraz-oliveira", - "url": "https://github.com/paulo-ferraz-oliveira" + "avatar": "https://avatars.githubusercontent.com/u/134711?s=40&v=4", + "name": "evanmiller", + "url": "https://github.com/evanmiller" }, { - "avatar": "https://avatars.githubusercontent.com/u/216031?s=40&v=4", - "name": "harenson", - "url": "https://github.com/harenson" + "avatar": "https://avatars.githubusercontent.com/u/16122?s=40&v=4", + "name": "rsaccon", + "url": "https://github.com/rsaccon" }, { - "avatar": "https://avatars.githubusercontent.com/u/5407370?s=40&v=4", - "name": "cabol", - "url": "https://github.com/cabol" + "avatar": "https://avatars.githubusercontent.com/u/422014?s=40&v=4", + "name": "seriyps", + "url": "https://github.com/seriyps" }, { - "avatar": "https://avatars.githubusercontent.com/u/7842646?s=40&v=4", - "name": "ferigis", - "url": "https://github.com/ferigis" + "avatar": "https://avatars.githubusercontent.com/u/1273?s=40&v=4", + "name": "noss", + "url": "https://github.com/noss" } ] }, { - "title": "josefs/Gradualizer", - "url": "https://github.com/josefs/Gradualizer", - "description": "A Gradual type system for Erlang", + "title": "sile/jsone", + "url": "https://github.com/sile/jsone", + "description": "Erlang JSON library", "language": "Erlang", "languageColor": "#B83998", - "stars": "613", - "forks": "35", + "stars": "291", + "forks": "71", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/112145?s=40&v=4", - "name": "erszcz", - "url": "https://github.com/erszcz" + "avatar": "https://avatars.githubusercontent.com/u/181413?s=40&v=4", + "name": "sile", + "url": "https://github.com/sile" }, { - "avatar": "https://avatars.githubusercontent.com/u/152020?s=40&v=4", - "name": "josefs", - "url": "https://github.com/josefs" + "avatar": "https://avatars.githubusercontent.com/u/45734?s=40&v=4", + "name": "pichi", + "url": "https://github.com/pichi" }, { - "avatar": "https://avatars.githubusercontent.com/u/273886?s=40&v=4", - "name": "zuiderkwast", - "url": "https://github.com/zuiderkwast" + "avatar": "https://avatars.githubusercontent.com/u/870638?s=40&v=4", + "name": "srenatus", + "url": "https://github.com/srenatus" }, { - "avatar": "https://avatars.githubusercontent.com/u/3725991?s=40&v=4", - "name": "gomoripeti", - "url": "https://github.com/gomoripeti" + "avatar": "https://avatars.githubusercontent.com/u/14498?s=40&v=4", + "name": "benoitc", + "url": "https://github.com/benoitc" }, { - "avatar": "https://avatars.githubusercontent.com/u/908496?s=40&v=4", - "name": "Zalastax", - "url": "https://github.com/Zalastax" + "avatar": "https://avatars.githubusercontent.com/u/119093?s=40&v=4", + "name": "Licenser", + "url": "https://github.com/Licenser" } ] }, { - "title": "erlydtl/erlydtl", - "url": "https://github.com/erlydtl/erlydtl", - "description": "Django templates for Erlang", + "title": "ferd/recon", + "url": "https://github.com/ferd/recon", + "description": "Collection of functions and scripts to debug Erlang in production.", "language": "Erlang", "languageColor": "#B83998", - "stars": "504", - "forks": "190", + "stars": "1,371", + "forks": "278", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/72965?s=40&v=4", - "name": "kaos", - "url": "https://github.com/kaos" + "avatar": "https://avatars.githubusercontent.com/u/111141?s=40&v=4", + "name": "ferd", + "url": "https://github.com/ferd" }, { - "avatar": "https://avatars.githubusercontent.com/u/134711?s=40&v=4", - "name": "evanmiller", - "url": "https://github.com/evanmiller" + "avatar": "https://avatars.githubusercontent.com/u/70064?s=40&v=4", + "name": "bartekgorny", + "url": "https://github.com/bartekgorny" }, { - "avatar": "https://avatars.githubusercontent.com/u/16122?s=40&v=4", - "name": "rsaccon", - "url": "https://github.com/rsaccon" + "avatar": "https://avatars.githubusercontent.com/u/111762?s=40&v=4", + "name": "garazdawi", + "url": "https://github.com/garazdawi" }, { - "avatar": "https://avatars.githubusercontent.com/u/422014?s=40&v=4", - "name": "seriyps", - "url": "https://github.com/seriyps" + "avatar": "https://avatars.githubusercontent.com/u/7303768?s=40&v=4", + "name": "paulo-ferraz-oliveira", + "url": "https://github.com/paulo-ferraz-oliveira" }, { - "avatar": "https://avatars.githubusercontent.com/u/1273?s=40&v=4", - "name": "noss", - "url": "https://github.com/noss" + "avatar": "https://avatars.githubusercontent.com/u/9804420?s=40&v=4", + "name": "iilyak", + "url": "https://github.com/iilyak" } ] } diff --git a/data/weekly/erlang.xml b/data/weekly/erlang.xml index c2f4fe8d27f7..3ac6c9e24b81 100644 --- a/data/weekly/erlang.xml +++ b/data/weekly/erlang.xml @@ -3,7 +3,7 @@ GitHub Erlang Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Erlang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT emqx/emqx https://github.com/emqx/emqx @@ -12,7 +12,7 @@ Erlang #B83998 14,148 - 2,244 + 2,245 36 @@ -49,7 +49,7 @@ https://github.com/erlang/otp Erlang #B83998 - 11,408 + 11,409 2,954 16 @@ -80,44 +80,6 @@ - - dgiot/dgiot - https://github.com/dgiot/dgiot - Open source platform for iot , 6 min Quick Deployment,10M devices connection,Carrier level Stability;物联网开源平台,6分钟快速部署,千万级承载,电信级稳定性. Low code for Object model-Rule Engine-Data Channel-Configuration Page; Fully open source, Multi industrial protocols are compatible.物模型-规则引擎-数据通道-组态页面全流程零代码开发、全业务全链路模拟压测、前后端完全开源、多行业工业协议兼容。QQ群:346566935 - https://github.com/dgiot/dgiot - Erlang - #B83998 - 6,532 - 1,502 - 29 - - - https://avatars.githubusercontent.com/u/5266023?s=40&v=4 - lsxredrain - https://github.com/lsxredrain - - - https://avatars.githubusercontent.com/u/47805007?s=40&v=4 - dawnwinterLiu - https://github.com/dawnwinterLiu - - - https://avatars.githubusercontent.com/u/55233292?s=40&v=4 - h7ml - https://github.com/h7ml - - - https://avatars.githubusercontent.com/u/51999461?s=40&v=4 - wanguliux - https://github.com/wanguliux - - - https://avatars.githubusercontent.com/u/104543768?s=40&v=4 - shifttttttt - https://github.com/shifttttttt - - - erlang-lager/lager https://github.com/erlang-lager/lager @@ -157,78 +119,40 @@ - tomas-abrahamsson/gpb - https://github.com/tomas-abrahamsson/gpb - A Google Protobuf implementation for Erlang - https://github.com/tomas-abrahamsson/gpb - Erlang - #B83998 - 557 - 153 - 1 - - - https://avatars.githubusercontent.com/u/850307?s=40&v=4 - tomas-abrahamsson - https://github.com/tomas-abrahamsson - - - https://avatars.githubusercontent.com/u/639415?s=40&v=4 - lrascao - https://github.com/lrascao - - - https://avatars.githubusercontent.com/u/36227?s=40&v=4 - tsloughter - https://github.com/tsloughter - - - https://avatars.githubusercontent.com/u/514926?s=40&v=4 - lukebakken - https://github.com/lukebakken - - - https://avatars.githubusercontent.com/u/932156?s=40&v=4 - squidfunk - https://github.com/squidfunk - - - - - ferd/recon - https://github.com/ferd/recon - Collection of functions and scripts to debug Erlang in production. - https://github.com/ferd/recon + dgiot/dgiot + https://github.com/dgiot/dgiot + Open source platform for iot , 6 min Quick Deployment,10M devices connection,Carrier level Stability;物联网开源平台,6分钟快速部署,千万级承载,电信级稳定性. Low code for Object model-Rule Engine-Data Channel-Configuration Page; Fully open source, Multi industrial protocols are compatible.物模型-规则引擎-数据通道-组态页面全流程零代码开发、全业务全链路模拟压测、前后端完全开源、多行业工业协议兼容。QQ群:346566935 + https://github.com/dgiot/dgiot Erlang #B83998 - 1,371 - 278 - 1 + 6,532 + 1,502 + 29 - https://avatars.githubusercontent.com/u/111141?s=40&v=4 - ferd - https://github.com/ferd + https://avatars.githubusercontent.com/u/5266023?s=40&v=4 + lsxredrain + https://github.com/lsxredrain - https://avatars.githubusercontent.com/u/70064?s=40&v=4 - bartekgorny - https://github.com/bartekgorny + https://avatars.githubusercontent.com/u/47805007?s=40&v=4 + dawnwinterLiu + https://github.com/dawnwinterLiu - https://avatars.githubusercontent.com/u/111762?s=40&v=4 - garazdawi - https://github.com/garazdawi + https://avatars.githubusercontent.com/u/55233292?s=40&v=4 + h7ml + https://github.com/h7ml - https://avatars.githubusercontent.com/u/7303768?s=40&v=4 - paulo-ferraz-oliveira - https://github.com/paulo-ferraz-oliveira + https://avatars.githubusercontent.com/u/51999461?s=40&v=4 + wanguliux + https://github.com/wanguliux - https://avatars.githubusercontent.com/u/9804420?s=40&v=4 - iilyak - https://github.com/iilyak + https://avatars.githubusercontent.com/u/104543768?s=40&v=4 + shifttttttt + https://github.com/shifttttttt @@ -270,6 +194,82 @@ + + potatosalad/erlang-jose + https://github.com/potatosalad/erlang-jose + JSON Object Signing and Encryption (JOSE) for Erlang and Elixir + https://github.com/potatosalad/erlang-jose + Erlang + #B83998 + 309 + 104 + 1 + + + https://avatars.githubusercontent.com/u/1804?s=40&v=4 + potatosalad + https://github.com/potatosalad + + + https://avatars.githubusercontent.com/u/316890?s=40&v=4 + ericmj + https://github.com/ericmj + + + https://avatars.githubusercontent.com/u/1090?s=40&v=4 + michaelklishin + https://github.com/michaelklishin + + + https://avatars.githubusercontent.com/u/3890250?s=40&v=4 + whatyouhide + https://github.com/whatyouhide + + + https://avatars.githubusercontent.com/u/87961?s=40&v=4 + talklittle + https://github.com/talklittle + + + + + tomas-abrahamsson/gpb + https://github.com/tomas-abrahamsson/gpb + A Google Protobuf implementation for Erlang + https://github.com/tomas-abrahamsson/gpb + Erlang + #B83998 + 558 + 153 + 1 + + + https://avatars.githubusercontent.com/u/850307?s=40&v=4 + tomas-abrahamsson + https://github.com/tomas-abrahamsson + + + https://avatars.githubusercontent.com/u/639415?s=40&v=4 + lrascao + https://github.com/lrascao + + + https://avatars.githubusercontent.com/u/36227?s=40&v=4 + tsloughter + https://github.com/tsloughter + + + https://avatars.githubusercontent.com/u/514926?s=40&v=4 + lukebakken + https://github.com/lukebakken + + + https://avatars.githubusercontent.com/u/932156?s=40&v=4 + squidfunk + https://github.com/squidfunk + + + ninenines/ranch https://github.com/ninenines/ranch @@ -308,6 +308,44 @@ + + inaka/cowboy_swagger + https://github.com/inaka/cowboy_swagger + Swagger integration for Cowboy (built on trails) + https://github.com/inaka/cowboy_swagger + Erlang + #B83998 + 121 + 58 + 0 + + + https://avatars.githubusercontent.com/u/93657?s=40&v=4 + elbrujohalcon + https://github.com/elbrujohalcon + + + https://avatars.githubusercontent.com/u/7303768?s=40&v=4 + paulo-ferraz-oliveira + https://github.com/paulo-ferraz-oliveira + + + https://avatars.githubusercontent.com/u/216031?s=40&v=4 + harenson + https://github.com/harenson + + + https://avatars.githubusercontent.com/u/5407370?s=40&v=4 + cabol + https://github.com/cabol + + + https://avatars.githubusercontent.com/u/7842646?s=40&v=4 + ferigis + https://github.com/ferigis + + + jcomellas/getopt https://github.com/jcomellas/getopt @@ -346,44 +384,6 @@ - - potatosalad/erlang-jose - https://github.com/potatosalad/erlang-jose - JSON Object Signing and Encryption (JOSE) for Erlang and Elixir - https://github.com/potatosalad/erlang-jose - Erlang - #B83998 - 309 - 104 - 1 - - - https://avatars.githubusercontent.com/u/1804?s=40&v=4 - potatosalad - https://github.com/potatosalad - - - https://avatars.githubusercontent.com/u/316890?s=40&v=4 - ericmj - https://github.com/ericmj - - - https://avatars.githubusercontent.com/u/1090?s=40&v=4 - michaelklishin - https://github.com/michaelklishin - - - https://avatars.githubusercontent.com/u/3890250?s=40&v=4 - whatyouhide - https://github.com/whatyouhide - - - https://avatars.githubusercontent.com/u/87961?s=40&v=4 - talklittle - https://github.com/talklittle - - - uwiger/gproc https://github.com/uwiger/gproc @@ -422,44 +422,6 @@ - - ninenines/cowlib - https://github.com/ninenines/cowlib - Support library for manipulating Web protocols. - https://github.com/ninenines/cowlib - Erlang - #B83998 - 281 - 172 - 0 - - - https://avatars.githubusercontent.com/u/141739?s=40&v=4 - essen - https://github.com/essen - - - https://avatars.githubusercontent.com/u/439058?s=40&v=4 - manifest - https://github.com/manifest - - - https://avatars.githubusercontent.com/u/32519?s=40&v=4 - ppolv - https://github.com/ppolv - - - https://avatars.githubusercontent.com/u/273886?s=40&v=4 - zuiderkwast - https://github.com/zuiderkwast - - - https://avatars.githubusercontent.com/u/1253659?s=40&v=4 - tony612 - https://github.com/tony612 - - - erlang/rebar3 https://github.com/erlang/rebar3 @@ -498,6 +460,44 @@ + + ninenines/cowlib + https://github.com/ninenines/cowlib + Support library for manipulating Web protocols. + https://github.com/ninenines/cowlib + Erlang + #B83998 + 281 + 172 + 0 + + + https://avatars.githubusercontent.com/u/141739?s=40&v=4 + essen + https://github.com/essen + + + https://avatars.githubusercontent.com/u/439058?s=40&v=4 + manifest + https://github.com/manifest + + + https://avatars.githubusercontent.com/u/32519?s=40&v=4 + ppolv + https://github.com/ppolv + + + https://avatars.githubusercontent.com/u/273886?s=40&v=4 + zuiderkwast + https://github.com/zuiderkwast + + + https://avatars.githubusercontent.com/u/1253659?s=40&v=4 + tony612 + https://github.com/tony612 + + + eproxus/meck https://github.com/eproxus/meck @@ -537,116 +537,116 @@ - inaka/cowboy_swagger - https://github.com/inaka/cowboy_swagger - Swagger integration for Cowboy (built on trails) - https://github.com/inaka/cowboy_swagger + erlydtl/erlydtl + https://github.com/erlydtl/erlydtl + Django templates for Erlang + https://github.com/erlydtl/erlydtl Erlang #B83998 - 121 - 58 - 0 + 504 + 190 + 1 - https://avatars.githubusercontent.com/u/93657?s=40&v=4 - elbrujohalcon - https://github.com/elbrujohalcon + https://avatars.githubusercontent.com/u/72965?s=40&v=4 + kaos + https://github.com/kaos - https://avatars.githubusercontent.com/u/7303768?s=40&v=4 - paulo-ferraz-oliveira - https://github.com/paulo-ferraz-oliveira + https://avatars.githubusercontent.com/u/134711?s=40&v=4 + evanmiller + https://github.com/evanmiller - https://avatars.githubusercontent.com/u/216031?s=40&v=4 - harenson - https://github.com/harenson + https://avatars.githubusercontent.com/u/16122?s=40&v=4 + rsaccon + https://github.com/rsaccon - https://avatars.githubusercontent.com/u/5407370?s=40&v=4 - cabol - https://github.com/cabol + https://avatars.githubusercontent.com/u/422014?s=40&v=4 + seriyps + https://github.com/seriyps - https://avatars.githubusercontent.com/u/7842646?s=40&v=4 - ferigis - https://github.com/ferigis + https://avatars.githubusercontent.com/u/1273?s=40&v=4 + noss + https://github.com/noss - josefs/Gradualizer - https://github.com/josefs/Gradualizer - A Gradual type system for Erlang - https://github.com/josefs/Gradualizer + sile/jsone + https://github.com/sile/jsone + Erlang JSON library + https://github.com/sile/jsone Erlang #B83998 - 613 - 35 + 291 + 71 0 - https://avatars.githubusercontent.com/u/112145?s=40&v=4 - erszcz - https://github.com/erszcz + https://avatars.githubusercontent.com/u/181413?s=40&v=4 + sile + https://github.com/sile - https://avatars.githubusercontent.com/u/152020?s=40&v=4 - josefs - https://github.com/josefs + https://avatars.githubusercontent.com/u/45734?s=40&v=4 + pichi + https://github.com/pichi - https://avatars.githubusercontent.com/u/273886?s=40&v=4 - zuiderkwast - https://github.com/zuiderkwast + https://avatars.githubusercontent.com/u/870638?s=40&v=4 + srenatus + https://github.com/srenatus - https://avatars.githubusercontent.com/u/3725991?s=40&v=4 - gomoripeti - https://github.com/gomoripeti + https://avatars.githubusercontent.com/u/14498?s=40&v=4 + benoitc + https://github.com/benoitc - https://avatars.githubusercontent.com/u/908496?s=40&v=4 - Zalastax - https://github.com/Zalastax + https://avatars.githubusercontent.com/u/119093?s=40&v=4 + Licenser + https://github.com/Licenser - erlydtl/erlydtl - https://github.com/erlydtl/erlydtl - Django templates for Erlang - https://github.com/erlydtl/erlydtl + ferd/recon + https://github.com/ferd/recon + Collection of functions and scripts to debug Erlang in production. + https://github.com/ferd/recon Erlang #B83998 - 504 - 190 + 1,371 + 278 1 - https://avatars.githubusercontent.com/u/72965?s=40&v=4 - kaos - https://github.com/kaos + https://avatars.githubusercontent.com/u/111141?s=40&v=4 + ferd + https://github.com/ferd - https://avatars.githubusercontent.com/u/134711?s=40&v=4 - evanmiller - https://github.com/evanmiller + https://avatars.githubusercontent.com/u/70064?s=40&v=4 + bartekgorny + https://github.com/bartekgorny - https://avatars.githubusercontent.com/u/16122?s=40&v=4 - rsaccon - https://github.com/rsaccon + https://avatars.githubusercontent.com/u/111762?s=40&v=4 + garazdawi + https://github.com/garazdawi - https://avatars.githubusercontent.com/u/422014?s=40&v=4 - seriyps - https://github.com/seriyps + https://avatars.githubusercontent.com/u/7303768?s=40&v=4 + paulo-ferraz-oliveira + https://github.com/paulo-ferraz-oliveira - https://avatars.githubusercontent.com/u/1273?s=40&v=4 - noss - https://github.com/noss + https://avatars.githubusercontent.com/u/9804420?s=40&v=4 + iilyak + https://github.com/iilyak diff --git a/data/weekly/euphoria.json b/data/weekly/euphoria.json index bc30251ec522..3f3c12993809 100644 --- a/data/weekly/euphoria.json +++ b/data/weekly/euphoria.json @@ -2,6 +2,6 @@ "title": "GitHub Euphoria Languages Weekly Trending", "description": "Weekly Trending of Euphoria Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/euphoria.xml b/data/weekly/euphoria.xml index 30f8dfdaf73d..beaeafbeeaa1 100644 --- a/data/weekly/euphoria.xml +++ b/data/weekly/euphoria.xml @@ -3,6 +3,6 @@ GitHub Euphoria Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Euphoria Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/f#.json b/data/weekly/f#.json index 9fdd2c07400f..fe3b049852b9 100644 --- a/data/weekly/f#.json +++ b/data/weekly/f#.json @@ -2,6 +2,6 @@ "title": "GitHub F# Languages Weekly Trending", "description": "Weekly Trending of F# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/f#.xml b/data/weekly/f#.xml index 41d4361ddf94..599506a35597 100644 --- a/data/weekly/f#.xml +++ b/data/weekly/f#.xml @@ -3,6 +3,6 @@ GitHub F# Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of F# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/f*.json b/data/weekly/f*.json index f6780e4efe2f..978862b750b4 100644 --- a/data/weekly/f*.json +++ b/data/weekly/f*.json @@ -2,6 +2,6 @@ "title": "GitHub F* Languages Weekly Trending", "description": "Weekly Trending of F* Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/f*.xml b/data/weekly/f*.xml index 41155e0f0f72..ab06e146ccde 100644 --- a/data/weekly/f*.xml +++ b/data/weekly/f*.xml @@ -3,6 +3,6 @@ GitHub F* Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of F* Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/factor.json b/data/weekly/factor.json index e8fcc5e47037..89aa4e69d05d 100644 --- a/data/weekly/factor.json +++ b/data/weekly/factor.json @@ -2,6 +2,6 @@ "title": "GitHub Factor Languages Weekly Trending", "description": "Weekly Trending of Factor Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/factor.xml b/data/weekly/factor.xml index ae6e27e51c44..aa31c97aa1e7 100644 --- a/data/weekly/factor.xml +++ b/data/weekly/factor.xml @@ -3,6 +3,6 @@ GitHub Factor Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Factor Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/fancy.json b/data/weekly/fancy.json index 5e005514f534..715c172e2dd6 100644 --- a/data/weekly/fancy.json +++ b/data/weekly/fancy.json @@ -2,6 +2,6 @@ "title": "GitHub Fancy Languages Weekly Trending", "description": "Weekly Trending of Fancy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/fancy.xml b/data/weekly/fancy.xml index 885ef514b987..ffc2e5c1cf74 100644 --- a/data/weekly/fancy.xml +++ b/data/weekly/fancy.xml @@ -3,6 +3,6 @@ GitHub Fancy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Fancy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/fantom.json b/data/weekly/fantom.json index b94f8b049a10..75f981b00ce6 100644 --- a/data/weekly/fantom.json +++ b/data/weekly/fantom.json @@ -2,6 +2,6 @@ "title": "GitHub Fantom Languages Weekly Trending", "description": "Weekly Trending of Fantom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/fantom.xml b/data/weekly/fantom.xml index ac026a698a08..27e126f6b529 100644 --- a/data/weekly/fantom.xml +++ b/data/weekly/fantom.xml @@ -3,6 +3,6 @@ GitHub Fantom Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Fantom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/faust.json b/data/weekly/faust.json index 04325e178958..32a43f476d8f 100644 --- a/data/weekly/faust.json +++ b/data/weekly/faust.json @@ -2,6 +2,6 @@ "title": "GitHub Faust Languages Weekly Trending", "description": "Weekly Trending of Faust Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/faust.xml b/data/weekly/faust.xml index 83f50dc267b1..22f371b44e4b 100644 --- a/data/weekly/faust.xml +++ b/data/weekly/faust.xml @@ -3,6 +3,6 @@ GitHub Faust Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Faust Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/fennel.json b/data/weekly/fennel.json index 09ceda69ac73..4e17571c9e29 100644 --- a/data/weekly/fennel.json +++ b/data/weekly/fennel.json @@ -2,8 +2,45 @@ "title": "GitHub Fennel Languages Weekly Trending", "description": "Weekly Trending of Fennel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "ggandor/leap.nvim", + "url": "https://github.com/ggandor/leap.nvim", + "description": "Neovim's answer to the mouse 🦘", + "language": "Fennel", + "languageColor": "#fff3d7", + "stars": "4,408", + "forks": "46", + "addStars": "13", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/17800844?s=40&v=4", + "name": "ggandor", + "url": "https://github.com/ggandor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21133757?s=40&v=4", + "name": "aaronlifton", + "url": "https://github.com/aaronlifton" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/327489?s=40&v=4", + "name": "kuntau", + "url": "https://github.com/kuntau" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1383035?s=40&v=4", + "name": "krmbzds", + "url": "https://github.com/krmbzds" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5783792?s=40&v=4", + "name": "mivort", + "url": "https://github.com/mivort" + } + ] + }, { "title": "nyoom-engineering/oxocarbon.nvim", "url": "https://github.com/nyoom-engineering/oxocarbon.nvim", @@ -77,43 +114,6 @@ "url": "https://github.com/TerseTears" } ] - }, - { - "title": "ggandor/leap.nvim", - "url": "https://github.com/ggandor/leap.nvim", - "description": "Neovim's answer to the mouse 🦘", - "language": "Fennel", - "languageColor": "#fff3d7", - "stars": "4,405", - "forks": "46", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17800844?s=40&v=4", - "name": "ggandor", - "url": "https://github.com/ggandor" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21133757?s=40&v=4", - "name": "aaronlifton", - "url": "https://github.com/aaronlifton" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/327489?s=40&v=4", - "name": "kuntau", - "url": "https://github.com/kuntau" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1383035?s=40&v=4", - "name": "krmbzds", - "url": "https://github.com/krmbzds" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5783792?s=40&v=4", - "name": "mivort", - "url": "https://github.com/mivort" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/fennel.xml b/data/weekly/fennel.xml index b34beb1e152b..06c55f358436 100644 --- a/data/weekly/fennel.xml +++ b/data/weekly/fennel.xml @@ -3,7 +3,45 @@ GitHub Fennel Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Fennel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + ggandor/leap.nvim + https://github.com/ggandor/leap.nvim + Neovim's answer to the mouse 🦘 + https://github.com/ggandor/leap.nvim + Fennel + #fff3d7 + 4,408 + 46 + 13 + + + https://avatars.githubusercontent.com/u/17800844?s=40&v=4 + ggandor + https://github.com/ggandor + + + https://avatars.githubusercontent.com/u/21133757?s=40&v=4 + aaronlifton + https://github.com/aaronlifton + + + https://avatars.githubusercontent.com/u/327489?s=40&v=4 + kuntau + https://github.com/kuntau + + + https://avatars.githubusercontent.com/u/1383035?s=40&v=4 + krmbzds + https://github.com/krmbzds + + + https://avatars.githubusercontent.com/u/5783792?s=40&v=4 + mivort + https://github.com/mivort + + + nyoom-engineering/oxocarbon.nvim https://github.com/nyoom-engineering/oxocarbon.nvim @@ -80,43 +118,5 @@ - - ggandor/leap.nvim - https://github.com/ggandor/leap.nvim - Neovim's answer to the mouse 🦘 - https://github.com/ggandor/leap.nvim - Fennel - #fff3d7 - 4,405 - 46 - 13 - - - https://avatars.githubusercontent.com/u/17800844?s=40&v=4 - ggandor - https://github.com/ggandor - - - https://avatars.githubusercontent.com/u/21133757?s=40&v=4 - aaronlifton - https://github.com/aaronlifton - - - https://avatars.githubusercontent.com/u/327489?s=40&v=4 - kuntau - https://github.com/kuntau - - - https://avatars.githubusercontent.com/u/1383035?s=40&v=4 - krmbzds - https://github.com/krmbzds - - - https://avatars.githubusercontent.com/u/5783792?s=40&v=4 - mivort - https://github.com/mivort - - - \ No newline at end of file diff --git a/data/weekly/figlet-font.json b/data/weekly/figlet-font.json index e032a55dd756..12db5d449925 100644 --- a/data/weekly/figlet-font.json +++ b/data/weekly/figlet-font.json @@ -2,6 +2,6 @@ "title": "GitHub Figlet-font Languages Weekly Trending", "description": "Weekly Trending of Figlet-font Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/figlet-font.xml b/data/weekly/figlet-font.xml index a4390d8aacee..919e6fdba9c9 100644 --- a/data/weekly/figlet-font.xml +++ b/data/weekly/figlet-font.xml @@ -3,6 +3,6 @@ GitHub Figlet-font Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Figlet-font Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/filebench-wml.json b/data/weekly/filebench-wml.json index b198a3e0ac0c..e87658b40fef 100644 --- a/data/weekly/filebench-wml.json +++ b/data/weekly/filebench-wml.json @@ -2,6 +2,6 @@ "title": "GitHub Filebench-wml Languages Weekly Trending", "description": "Weekly Trending of Filebench-wml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/filebench-wml.xml b/data/weekly/filebench-wml.xml index bf622eceef6e..60c9c7707caf 100644 --- a/data/weekly/filebench-wml.xml +++ b/data/weekly/filebench-wml.xml @@ -3,6 +3,6 @@ GitHub Filebench-wml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Filebench-wml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/filterscript.json b/data/weekly/filterscript.json index e93a5612a464..e2c6c82231e0 100644 --- a/data/weekly/filterscript.json +++ b/data/weekly/filterscript.json @@ -2,6 +2,6 @@ "title": "GitHub Filterscript Languages Weekly Trending", "description": "Weekly Trending of Filterscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/filterscript.xml b/data/weekly/filterscript.xml index 6b3672d6c0e5..7ef1f18356b9 100644 --- a/data/weekly/filterscript.xml +++ b/data/weekly/filterscript.xml @@ -3,6 +3,6 @@ GitHub Filterscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Filterscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/firrtl.json b/data/weekly/firrtl.json index 269845dbfd29..9922613df20c 100644 --- a/data/weekly/firrtl.json +++ b/data/weekly/firrtl.json @@ -2,6 +2,6 @@ "title": "GitHub Firrtl Languages Weekly Trending", "description": "Weekly Trending of Firrtl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/firrtl.xml b/data/weekly/firrtl.xml index c380a0a22a0b..ce854bf1cd42 100644 --- a/data/weekly/firrtl.xml +++ b/data/weekly/firrtl.xml @@ -3,6 +3,6 @@ GitHub Firrtl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Firrtl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/fish.json b/data/weekly/fish.json index d1f9ea255341..ac05b124e39b 100644 --- a/data/weekly/fish.json +++ b/data/weekly/fish.json @@ -2,6 +2,6 @@ "title": "GitHub Fish Languages Weekly Trending", "description": "Weekly Trending of Fish Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/fish.xml b/data/weekly/fish.xml index 93d59d1f0bb6..b0a4aadc9633 100644 --- a/data/weekly/fish.xml +++ b/data/weekly/fish.xml @@ -3,6 +3,6 @@ GitHub Fish Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Fish Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/fluent.json b/data/weekly/fluent.json index 7e4f696c7b1e..14559be18a1e 100644 --- a/data/weekly/fluent.json +++ b/data/weekly/fluent.json @@ -2,7 +2,7 @@ "title": "GitHub Fluent Languages Weekly Trending", "description": "Weekly Trending of Fluent Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "janeczku/calibre-web", @@ -10,7 +10,7 @@ "description": "📚 Web app for browsing, reading and downloading eBooks stored in a Calibre database", "language": "Fluent", "languageColor": "#ffcc33", - "stars": "13,157", + "stars": "13,162", "forks": "1,405", "addStars": "78", "contributors": [ diff --git a/data/weekly/fluent.xml b/data/weekly/fluent.xml index b02d9f7c1494..52a6c38e9c1b 100644 --- a/data/weekly/fluent.xml +++ b/data/weekly/fluent.xml @@ -3,7 +3,7 @@ GitHub Fluent Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Fluent Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT janeczku/calibre-web https://github.com/janeczku/calibre-web @@ -11,7 +11,7 @@ https://github.com/janeczku/calibre-web Fluent #ffcc33 - 13,157 + 13,162 1,405 78 diff --git a/data/weekly/flux.json b/data/weekly/flux.json index 790ff26c7a0f..b838f2952888 100644 --- a/data/weekly/flux.json +++ b/data/weekly/flux.json @@ -2,7 +2,7 @@ "title": "GitHub Flux Languages Weekly Trending", "description": "Weekly Trending of Flux Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "influxdata/flux", diff --git a/data/weekly/flux.xml b/data/weekly/flux.xml index 636e1b0af79c..c659664880b2 100644 --- a/data/weekly/flux.xml +++ b/data/weekly/flux.xml @@ -3,7 +3,7 @@ GitHub Flux Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Flux Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT influxdata/flux https://github.com/influxdata/flux diff --git a/data/weekly/formatted.json b/data/weekly/formatted.json index 40f9e09fa52c..153a66960138 100644 --- a/data/weekly/formatted.json +++ b/data/weekly/formatted.json @@ -2,6 +2,6 @@ "title": "GitHub Formatted Languages Weekly Trending", "description": "Weekly Trending of Formatted Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/formatted.xml b/data/weekly/formatted.xml index 53334124dae7..938717787e40 100644 --- a/data/weekly/formatted.xml +++ b/data/weekly/formatted.xml @@ -3,6 +3,6 @@ GitHub Formatted Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Formatted Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/forth.json b/data/weekly/forth.json index 9dd8731b6ac6..993cb4ecf078 100644 --- a/data/weekly/forth.json +++ b/data/weekly/forth.json @@ -2,6 +2,6 @@ "title": "GitHub Forth Languages Weekly Trending", "description": "Weekly Trending of Forth Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/forth.xml b/data/weekly/forth.xml index 72004649e13e..03ea7d2b109c 100644 --- a/data/weekly/forth.xml +++ b/data/weekly/forth.xml @@ -3,6 +3,6 @@ GitHub Forth Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Forth Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/fortran-free-form.json b/data/weekly/fortran-free-form.json index d3af92694421..e0a098f42dd5 100644 --- a/data/weekly/fortran-free-form.json +++ b/data/weekly/fortran-free-form.json @@ -2,6 +2,6 @@ "title": "GitHub Fortran-free-form Languages Weekly Trending", "description": "Weekly Trending of Fortran-free-form Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/fortran-free-form.xml b/data/weekly/fortran-free-form.xml index 10a9ae2b64fa..0a8a1664130c 100644 --- a/data/weekly/fortran-free-form.xml +++ b/data/weekly/fortran-free-form.xml @@ -3,6 +3,6 @@ GitHub Fortran-free-form Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Fortran-free-form Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/fortran.json b/data/weekly/fortran.json index 6cee52e3b9df..7ac79b98ebbc 100644 --- a/data/weekly/fortran.json +++ b/data/weekly/fortran.json @@ -2,7 +2,7 @@ "title": "GitHub Fortran Languages Weekly Trending", "description": "Weekly Trending of Fortran Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "wrf-model/WRF", @@ -41,6 +41,43 @@ } ] }, + { + "title": "cp2k/cp2k", + "url": "https://github.com/cp2k/cp2k", + "description": "Quantum chemistry and solid state physics software package", + "language": "Fortran", + "languageColor": "#4d41b1", + "stars": "863", + "forks": "388", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4202567?s=40&v=4", + "name": "vondele", + "url": "https://github.com/vondele" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/241771?s=40&v=4", + "name": "oschuett", + "url": "https://github.com/oschuett" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25837887?s=40&v=4", + "name": "tlaino", + "url": "https://github.com/tlaino" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10218869?s=40&v=4", + "name": "mkrack", + "url": "https://github.com/mkrack" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16081490?s=40&v=4", + "name": "juerghutter", + "url": "https://github.com/juerghutter" + } + ] + }, { "title": "GEOS-ESM/MAPL", "url": "https://github.com/GEOS-ESM/MAPL", @@ -79,39 +116,76 @@ ] }, { - "title": "MCSclimate/MCT", - "url": "https://github.com/MCSclimate/MCT", - "description": "Model Coupling Tookit", + "title": "firemodels/fds", + "url": "https://github.com/firemodels/fds", + "description": "Fire Dynamics Simulator", "language": "Fortran", "languageColor": "#4d41b1", - "stars": "43", - "forks": "18", - "addStars": "0", + "stars": "673", + "forks": "626", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/947068?s=40&v=4", - "name": "rljacob", - "url": "https://github.com/rljacob" + "avatar": "https://avatars.githubusercontent.com/u/12403014?s=40&v=4", + "name": "gforney", + "url": "https://github.com/gforney" }, { - "avatar": "https://avatars.githubusercontent.com/u/1740206?s=40&v=4", - "name": "quantheory", - "url": "https://github.com/quantheory" + "avatar": "https://avatars.githubusercontent.com/u/11333911?s=40&v=4", + "name": "mcgratta", + "url": "https://github.com/mcgratta" }, { - "avatar": "https://avatars.githubusercontent.com/u/6126104?s=40&v=4", - "name": "jedwards4b", - "url": "https://github.com/jedwards4b" + "avatar": "https://avatars.githubusercontent.com/u/4418497?s=40&v=4", + "name": "rmcdermo", + "url": "https://github.com/rmcdermo" }, { - "avatar": "https://avatars.githubusercontent.com/u/5412?s=40&v=4", - "name": "steder", - "url": "https://github.com/steder" + "avatar": "https://avatars.githubusercontent.com/u/12799217?s=40&v=4", + "name": "drjfloyd", + "url": "https://github.com/drjfloyd" }, { - "avatar": "https://avatars.githubusercontent.com/u/13952101?s=40&v=4", - "name": "Katetc", - "url": "https://github.com/Katetc" + "avatar": "https://avatars.githubusercontent.com/u/7450069?s=40&v=4", + "name": "marcosvanella", + "url": "https://github.com/marcosvanella" + } + ] + }, + { + "title": "OpenFAST/openfast", + "url": "https://github.com/OpenFAST/openfast", + "description": "Main repository for the NREL-supported OpenFAST whole-turbine and FAST.Farm wind farm simulation codes.", + "language": "Fortran", + "languageColor": "#4d41b1", + "stars": "695", + "forks": "459", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6108781?s=40&v=4", + "name": "bjonkman", + "url": "https://github.com/bjonkman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2745453?s=40&v=4", + "name": "andrew-platt", + "url": "https://github.com/andrew-platt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13797903?s=40&v=4", + "name": "rafmudaf", + "url": "https://github.com/rafmudaf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1318316?s=40&v=4", + "name": "ebranlard", + "url": "https://github.com/ebranlard" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2040708?s=40&v=4", + "name": "michaelasprague", + "url": "https://github.com/michaelasprague" } ] }, @@ -152,80 +226,6 @@ } ] }, - { - "title": "cp2k/cp2k", - "url": "https://github.com/cp2k/cp2k", - "description": "Quantum chemistry and solid state physics software package", - "language": "Fortran", - "languageColor": "#4d41b1", - "stars": "863", - "forks": "388", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4202567?s=40&v=4", - "name": "vondele", - "url": "https://github.com/vondele" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/241771?s=40&v=4", - "name": "oschuett", - "url": "https://github.com/oschuett" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25837887?s=40&v=4", - "name": "tlaino", - "url": "https://github.com/tlaino" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10218869?s=40&v=4", - "name": "mkrack", - "url": "https://github.com/mkrack" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16081490?s=40&v=4", - "name": "juerghutter", - "url": "https://github.com/juerghutter" - } - ] - }, - { - "title": "OpenFAST/openfast", - "url": "https://github.com/OpenFAST/openfast", - "description": "Main repository for the NREL-supported OpenFAST whole-turbine and FAST.Farm wind farm simulation codes.", - "language": "Fortran", - "languageColor": "#4d41b1", - "stars": "695", - "forks": "458", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6108781?s=40&v=4", - "name": "bjonkman", - "url": "https://github.com/bjonkman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2745453?s=40&v=4", - "name": "andrew-platt", - "url": "https://github.com/andrew-platt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13797903?s=40&v=4", - "name": "rafmudaf", - "url": "https://github.com/rafmudaf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1318316?s=40&v=4", - "name": "ebranlard", - "url": "https://github.com/ebranlard" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2040708?s=40&v=4", - "name": "michaelasprague", - "url": "https://github.com/michaelasprague" - } - ] - }, { "title": "grimme-lab/xtb", "url": "https://github.com/grimme-lab/xtb", @@ -264,76 +264,76 @@ ] }, { - "title": "NGEET/fates", - "url": "https://github.com/NGEET/fates", - "description": "repository for the Functionally Assembled Terrestrial Ecosystem Simulator (FATES)", + "title": "MCSclimate/MCT", + "url": "https://github.com/MCSclimate/MCT", + "description": "Model Coupling Tookit", "language": "Fortran", "languageColor": "#4d41b1", - "stars": "105", - "forks": "92", - "addStars": "3", + "stars": "43", + "forks": "18", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5891980?s=40&v=4", - "name": "rgknox", - "url": "https://github.com/rgknox" + "avatar": "https://avatars.githubusercontent.com/u/947068?s=40&v=4", + "name": "rljacob", + "url": "https://github.com/rljacob" }, { - "avatar": "https://avatars.githubusercontent.com/u/7565064?s=40&v=4", - "name": "glemieux", - "url": "https://github.com/glemieux" + "avatar": "https://avatars.githubusercontent.com/u/1740206?s=40&v=4", + "name": "quantheory", + "url": "https://github.com/quantheory" }, { - "avatar": "https://avatars.githubusercontent.com/u/10852790?s=40&v=4", - "name": "ckoven", - "url": "https://github.com/ckoven" + "avatar": "https://avatars.githubusercontent.com/u/6126104?s=40&v=4", + "name": "jedwards4b", + "url": "https://github.com/jedwards4b" }, { - "avatar": "https://avatars.githubusercontent.com/u/12954691?s=40&v=4", - "name": "rosiealice", - "url": "https://github.com/rosiealice" + "avatar": "https://avatars.githubusercontent.com/u/5412?s=40&v=4", + "name": "steder", + "url": "https://github.com/steder" }, { - "avatar": "https://avatars.githubusercontent.com/u/8231111?s=40&v=4", - "name": "xuchongang", - "url": "https://github.com/xuchongang" + "avatar": "https://avatars.githubusercontent.com/u/13952101?s=40&v=4", + "name": "Katetc", + "url": "https://github.com/Katetc" } ] }, { - "title": "firemodels/fds", - "url": "https://github.com/firemodels/fds", - "description": "Fire Dynamics Simulator", + "title": "JuliaPackaging/Yggdrasil", + "url": "https://github.com/JuliaPackaging/Yggdrasil", + "description": "Collection of builder repositories for BinaryBuilder.jl", "language": "Fortran", "languageColor": "#4d41b1", - "stars": "673", - "forks": "626", - "addStars": "3", + "stars": "309", + "forks": "556", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12403014?s=40&v=4", - "name": "gforney", - "url": "https://github.com/gforney" + "avatar": "https://avatars.githubusercontent.com/u/765740?s=40&v=4", + "name": "giordano", + "url": "https://github.com/giordano" }, { - "avatar": "https://avatars.githubusercontent.com/u/11333911?s=40&v=4", - "name": "mcgratta", - "url": "https://github.com/mcgratta" + "avatar": "https://avatars.githubusercontent.com/u/130920?s=40&v=4", + "name": "staticfloat", + "url": "https://github.com/staticfloat" }, { - "avatar": "https://avatars.githubusercontent.com/u/4418497?s=40&v=4", - "name": "rmcdermo", - "url": "https://github.com/rmcdermo" + "avatar": "https://avatars.githubusercontent.com/u/383068?s=40&v=4", + "name": "maleadt", + "url": "https://github.com/maleadt" }, { - "avatar": "https://avatars.githubusercontent.com/u/12799217?s=40&v=4", - "name": "drjfloyd", - "url": "https://github.com/drjfloyd" + "avatar": "https://avatars.githubusercontent.com/u/213514?s=40&v=4", + "name": "eschnett", + "url": "https://github.com/eschnett" }, { - "avatar": "https://avatars.githubusercontent.com/u/7450069?s=40&v=4", - "name": "marcosvanella", - "url": "https://github.com/marcosvanella" + "avatar": "https://avatars.githubusercontent.com/u/145258?s=40&v=4", + "name": "vchuravy", + "url": "https://github.com/vchuravy" } ] } diff --git a/data/weekly/fortran.xml b/data/weekly/fortran.xml index 10d7b791b58d..1a632b1bf9f2 100644 --- a/data/weekly/fortran.xml +++ b/data/weekly/fortran.xml @@ -3,7 +3,7 @@ GitHub Fortran Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Fortran Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT wrf-model/WRF https://github.com/wrf-model/WRF @@ -42,6 +42,44 @@ + + cp2k/cp2k + https://github.com/cp2k/cp2k + Quantum chemistry and solid state physics software package + https://github.com/cp2k/cp2k + Fortran + #4d41b1 + 863 + 388 + 9 + + + https://avatars.githubusercontent.com/u/4202567?s=40&v=4 + vondele + https://github.com/vondele + + + https://avatars.githubusercontent.com/u/241771?s=40&v=4 + oschuett + https://github.com/oschuett + + + https://avatars.githubusercontent.com/u/25837887?s=40&v=4 + tlaino + https://github.com/tlaino + + + https://avatars.githubusercontent.com/u/10218869?s=40&v=4 + mkrack + https://github.com/mkrack + + + https://avatars.githubusercontent.com/u/16081490?s=40&v=4 + juerghutter + https://github.com/juerghutter + + + GEOS-ESM/MAPL https://github.com/GEOS-ESM/MAPL @@ -81,40 +119,78 @@ - MCSclimate/MCT - https://github.com/MCSclimate/MCT - Model Coupling Tookit - https://github.com/MCSclimate/MCT + firemodels/fds + https://github.com/firemodels/fds + Fire Dynamics Simulator + https://github.com/firemodels/fds Fortran #4d41b1 - 43 - 18 - 0 + 673 + 626 + 3 - https://avatars.githubusercontent.com/u/947068?s=40&v=4 - rljacob - https://github.com/rljacob + https://avatars.githubusercontent.com/u/12403014?s=40&v=4 + gforney + https://github.com/gforney - https://avatars.githubusercontent.com/u/1740206?s=40&v=4 - quantheory - https://github.com/quantheory + https://avatars.githubusercontent.com/u/11333911?s=40&v=4 + mcgratta + https://github.com/mcgratta - https://avatars.githubusercontent.com/u/6126104?s=40&v=4 - jedwards4b - https://github.com/jedwards4b + https://avatars.githubusercontent.com/u/4418497?s=40&v=4 + rmcdermo + https://github.com/rmcdermo - https://avatars.githubusercontent.com/u/5412?s=40&v=4 - steder - https://github.com/steder + https://avatars.githubusercontent.com/u/12799217?s=40&v=4 + drjfloyd + https://github.com/drjfloyd - https://avatars.githubusercontent.com/u/13952101?s=40&v=4 - Katetc - https://github.com/Katetc + https://avatars.githubusercontent.com/u/7450069?s=40&v=4 + marcosvanella + https://github.com/marcosvanella + + + + + OpenFAST/openfast + https://github.com/OpenFAST/openfast + Main repository for the NREL-supported OpenFAST whole-turbine and FAST.Farm wind farm simulation codes. + https://github.com/OpenFAST/openfast + Fortran + #4d41b1 + 695 + 459 + 2 + + + https://avatars.githubusercontent.com/u/6108781?s=40&v=4 + bjonkman + https://github.com/bjonkman + + + https://avatars.githubusercontent.com/u/2745453?s=40&v=4 + andrew-platt + https://github.com/andrew-platt + + + https://avatars.githubusercontent.com/u/13797903?s=40&v=4 + rafmudaf + https://github.com/rafmudaf + + + https://avatars.githubusercontent.com/u/1318316?s=40&v=4 + ebranlard + https://github.com/ebranlard + + + https://avatars.githubusercontent.com/u/2040708?s=40&v=4 + michaelasprague + https://github.com/michaelasprague @@ -156,82 +232,6 @@ - - cp2k/cp2k - https://github.com/cp2k/cp2k - Quantum chemistry and solid state physics software package - https://github.com/cp2k/cp2k - Fortran - #4d41b1 - 863 - 388 - 9 - - - https://avatars.githubusercontent.com/u/4202567?s=40&v=4 - vondele - https://github.com/vondele - - - https://avatars.githubusercontent.com/u/241771?s=40&v=4 - oschuett - https://github.com/oschuett - - - https://avatars.githubusercontent.com/u/25837887?s=40&v=4 - tlaino - https://github.com/tlaino - - - https://avatars.githubusercontent.com/u/10218869?s=40&v=4 - mkrack - https://github.com/mkrack - - - https://avatars.githubusercontent.com/u/16081490?s=40&v=4 - juerghutter - https://github.com/juerghutter - - - - - OpenFAST/openfast - https://github.com/OpenFAST/openfast - Main repository for the NREL-supported OpenFAST whole-turbine and FAST.Farm wind farm simulation codes. - https://github.com/OpenFAST/openfast - Fortran - #4d41b1 - 695 - 458 - 2 - - - https://avatars.githubusercontent.com/u/6108781?s=40&v=4 - bjonkman - https://github.com/bjonkman - - - https://avatars.githubusercontent.com/u/2745453?s=40&v=4 - andrew-platt - https://github.com/andrew-platt - - - https://avatars.githubusercontent.com/u/13797903?s=40&v=4 - rafmudaf - https://github.com/rafmudaf - - - https://avatars.githubusercontent.com/u/1318316?s=40&v=4 - ebranlard - https://github.com/ebranlard - - - https://avatars.githubusercontent.com/u/2040708?s=40&v=4 - michaelasprague - https://github.com/michaelasprague - - - grimme-lab/xtb https://github.com/grimme-lab/xtb @@ -271,78 +271,78 @@ - NGEET/fates - https://github.com/NGEET/fates - repository for the Functionally Assembled Terrestrial Ecosystem Simulator (FATES) - https://github.com/NGEET/fates + MCSclimate/MCT + https://github.com/MCSclimate/MCT + Model Coupling Tookit + https://github.com/MCSclimate/MCT Fortran #4d41b1 - 105 - 92 - 3 + 43 + 18 + 0 - https://avatars.githubusercontent.com/u/5891980?s=40&v=4 - rgknox - https://github.com/rgknox + https://avatars.githubusercontent.com/u/947068?s=40&v=4 + rljacob + https://github.com/rljacob - https://avatars.githubusercontent.com/u/7565064?s=40&v=4 - glemieux - https://github.com/glemieux + https://avatars.githubusercontent.com/u/1740206?s=40&v=4 + quantheory + https://github.com/quantheory - https://avatars.githubusercontent.com/u/10852790?s=40&v=4 - ckoven - https://github.com/ckoven + https://avatars.githubusercontent.com/u/6126104?s=40&v=4 + jedwards4b + https://github.com/jedwards4b - https://avatars.githubusercontent.com/u/12954691?s=40&v=4 - rosiealice - https://github.com/rosiealice + https://avatars.githubusercontent.com/u/5412?s=40&v=4 + steder + https://github.com/steder - https://avatars.githubusercontent.com/u/8231111?s=40&v=4 - xuchongang - https://github.com/xuchongang + https://avatars.githubusercontent.com/u/13952101?s=40&v=4 + Katetc + https://github.com/Katetc - firemodels/fds - https://github.com/firemodels/fds - Fire Dynamics Simulator - https://github.com/firemodels/fds + JuliaPackaging/Yggdrasil + https://github.com/JuliaPackaging/Yggdrasil + Collection of builder repositories for BinaryBuilder.jl + https://github.com/JuliaPackaging/Yggdrasil Fortran #4d41b1 - 673 - 626 - 3 + 309 + 556 + 0 - https://avatars.githubusercontent.com/u/12403014?s=40&v=4 - gforney - https://github.com/gforney + https://avatars.githubusercontent.com/u/765740?s=40&v=4 + giordano + https://github.com/giordano - https://avatars.githubusercontent.com/u/11333911?s=40&v=4 - mcgratta - https://github.com/mcgratta + https://avatars.githubusercontent.com/u/130920?s=40&v=4 + staticfloat + https://github.com/staticfloat - https://avatars.githubusercontent.com/u/4418497?s=40&v=4 - rmcdermo - https://github.com/rmcdermo + https://avatars.githubusercontent.com/u/383068?s=40&v=4 + maleadt + https://github.com/maleadt - https://avatars.githubusercontent.com/u/12799217?s=40&v=4 - drjfloyd - https://github.com/drjfloyd + https://avatars.githubusercontent.com/u/213514?s=40&v=4 + eschnett + https://github.com/eschnett - https://avatars.githubusercontent.com/u/7450069?s=40&v=4 - marcosvanella - https://github.com/marcosvanella + https://avatars.githubusercontent.com/u/145258?s=40&v=4 + vchuravy + https://github.com/vchuravy diff --git a/data/weekly/freebasic.json b/data/weekly/freebasic.json index 89c18a8a6f4e..01104ada2474 100644 --- a/data/weekly/freebasic.json +++ b/data/weekly/freebasic.json @@ -2,6 +2,6 @@ "title": "GitHub Freebasic Languages Weekly Trending", "description": "Weekly Trending of Freebasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/freebasic.xml b/data/weekly/freebasic.xml index 9780f89e029b..b4cc95cb7377 100644 --- a/data/weekly/freebasic.xml +++ b/data/weekly/freebasic.xml @@ -3,6 +3,6 @@ GitHub Freebasic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Freebasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/freemarker.json b/data/weekly/freemarker.json index 757d617f8fd5..65089180eb65 100644 --- a/data/weekly/freemarker.json +++ b/data/weekly/freemarker.json @@ -2,6 +2,6 @@ "title": "GitHub Freemarker Languages Weekly Trending", "description": "Weekly Trending of Freemarker Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/freemarker.xml b/data/weekly/freemarker.xml index 5651d74c4921..95b37dfaa231 100644 --- a/data/weekly/freemarker.xml +++ b/data/weekly/freemarker.xml @@ -3,6 +3,6 @@ GitHub Freemarker Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Freemarker Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/frege.json b/data/weekly/frege.json index 7f2fd7e1e5ae..70f0c701f73e 100644 --- a/data/weekly/frege.json +++ b/data/weekly/frege.json @@ -2,6 +2,6 @@ "title": "GitHub Frege Languages Weekly Trending", "description": "Weekly Trending of Frege Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/frege.xml b/data/weekly/frege.xml index 1357102c1bba..6746aacb402e 100644 --- a/data/weekly/frege.xml +++ b/data/weekly/frege.xml @@ -3,6 +3,6 @@ GitHub Frege Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Frege Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/futhark.json b/data/weekly/futhark.json index 845f2a840871..7dd010643fc3 100644 --- a/data/weekly/futhark.json +++ b/data/weekly/futhark.json @@ -2,6 +2,6 @@ "title": "GitHub Futhark Languages Weekly Trending", "description": "Weekly Trending of Futhark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/futhark.xml b/data/weekly/futhark.xml index e905cfa254fb..0096c0d6b84d 100644 --- a/data/weekly/futhark.xml +++ b/data/weekly/futhark.xml @@ -3,6 +3,6 @@ GitHub Futhark Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Futhark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/g-code.json b/data/weekly/g-code.json index 3ae5a0a9c31d..408be2a04298 100644 --- a/data/weekly/g-code.json +++ b/data/weekly/g-code.json @@ -2,7 +2,7 @@ "title": "GitHub G-code Languages Weekly Trending", "description": "Weekly Trending of G-code Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tanaes/whopping_Voron_mods", @@ -10,7 +10,7 @@ "description": "", "language": "G-code", "languageColor": "#D08CF2", - "stars": "567", + "stars": "566", "forks": "97", "addStars": "1", "contributors": [ diff --git a/data/weekly/g-code.xml b/data/weekly/g-code.xml index 31fff474eae9..49c033f0c37a 100644 --- a/data/weekly/g-code.xml +++ b/data/weekly/g-code.xml @@ -3,7 +3,7 @@ GitHub G-code Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of G-code Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tanaes/whopping_Voron_mods https://github.com/tanaes/whopping_Voron_mods @@ -11,7 +11,7 @@ https://github.com/tanaes/whopping_Voron_mods G-code #D08CF2 - 567 + 566 97 1 diff --git a/data/weekly/game-maker-language.json b/data/weekly/game-maker-language.json index a15712ec20f4..77c1685f92cb 100644 --- a/data/weekly/game-maker-language.json +++ b/data/weekly/game-maker-language.json @@ -2,6 +2,6 @@ "title": "GitHub Game-maker-language Languages Weekly Trending", "description": "Weekly Trending of Game-maker-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/game-maker-language.xml b/data/weekly/game-maker-language.xml index c24babb2b493..92be34ee3ac1 100644 --- a/data/weekly/game-maker-language.xml +++ b/data/weekly/game-maker-language.xml @@ -3,6 +3,6 @@ GitHub Game-maker-language Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Game-maker-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gaml.json b/data/weekly/gaml.json index 33e084b721b4..65b08e4fe985 100644 --- a/data/weekly/gaml.json +++ b/data/weekly/gaml.json @@ -2,6 +2,6 @@ "title": "GitHub Gaml Languages Weekly Trending", "description": "Weekly Trending of Gaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gaml.xml b/data/weekly/gaml.xml index b07438819499..d104cd1591c7 100644 --- a/data/weekly/gaml.xml +++ b/data/weekly/gaml.xml @@ -3,6 +3,6 @@ GitHub Gaml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gams.json b/data/weekly/gams.json index 87a7c1af41d5..5fbcbfe2c9ca 100644 --- a/data/weekly/gams.json +++ b/data/weekly/gams.json @@ -2,6 +2,6 @@ "title": "GitHub Gams Languages Weekly Trending", "description": "Weekly Trending of Gams Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gams.xml b/data/weekly/gams.xml index cb2dcc7bb945..7be2616f5010 100644 --- a/data/weekly/gams.xml +++ b/data/weekly/gams.xml @@ -3,6 +3,6 @@ GitHub Gams Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gams Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gap.json b/data/weekly/gap.json index 758569f1775e..278b995db974 100644 --- a/data/weekly/gap.json +++ b/data/weekly/gap.json @@ -2,6 +2,6 @@ "title": "GitHub Gap Languages Weekly Trending", "description": "Weekly Trending of Gap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gap.xml b/data/weekly/gap.xml index ac06b646bbcf..31e1604237a4 100644 --- a/data/weekly/gap.xml +++ b/data/weekly/gap.xml @@ -3,6 +3,6 @@ GitHub Gap Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gcc-machine-description.json b/data/weekly/gcc-machine-description.json index 1efcd4ccee47..707b32f43d0b 100644 --- a/data/weekly/gcc-machine-description.json +++ b/data/weekly/gcc-machine-description.json @@ -2,6 +2,6 @@ "title": "GitHub Gcc-machine-description Languages Weekly Trending", "description": "Weekly Trending of Gcc-machine-description Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gcc-machine-description.xml b/data/weekly/gcc-machine-description.xml index d376f66ff3f6..93c29a0dd3cc 100644 --- a/data/weekly/gcc-machine-description.xml +++ b/data/weekly/gcc-machine-description.xml @@ -3,6 +3,6 @@ GitHub Gcc-machine-description Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gcc-machine-description Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gdb.json b/data/weekly/gdb.json index 904eb30bf151..6bce19fe714f 100644 --- a/data/weekly/gdb.json +++ b/data/weekly/gdb.json @@ -2,6 +2,6 @@ "title": "GitHub Gdb Languages Weekly Trending", "description": "Weekly Trending of Gdb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gdb.xml b/data/weekly/gdb.xml index a2b9611231fe..f052c162aa43 100644 --- a/data/weekly/gdb.xml +++ b/data/weekly/gdb.xml @@ -3,6 +3,6 @@ GitHub Gdb Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gdb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gdscript.json b/data/weekly/gdscript.json index 120799610c4e..77715ff642a4 100644 --- a/data/weekly/gdscript.json +++ b/data/weekly/gdscript.json @@ -2,7 +2,7 @@ "title": "GitHub Gdscript Languages Weekly Trending", "description": "Weekly Trending of Gdscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Phazorknight/Cogito", @@ -84,7 +84,7 @@ "description": "Demonstration and Template Projects", "language": "GDScript", "languageColor": "#355570", - "stars": "6,019", + "stars": "6,020", "forks": "1,660", "addStars": "30", "contributors": [ @@ -121,7 +121,7 @@ "description": "💬 Create Dialogs, Visual Novels, RPGs, and manage Characters with Godot to create your Game!", "language": "GDScript", "languageColor": "#355570", - "stars": "4,034", + "stars": "4,036", "forks": "239", "addStars": "27", "contributors": [ @@ -158,7 +158,7 @@ "description": "Infinite canvas drawing/whiteboarding app for Windows, Linux and macOS. Made with Godot.", "language": "GDScript", "languageColor": "#355570", - "stars": "5,548", + "stars": "5,550", "forks": "240", "addStars": "29", "contributors": [ @@ -190,39 +190,39 @@ ] }, { - "title": "bitbrain/beehave", - "url": "https://github.com/bitbrain/beehave", - "description": "🐝 behavior tree AI for Godot Engine", + "title": "ramokz/phantom-camera", + "url": "https://github.com/ramokz/phantom-camera", + "description": "👻🎥 Control the movement and dynamically tween 2D & 3D cameras. Built for Godot 4. Inspired by Cinemachine.", "language": "GDScript", "languageColor": "#355570", - "stars": "1,980", - "forks": "122", + "stars": "2,279", + "forks": "77", "addStars": "23", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/822035?s=40&v=4", - "name": "bitbrain", - "url": "https://github.com/bitbrain" + "avatar": "https://avatars.githubusercontent.com/u/5159399?s=40&v=4", + "name": "ramokz", + "url": "https://github.com/ramokz" }, { - "avatar": "https://avatars.githubusercontent.com/u/21160216?s=40&v=4", - "name": "lostptr", - "url": "https://github.com/lostptr" + "avatar": "https://avatars.githubusercontent.com/u/12200619?s=40&v=4", + "name": "ZenithStar", + "url": "https://github.com/ZenithStar" }, { - "avatar": "https://avatars.githubusercontent.com/u/53086728?s=40&v=4", - "name": "Wichamir", - "url": "https://github.com/Wichamir" + "avatar": "https://avatars.githubusercontent.com/u/55060124?s=40&v=4", + "name": "audeck", + "url": "https://github.com/audeck" }, { - "avatar": "https://avatars.githubusercontent.com/u/6310506?s=40&v=4", - "name": "Werninator", - "url": "https://github.com/Werninator" + "avatar": "https://avatars.githubusercontent.com/u/44378225?s=40&v=4", + "name": "P5ina", + "url": "https://github.com/P5ina" }, { - "avatar": "https://avatars.githubusercontent.com/u/1756388?s=40&v=4", - "name": "DarkMessiah", - "url": "https://github.com/DarkMessiah" + "avatar": "https://avatars.githubusercontent.com/u/145708933?s=40&v=4", + "name": "GrogsyShovel", + "url": "https://github.com/GrogsyShovel" } ] }, @@ -300,43 +300,6 @@ } ] }, - { - "title": "derkork/godot-statecharts", - "url": "https://github.com/derkork/godot-statecharts", - "description": "A state charts extension for Godot 4", - "language": "GDScript", - "languageColor": "#355570", - "stars": "865", - "forks": "44", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/327257?s=40&v=4", - "name": "derkork", - "url": "https://github.com/derkork" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19820741?s=40&v=4", - "name": "joelgomes1994", - "url": "https://github.com/joelgomes1994" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/640587?s=40&v=4", - "name": "jtakakura", - "url": "https://github.com/jtakakura" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35243344?s=40&v=4", - "name": "alitnk", - "url": "https://github.com/alitnk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43023911?s=40&v=4", - "name": "uzkbwza", - "url": "https://github.com/uzkbwza" - } - ] - }, { "title": "SirRamEsq/SmartShape2D", "url": "https://github.com/SirRamEsq/SmartShape2D", @@ -375,39 +338,39 @@ ] }, { - "title": "ramokz/phantom-camera", - "url": "https://github.com/ramokz/phantom-camera", - "description": "👻🎥 Control the movement and dynamically tween 2D & 3D cameras. Built for Godot 4. Inspired by Cinemachine.", + "title": "RodZill4/material-maker", + "url": "https://github.com/RodZill4/material-maker", + "description": "A procedural textures authoring and 3D model painting tool based on the Godot game engine", "language": "GDScript", "languageColor": "#355570", - "stars": "2,277", - "forks": "77", - "addStars": "23", + "stars": "3,551", + "forks": "222", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5159399?s=40&v=4", - "name": "ramokz", - "url": "https://github.com/ramokz" + "avatar": "https://avatars.githubusercontent.com/u/6957908?s=40&v=4", + "name": "RodZill4", + "url": "https://github.com/RodZill4" }, { - "avatar": "https://avatars.githubusercontent.com/u/12200619?s=40&v=4", - "name": "ZenithStar", - "url": "https://github.com/ZenithStar" + "avatar": "https://avatars.githubusercontent.com/u/830253?s=40&v=4", + "name": "williamchange", + "url": "https://github.com/williamchange" }, { - "avatar": "https://avatars.githubusercontent.com/u/55060124?s=40&v=4", - "name": "audeck", - "url": "https://github.com/audeck" + "avatar": "https://avatars.githubusercontent.com/u/4955051?s=40&v=4", + "name": "Arnklit", + "url": "https://github.com/Arnklit" }, { - "avatar": "https://avatars.githubusercontent.com/u/44378225?s=40&v=4", - "name": "P5ina", - "url": "https://github.com/P5ina" + "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", + "name": "Calinou", + "url": "https://github.com/Calinou" }, { - "avatar": "https://avatars.githubusercontent.com/u/145708933?s=40&v=4", - "name": "GrogsyShovel", - "url": "https://github.com/GrogsyShovel" + "avatar": "https://avatars.githubusercontent.com/u/42868150?s=40&v=4", + "name": "Jowan-Spooner", + "url": "https://github.com/Jowan-Spooner" } ] }, @@ -417,7 +380,7 @@ "description": "Godot engine addon to randomly fill an area with props or other scenes", "language": "GDScript", "languageColor": "#355570", - "stars": "2,180", + "stars": "2,181", "forks": "97", "addStars": "17", "contributors": [ @@ -448,43 +411,6 @@ } ] }, - { - "title": "RodZill4/material-maker", - "url": "https://github.com/RodZill4/material-maker", - "description": "A procedural textures authoring and 3D model painting tool based on the Godot game engine", - "language": "GDScript", - "languageColor": "#355570", - "stars": "3,550", - "forks": "222", - "addStars": "15", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6957908?s=40&v=4", - "name": "RodZill4", - "url": "https://github.com/RodZill4" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/830253?s=40&v=4", - "name": "williamchange", - "url": "https://github.com/williamchange" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4955051?s=40&v=4", - "name": "Arnklit", - "url": "https://github.com/Arnklit" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/180032?s=40&v=4", - "name": "Calinou", - "url": "https://github.com/Calinou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42868150?s=40&v=4", - "name": "Jowan-Spooner", - "url": "https://github.com/Jowan-Spooner" - } - ] - }, { "title": "MewPurPur/GodSVG", "url": "https://github.com/MewPurPur/GodSVG", diff --git a/data/weekly/gdscript.xml b/data/weekly/gdscript.xml index 0b5e68671c9f..4956f294fbf2 100644 --- a/data/weekly/gdscript.xml +++ b/data/weekly/gdscript.xml @@ -3,7 +3,7 @@ GitHub Gdscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gdscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Phazorknight/Cogito https://github.com/Phazorknight/Cogito @@ -87,7 +87,7 @@ https://github.com/godotengine/godot-demo-projects GDScript #355570 - 6,019 + 6,020 1,660 30 @@ -125,7 +125,7 @@ https://github.com/dialogic-godot/dialogic GDScript #355570 - 4,034 + 4,036 239 27 @@ -163,7 +163,7 @@ https://github.com/mbrlabs/Lorien GDScript #355570 - 5,548 + 5,550 240 29 @@ -195,40 +195,40 @@ - bitbrain/beehave - https://github.com/bitbrain/beehave - 🐝 behavior tree AI for Godot Engine - https://github.com/bitbrain/beehave + ramokz/phantom-camera + https://github.com/ramokz/phantom-camera + 👻🎥 Control the movement and dynamically tween 2D & 3D cameras. Built for Godot 4. Inspired by Cinemachine. + https://github.com/ramokz/phantom-camera GDScript #355570 - 1,980 - 122 + 2,279 + 77 23 - https://avatars.githubusercontent.com/u/822035?s=40&v=4 - bitbrain - https://github.com/bitbrain + https://avatars.githubusercontent.com/u/5159399?s=40&v=4 + ramokz + https://github.com/ramokz - https://avatars.githubusercontent.com/u/21160216?s=40&v=4 - lostptr - https://github.com/lostptr + https://avatars.githubusercontent.com/u/12200619?s=40&v=4 + ZenithStar + https://github.com/ZenithStar - https://avatars.githubusercontent.com/u/53086728?s=40&v=4 - Wichamir - https://github.com/Wichamir + https://avatars.githubusercontent.com/u/55060124?s=40&v=4 + audeck + https://github.com/audeck - https://avatars.githubusercontent.com/u/6310506?s=40&v=4 - Werninator - https://github.com/Werninator + https://avatars.githubusercontent.com/u/44378225?s=40&v=4 + P5ina + https://github.com/P5ina - https://avatars.githubusercontent.com/u/1756388?s=40&v=4 - DarkMessiah - https://github.com/DarkMessiah + https://avatars.githubusercontent.com/u/145708933?s=40&v=4 + GrogsyShovel + https://github.com/GrogsyShovel @@ -308,44 +308,6 @@ - - derkork/godot-statecharts - https://github.com/derkork/godot-statecharts - A state charts extension for Godot 4 - https://github.com/derkork/godot-statecharts - GDScript - #355570 - 865 - 44 - 13 - - - https://avatars.githubusercontent.com/u/327257?s=40&v=4 - derkork - https://github.com/derkork - - - https://avatars.githubusercontent.com/u/19820741?s=40&v=4 - joelgomes1994 - https://github.com/joelgomes1994 - - - https://avatars.githubusercontent.com/u/640587?s=40&v=4 - jtakakura - https://github.com/jtakakura - - - https://avatars.githubusercontent.com/u/35243344?s=40&v=4 - alitnk - https://github.com/alitnk - - - https://avatars.githubusercontent.com/u/43023911?s=40&v=4 - uzkbwza - https://github.com/uzkbwza - - - SirRamEsq/SmartShape2D https://github.com/SirRamEsq/SmartShape2D @@ -385,40 +347,40 @@ - ramokz/phantom-camera - https://github.com/ramokz/phantom-camera - 👻🎥 Control the movement and dynamically tween 2D & 3D cameras. Built for Godot 4. Inspired by Cinemachine. - https://github.com/ramokz/phantom-camera + RodZill4/material-maker + https://github.com/RodZill4/material-maker + A procedural textures authoring and 3D model painting tool based on the Godot game engine + https://github.com/RodZill4/material-maker GDScript #355570 - 2,277 - 77 - 23 + 3,551 + 222 + 15 - https://avatars.githubusercontent.com/u/5159399?s=40&v=4 - ramokz - https://github.com/ramokz + https://avatars.githubusercontent.com/u/6957908?s=40&v=4 + RodZill4 + https://github.com/RodZill4 - https://avatars.githubusercontent.com/u/12200619?s=40&v=4 - ZenithStar - https://github.com/ZenithStar + https://avatars.githubusercontent.com/u/830253?s=40&v=4 + williamchange + https://github.com/williamchange - https://avatars.githubusercontent.com/u/55060124?s=40&v=4 - audeck - https://github.com/audeck + https://avatars.githubusercontent.com/u/4955051?s=40&v=4 + Arnklit + https://github.com/Arnklit - https://avatars.githubusercontent.com/u/44378225?s=40&v=4 - P5ina - https://github.com/P5ina + https://avatars.githubusercontent.com/u/180032?s=40&v=4 + Calinou + https://github.com/Calinou - https://avatars.githubusercontent.com/u/145708933?s=40&v=4 - GrogsyShovel - https://github.com/GrogsyShovel + https://avatars.githubusercontent.com/u/42868150?s=40&v=4 + Jowan-Spooner + https://github.com/Jowan-Spooner @@ -429,7 +391,7 @@ https://github.com/HungryProton/scatter GDScript #355570 - 2,180 + 2,181 97 17 @@ -460,44 +422,6 @@ - - RodZill4/material-maker - https://github.com/RodZill4/material-maker - A procedural textures authoring and 3D model painting tool based on the Godot game engine - https://github.com/RodZill4/material-maker - GDScript - #355570 - 3,550 - 222 - 15 - - - https://avatars.githubusercontent.com/u/6957908?s=40&v=4 - RodZill4 - https://github.com/RodZill4 - - - https://avatars.githubusercontent.com/u/830253?s=40&v=4 - williamchange - https://github.com/williamchange - - - https://avatars.githubusercontent.com/u/4955051?s=40&v=4 - Arnklit - https://github.com/Arnklit - - - https://avatars.githubusercontent.com/u/180032?s=40&v=4 - Calinou - https://github.com/Calinou - - - https://avatars.githubusercontent.com/u/42868150?s=40&v=4 - Jowan-Spooner - https://github.com/Jowan-Spooner - - - MewPurPur/GodSVG https://github.com/MewPurPur/GodSVG diff --git a/data/weekly/gedcom.json b/data/weekly/gedcom.json index f0b0775ddd30..c1883c610469 100644 --- a/data/weekly/gedcom.json +++ b/data/weekly/gedcom.json @@ -2,6 +2,6 @@ "title": "GitHub Gedcom Languages Weekly Trending", "description": "Weekly Trending of Gedcom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gedcom.xml b/data/weekly/gedcom.xml index be4ae8cbfcc6..7c2d5199ff48 100644 --- a/data/weekly/gedcom.xml +++ b/data/weekly/gedcom.xml @@ -3,6 +3,6 @@ GitHub Gedcom Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gedcom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gemfile.lock.json b/data/weekly/gemfile.lock.json index 15fc4d4e6e3a..ec5548877e9c 100644 --- a/data/weekly/gemfile.lock.json +++ b/data/weekly/gemfile.lock.json @@ -2,6 +2,6 @@ "title": "GitHub Gemfile.lock Languages Weekly Trending", "description": "Weekly Trending of Gemfile.lock Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gemfile.lock.xml b/data/weekly/gemfile.lock.xml index a67006b05233..494ff7d3e65a 100644 --- a/data/weekly/gemfile.lock.xml +++ b/data/weekly/gemfile.lock.xml @@ -3,6 +3,6 @@ GitHub Gemfile.lock Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gemfile.lock Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gemini.json b/data/weekly/gemini.json index 1278dea4af37..46ec563454db 100644 --- a/data/weekly/gemini.json +++ b/data/weekly/gemini.json @@ -2,6 +2,6 @@ "title": "GitHub Gemini Languages Weekly Trending", "description": "Weekly Trending of Gemini Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gemini.xml b/data/weekly/gemini.xml index 4b0f9954e80f..bc8ac5dca6d5 100644 --- a/data/weekly/gemini.xml +++ b/data/weekly/gemini.xml @@ -3,6 +3,6 @@ GitHub Gemini Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gemini Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/genero-4gl.json b/data/weekly/genero-4gl.json index 6d3f2df74ff9..aa2e72e535bf 100644 --- a/data/weekly/genero-4gl.json +++ b/data/weekly/genero-4gl.json @@ -2,6 +2,6 @@ "title": "GitHub Genero-4gl Languages Weekly Trending", "description": "Weekly Trending of Genero-4gl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/genero-4gl.xml b/data/weekly/genero-4gl.xml index 0b3fdd842b60..5b756b576aef 100644 --- a/data/weekly/genero-4gl.xml +++ b/data/weekly/genero-4gl.xml @@ -3,6 +3,6 @@ GitHub Genero-4gl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Genero-4gl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/genero-per.json b/data/weekly/genero-per.json index 82f75b8e733d..c1921f9a72c4 100644 --- a/data/weekly/genero-per.json +++ b/data/weekly/genero-per.json @@ -2,6 +2,6 @@ "title": "GitHub Genero-per Languages Weekly Trending", "description": "Weekly Trending of Genero-per Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/genero-per.xml b/data/weekly/genero-per.xml index eb9da501d8ac..0de0ee6de146 100644 --- a/data/weekly/genero-per.xml +++ b/data/weekly/genero-per.xml @@ -3,6 +3,6 @@ GitHub Genero-per Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Genero-per Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/genie.json b/data/weekly/genie.json index bd4bc76cce21..85a8a4728916 100644 --- a/data/weekly/genie.json +++ b/data/weekly/genie.json @@ -2,6 +2,6 @@ "title": "GitHub Genie Languages Weekly Trending", "description": "Weekly Trending of Genie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/genie.xml b/data/weekly/genie.xml index 4f2108b873ca..a71131ee315d 100644 --- a/data/weekly/genie.xml +++ b/data/weekly/genie.xml @@ -3,6 +3,6 @@ GitHub Genie Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Genie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/genshi.json b/data/weekly/genshi.json index 22611c4d9ca7..2d1ddca8766c 100644 --- a/data/weekly/genshi.json +++ b/data/weekly/genshi.json @@ -2,6 +2,6 @@ "title": "GitHub Genshi Languages Weekly Trending", "description": "Weekly Trending of Genshi Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/genshi.xml b/data/weekly/genshi.xml index e769a46cd913..ee5879a8e8b3 100644 --- a/data/weekly/genshi.xml +++ b/data/weekly/genshi.xml @@ -3,6 +3,6 @@ GitHub Genshi Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Genshi Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gentoo-ebuild.json b/data/weekly/gentoo-ebuild.json index 3b9fd5d7e3e3..ef39b314a0b3 100644 --- a/data/weekly/gentoo-ebuild.json +++ b/data/weekly/gentoo-ebuild.json @@ -2,6 +2,6 @@ "title": "GitHub Gentoo-ebuild Languages Weekly Trending", "description": "Weekly Trending of Gentoo-ebuild Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gentoo-ebuild.xml b/data/weekly/gentoo-ebuild.xml index 91195de3e8aa..765cd62807b6 100644 --- a/data/weekly/gentoo-ebuild.xml +++ b/data/weekly/gentoo-ebuild.xml @@ -3,6 +3,6 @@ GitHub Gentoo-ebuild Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gentoo-ebuild Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gentoo-eclass.json b/data/weekly/gentoo-eclass.json index 3fd206b5e85b..e05c83454443 100644 --- a/data/weekly/gentoo-eclass.json +++ b/data/weekly/gentoo-eclass.json @@ -2,6 +2,6 @@ "title": "GitHub Gentoo-eclass Languages Weekly Trending", "description": "Weekly Trending of Gentoo-eclass Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gentoo-eclass.xml b/data/weekly/gentoo-eclass.xml index f8de51dcad9f..8ea730301156 100644 --- a/data/weekly/gentoo-eclass.xml +++ b/data/weekly/gentoo-eclass.xml @@ -3,6 +3,6 @@ GitHub Gentoo-eclass Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gentoo-eclass Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gerber-image.json b/data/weekly/gerber-image.json index c923d3de06c9..1178e41d1da5 100644 --- a/data/weekly/gerber-image.json +++ b/data/weekly/gerber-image.json @@ -2,6 +2,6 @@ "title": "GitHub Gerber-image Languages Weekly Trending", "description": "Weekly Trending of Gerber-image Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gerber-image.xml b/data/weekly/gerber-image.xml index 253d47e7b741..f521cadc4bd2 100644 --- a/data/weekly/gerber-image.xml +++ b/data/weekly/gerber-image.xml @@ -3,6 +3,6 @@ GitHub Gerber-image Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gerber-image Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gettext-catalog.json b/data/weekly/gettext-catalog.json index ab6745f9ebbd..3406f1972b2b 100644 --- a/data/weekly/gettext-catalog.json +++ b/data/weekly/gettext-catalog.json @@ -2,6 +2,6 @@ "title": "GitHub Gettext-catalog Languages Weekly Trending", "description": "Weekly Trending of Gettext-catalog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gettext-catalog.xml b/data/weekly/gettext-catalog.xml index 8e72a5de2ef9..a9da5ebca1b1 100644 --- a/data/weekly/gettext-catalog.xml +++ b/data/weekly/gettext-catalog.xml @@ -3,6 +3,6 @@ GitHub Gettext-catalog Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gettext-catalog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gherkin.json b/data/weekly/gherkin.json index 91b0a79b0eaf..1004d3e39263 100644 --- a/data/weekly/gherkin.json +++ b/data/weekly/gherkin.json @@ -2,7 +2,7 @@ "title": "GitHub Gherkin Languages Weekly Trending", "description": "Weekly Trending of Gherkin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ac3cloud/ript", diff --git a/data/weekly/gherkin.xml b/data/weekly/gherkin.xml index 015f231e07b2..a5d6b2c1986f 100644 --- a/data/weekly/gherkin.xml +++ b/data/weekly/gherkin.xml @@ -3,7 +3,7 @@ GitHub Gherkin Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gherkin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ac3cloud/ript https://github.com/ac3cloud/ript diff --git a/data/weekly/git-attributes.json b/data/weekly/git-attributes.json index 3ccfbe4223f1..4ab52da678b7 100644 --- a/data/weekly/git-attributes.json +++ b/data/weekly/git-attributes.json @@ -2,44 +2,6 @@ "title": "GitHub Git-attributes Languages Weekly Trending", "description": "Weekly Trending of Git-attributes Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "gitattributes/gitattributes", - "url": "https://github.com/gitattributes/gitattributes", - "description": "A collection of useful .gitattributes templates", - "language": "Git Attributes", - "languageColor": "#F44D27", - "stars": "3,397", - "forks": "591", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/128712?s=40&v=4", - "name": "alexkaratarakis", - "url": "https://github.com/alexkaratarakis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29491356?s=40&v=4", - "name": "Richienb", - "url": "https://github.com/Richienb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8467416?s=40&v=4", - "name": "FurkanKambay", - "url": "https://github.com/FurkanKambay" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5503306?s=40&v=4", - "name": "imaginate", - "url": "https://github.com/imaginate" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/21266147?s=40&v=4", - "name": "carlocorradini", - "url": "https://github.com/carlocorradini" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/weekly/git-attributes.xml b/data/weekly/git-attributes.xml index 40f12e716d14..37b8193365db 100644 --- a/data/weekly/git-attributes.xml +++ b/data/weekly/git-attributes.xml @@ -3,44 +3,6 @@ GitHub Git-attributes Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Git-attributes Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - gitattributes/gitattributes - https://github.com/gitattributes/gitattributes - A collection of useful .gitattributes templates - https://github.com/gitattributes/gitattributes - Git Attributes - #F44D27 - 3,397 - 591 - 7 - - - https://avatars.githubusercontent.com/u/128712?s=40&v=4 - alexkaratarakis - https://github.com/alexkaratarakis - - - https://avatars.githubusercontent.com/u/29491356?s=40&v=4 - Richienb - https://github.com/Richienb - - - https://avatars.githubusercontent.com/u/8467416?s=40&v=4 - FurkanKambay - https://github.com/FurkanKambay - - - https://avatars.githubusercontent.com/u/5503306?s=40&v=4 - imaginate - https://github.com/imaginate - - - https://avatars.githubusercontent.com/u/21266147?s=40&v=4 - carlocorradini - https://github.com/carlocorradini - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/git-config.json b/data/weekly/git-config.json index ca13c9504439..2f7b0107d163 100644 --- a/data/weekly/git-config.json +++ b/data/weekly/git-config.json @@ -2,6 +2,6 @@ "title": "GitHub Git-config Languages Weekly Trending", "description": "Weekly Trending of Git-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/git-config.xml b/data/weekly/git-config.xml index 554b32246ce6..80eb4ca49082 100644 --- a/data/weekly/git-config.xml +++ b/data/weekly/git-config.xml @@ -3,6 +3,6 @@ GitHub Git-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Git-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/git-revision-list.json b/data/weekly/git-revision-list.json index 26702801de86..ea72c1b2499d 100644 --- a/data/weekly/git-revision-list.json +++ b/data/weekly/git-revision-list.json @@ -2,6 +2,6 @@ "title": "GitHub Git-revision-list Languages Weekly Trending", "description": "Weekly Trending of Git-revision-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/git-revision-list.xml b/data/weekly/git-revision-list.xml index bd1e24f6f64a..5c1004eb2f33 100644 --- a/data/weekly/git-revision-list.xml +++ b/data/weekly/git-revision-list.xml @@ -3,6 +3,6 @@ GitHub Git-revision-list Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Git-revision-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gleam.json b/data/weekly/gleam.json index 2dfae14f0792..280aa74e7329 100644 --- a/data/weekly/gleam.json +++ b/data/weekly/gleam.json @@ -2,7 +2,7 @@ "title": "GitHub Gleam Languages Weekly Trending", "description": "Weekly Trending of Gleam Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gleam-lang/awesome-gleam", @@ -10,7 +10,7 @@ "description": "💯 A collection of Gleam libraries, projects, and resources", "language": "Gleam", "languageColor": "#ffaff3", - "stars": "1,360", + "stars": "1,361", "forks": "77", "addStars": "21", "contributors": [ @@ -47,8 +47,8 @@ "description": "A Gleam web framework for building HTML templates, single page applications, and real-time server components.", "language": "Gleam", "languageColor": "#ffaff3", - "stars": "1,197", - "forks": "77", + "stars": "1,199", + "forks": "78", "addStars": "23", "contributors": [ { @@ -84,7 +84,7 @@ "description": "🧚 A practical web framework for Gleam", "language": "Gleam", "languageColor": "#ffaff3", - "stars": "903", + "stars": "905", "forks": "41", "addStars": "12", "contributors": [ diff --git a/data/weekly/gleam.xml b/data/weekly/gleam.xml index 7d648df99f99..bce4bcd58f5a 100644 --- a/data/weekly/gleam.xml +++ b/data/weekly/gleam.xml @@ -3,7 +3,7 @@ GitHub Gleam Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gleam Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gleam-lang/awesome-gleam https://github.com/gleam-lang/awesome-gleam @@ -11,7 +11,7 @@ https://github.com/gleam-lang/awesome-gleam Gleam #ffaff3 - 1,360 + 1,361 77 21 @@ -49,8 +49,8 @@ https://github.com/lustre-labs/lustre Gleam #ffaff3 - 1,197 - 77 + 1,199 + 78 23 @@ -87,7 +87,7 @@ https://github.com/gleam-wisp/wisp Gleam #ffaff3 - 903 + 905 41 12 diff --git a/data/weekly/glimmer-js.json b/data/weekly/glimmer-js.json index beb0f16ba43f..27af508e15f2 100644 --- a/data/weekly/glimmer-js.json +++ b/data/weekly/glimmer-js.json @@ -2,6 +2,6 @@ "title": "GitHub Glimmer-js Languages Weekly Trending", "description": "Weekly Trending of Glimmer-js Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/glimmer-js.xml b/data/weekly/glimmer-js.xml index 045c93006ba7..e41c3d003471 100644 --- a/data/weekly/glimmer-js.xml +++ b/data/weekly/glimmer-js.xml @@ -3,6 +3,6 @@ GitHub Glimmer-js Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Glimmer-js Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/glimmer-ts.json b/data/weekly/glimmer-ts.json index 8c9303a86269..adfd26c02aea 100644 --- a/data/weekly/glimmer-ts.json +++ b/data/weekly/glimmer-ts.json @@ -2,6 +2,6 @@ "title": "GitHub Glimmer-ts Languages Weekly Trending", "description": "Weekly Trending of Glimmer-ts Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/glimmer-ts.xml b/data/weekly/glimmer-ts.xml index 8316ab0c3103..5bb8fee8e7cf 100644 --- a/data/weekly/glimmer-ts.xml +++ b/data/weekly/glimmer-ts.xml @@ -3,6 +3,6 @@ GitHub Glimmer-ts Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Glimmer-ts Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/glsl.json b/data/weekly/glsl.json index 58f902bb9076..6798b3ce993e 100644 --- a/data/weekly/glsl.json +++ b/data/weekly/glsl.json @@ -2,7 +2,7 @@ "title": "GitHub Glsl Languages Weekly Trending", "description": "Weekly Trending of Glsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "SaschaWillems/Vulkan", @@ -10,7 +10,7 @@ "description": "C++ examples for the Vulkan graphics API", "language": "GLSL", "languageColor": "#5686a5", - "stars": "10,401", + "stars": "10,402", "forks": "2,043", "addStars": "27", "contributors": [ @@ -41,6 +41,43 @@ } ] }, + { + "title": "patriciogonzalezvivo/thebookofshaders", + "url": "https://github.com/patriciogonzalezvivo/thebookofshaders", + "description": "Step-by-step guide through the abstract and complex universe of Fragment Shaders.", + "language": "GLSL", + "languageColor": "#5686a5", + "stars": "6,078", + "forks": "690", + "addStars": "12", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/346914?s=40&v=4", + "name": "patriciogonzalezvivo", + "url": "https://github.com/patriciogonzalezvivo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3006211?s=40&v=4", + "name": "kynd", + "url": "https://github.com/kynd" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/705213?s=40&v=4", + "name": "yvan-sraka", + "url": "https://github.com/yvan-sraka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7892332?s=40&v=4", + "name": "sergeyext", + "url": "https://github.com/sergeyext" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22910148?s=40&v=4", + "name": "MITI67", + "url": "https://github.com/MITI67" + } + ] + }, { "title": "KhronosGroup/SPIRV-Cross", "url": "https://github.com/KhronosGroup/SPIRV-Cross", @@ -121,7 +158,7 @@ "description": "LYGIA, it's a granular and multi-language (GLSL, HLSL, WGSL, MSL and CUDA) shader library designed for performance and flexibility", "language": "GLSL", "languageColor": "#5686a5", - "stars": "2,646", + "stars": "2,648", "forks": "170", "addStars": "13", "contributors": [ @@ -151,33 +188,6 @@ "url": "https://github.com/bonsak" } ] - }, - { - "title": "Open4Es/Open4Es-Shader-Android", - "url": "https://github.com/Open4Es/Open4Es-Shader-Android", - "description": "This is a shader can running on Minecraft Java Edition For Phone project which uses GL4ES. This repository contains source code for Android platform.", - "language": "GLSL", - "languageColor": "#5686a5", - "stars": "240", - "forks": "103", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/64646658?s=40&v=4", - "name": "ENDERMANYK", - "url": "https://github.com/ENDERMANYK" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/50770360?s=40&v=4", - "name": "ShulkerSakura", - "url": "https://github.com/ShulkerSakura" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40975486?s=40&v=4", - "name": "jebbyk", - "url": "https://github.com/jebbyk" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/glsl.xml b/data/weekly/glsl.xml index e876f4deb0a0..dea8582fdaa4 100644 --- a/data/weekly/glsl.xml +++ b/data/weekly/glsl.xml @@ -3,7 +3,7 @@ GitHub Glsl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Glsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT SaschaWillems/Vulkan https://github.com/SaschaWillems/Vulkan @@ -11,7 +11,7 @@ https://github.com/SaschaWillems/Vulkan GLSL #5686a5 - 10,401 + 10,402 2,043 27 @@ -42,6 +42,44 @@ + + patriciogonzalezvivo/thebookofshaders + https://github.com/patriciogonzalezvivo/thebookofshaders + Step-by-step guide through the abstract and complex universe of Fragment Shaders. + https://github.com/patriciogonzalezvivo/thebookofshaders + GLSL + #5686a5 + 6,078 + 690 + 12 + + + https://avatars.githubusercontent.com/u/346914?s=40&v=4 + patriciogonzalezvivo + https://github.com/patriciogonzalezvivo + + + https://avatars.githubusercontent.com/u/3006211?s=40&v=4 + kynd + https://github.com/kynd + + + https://avatars.githubusercontent.com/u/705213?s=40&v=4 + yvan-sraka + https://github.com/yvan-sraka + + + https://avatars.githubusercontent.com/u/7892332?s=40&v=4 + sergeyext + https://github.com/sergeyext + + + https://avatars.githubusercontent.com/u/22910148?s=40&v=4 + MITI67 + https://github.com/MITI67 + + + KhronosGroup/SPIRV-Cross https://github.com/KhronosGroup/SPIRV-Cross @@ -125,7 +163,7 @@ https://github.com/patriciogonzalezvivo/lygia GLSL #5686a5 - 2,646 + 2,648 170 13 @@ -156,33 +194,5 @@ - - Open4Es/Open4Es-Shader-Android - https://github.com/Open4Es/Open4Es-Shader-Android - This is a shader can running on Minecraft Java Edition For Phone project which uses GL4ES. This repository contains source code for Android platform. - https://github.com/Open4Es/Open4Es-Shader-Android - GLSL - #5686a5 - 240 - 103 - 1 - - - https://avatars.githubusercontent.com/u/64646658?s=40&v=4 - ENDERMANYK - https://github.com/ENDERMANYK - - - https://avatars.githubusercontent.com/u/50770360?s=40&v=4 - ShulkerSakura - https://github.com/ShulkerSakura - - - https://avatars.githubusercontent.com/u/40975486?s=40&v=4 - jebbyk - https://github.com/jebbyk - - - \ No newline at end of file diff --git a/data/weekly/glyph-bitmap-distribution-format.json b/data/weekly/glyph-bitmap-distribution-format.json index 695efd142a68..47753626eae8 100644 --- a/data/weekly/glyph-bitmap-distribution-format.json +++ b/data/weekly/glyph-bitmap-distribution-format.json @@ -2,6 +2,6 @@ "title": "GitHub Glyph-bitmap-distribution-format Languages Weekly Trending", "description": "Weekly Trending of Glyph-bitmap-distribution-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/glyph-bitmap-distribution-format.xml b/data/weekly/glyph-bitmap-distribution-format.xml index 75b41b36f6a8..835ec889ef34 100644 --- a/data/weekly/glyph-bitmap-distribution-format.xml +++ b/data/weekly/glyph-bitmap-distribution-format.xml @@ -3,6 +3,6 @@ GitHub Glyph-bitmap-distribution-format Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Glyph-bitmap-distribution-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/glyph.json b/data/weekly/glyph.json index 52187fea3740..53837b3bbf86 100644 --- a/data/weekly/glyph.json +++ b/data/weekly/glyph.json @@ -2,6 +2,6 @@ "title": "GitHub Glyph Languages Weekly Trending", "description": "Weekly Trending of Glyph Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/glyph.xml b/data/weekly/glyph.xml index 215785359f56..2cec8c3620c3 100644 --- a/data/weekly/glyph.xml +++ b/data/weekly/glyph.xml @@ -3,6 +3,6 @@ GitHub Glyph Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Glyph Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gn.json b/data/weekly/gn.json index 571569ae3794..7d2c43b3c14d 100644 --- a/data/weekly/gn.json +++ b/data/weekly/gn.json @@ -2,6 +2,6 @@ "title": "GitHub Gn Languages Weekly Trending", "description": "Weekly Trending of Gn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gn.xml b/data/weekly/gn.xml index 4e491effc74b..bb6c396b6a33 100644 --- a/data/weekly/gn.xml +++ b/data/weekly/gn.xml @@ -3,6 +3,6 @@ GitHub Gn Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gnuplot.json b/data/weekly/gnuplot.json index 84f2839b55a9..1c7be293f0af 100644 --- a/data/weekly/gnuplot.json +++ b/data/weekly/gnuplot.json @@ -2,6 +2,6 @@ "title": "GitHub Gnuplot Languages Weekly Trending", "description": "Weekly Trending of Gnuplot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gnuplot.xml b/data/weekly/gnuplot.xml index 7d065d315b28..449a0fe708cf 100644 --- a/data/weekly/gnuplot.xml +++ b/data/weekly/gnuplot.xml @@ -3,6 +3,6 @@ GitHub Gnuplot Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gnuplot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/go-checksums.json b/data/weekly/go-checksums.json index 7b7f48322880..312000af9b7b 100644 --- a/data/weekly/go-checksums.json +++ b/data/weekly/go-checksums.json @@ -2,6 +2,6 @@ "title": "GitHub Go-checksums Languages Weekly Trending", "description": "Weekly Trending of Go-checksums Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/go-checksums.xml b/data/weekly/go-checksums.xml index 3388bf4a24d7..2e1458583161 100644 --- a/data/weekly/go-checksums.xml +++ b/data/weekly/go-checksums.xml @@ -3,6 +3,6 @@ GitHub Go-checksums Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Go-checksums Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/go-module.json b/data/weekly/go-module.json index 61d820ce7dcb..14515a20ee22 100644 --- a/data/weekly/go-module.json +++ b/data/weekly/go-module.json @@ -2,6 +2,6 @@ "title": "GitHub Go-module Languages Weekly Trending", "description": "Weekly Trending of Go-module Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/go-module.xml b/data/weekly/go-module.xml index 509827a54624..25c4eb4cd591 100644 --- a/data/weekly/go-module.xml +++ b/data/weekly/go-module.xml @@ -3,6 +3,6 @@ GitHub Go-module Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Go-module Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/go-workspace.json b/data/weekly/go-workspace.json index b89a57836443..661b4155a774 100644 --- a/data/weekly/go-workspace.json +++ b/data/weekly/go-workspace.json @@ -2,6 +2,6 @@ "title": "GitHub Go-workspace Languages Weekly Trending", "description": "Weekly Trending of Go-workspace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/go-workspace.xml b/data/weekly/go-workspace.xml index 61bb25a435fc..4578e235b8cc 100644 --- a/data/weekly/go-workspace.xml +++ b/data/weekly/go-workspace.xml @@ -3,6 +3,6 @@ GitHub Go-workspace Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Go-workspace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/go.json b/data/weekly/go.json index 7df34a41aad1..d627f82c0d36 100644 --- a/data/weekly/go.json +++ b/data/weekly/go.json @@ -2,7 +2,7 @@ "title": "GitHub Go Languages Weekly Trending", "description": "Weekly Trending of Go Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Melkeydev/go-blueprint", @@ -10,8 +10,8 @@ "description": "Go-blueprint allows users to spin up a quick Go project using a popular framework", "language": "Go", "languageColor": "#00ADD8", - "stars": "5,439", - "forks": "314", + "stars": "5,456", + "forks": "316", "addStars": "810", "contributors": [ { @@ -47,8 +47,8 @@ "description": "LangChain for Go, the easiest way to write LLM-based programs in Go", "language": "Go", "languageColor": "#00ADD8", - "stars": "4,946", - "forks": "638", + "stars": "4,972", + "forks": "639", "addStars": "239", "contributors": [ { @@ -84,7 +84,7 @@ "description": "A next-generation crawling and spidering framework.", "language": "Go", "languageColor": "#00ADD8", - "stars": "12,250", + "stars": "12,254", "forks": "634", "addStars": "974", "contributors": [ @@ -110,6 +110,75 @@ } ] }, + { + "title": "influxdata/telegraf", + "url": "https://github.com/influxdata/telegraf", + "description": "Agent for collecting, processing, aggregating, and writing metrics, logs, and other arbitrary data.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "14,885", + "forks": "5,595", + "addStars": "192", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1048079?s=40&v=4", + "name": "danielnelson", + "url": "https://github.com/danielnelson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7155926?s=40&v=4", + "name": "sparrc", + "url": "https://github.com/sparrc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6453401?s=40&v=4", + "name": "powersj", + "url": "https://github.com/powersj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36194019?s=40&v=4", + "name": "srebhan", + "url": "https://github.com/srebhan" + } + ] + }, + { + "title": "ollama/ollama", + "url": "https://github.com/ollama/ollama", + "description": "Get up and running with Llama 3.2, Mistral, Gemma 2, and other large language models.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "99,291", + "forks": "7,909", + "addStars": "1,033", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2372640?s=40&v=4", + "name": "mxyng", + "url": "https://github.com/mxyng" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/251292?s=40&v=4", + "name": "jmorganca", + "url": "https://github.com/jmorganca" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4033016?s=40&v=4", + "name": "dhiltgen", + "url": "https://github.com/dhiltgen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5853428?s=40&v=4", + "name": "BruceMacD", + "url": "https://github.com/BruceMacD" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/633681?s=40&v=4", + "name": "technovangelist", + "url": "https://github.com/technovangelist" + } + ] + }, { "title": "ethereum/go-ethereum", "url": "https://github.com/ethereum/go-ethereum", @@ -117,7 +186,7 @@ "language": "Go", "languageColor": "#00ADD8", "stars": "47,697", - "forks": "20,186", + "forks": "20,188", "addStars": "92", "contributors": [ { @@ -148,66 +217,39 @@ ] }, { - "title": "kgretzky/evilginx2", - "url": "https://github.com/kgretzky/evilginx2", - "description": "Standalone man-in-the-middle attack framework used for phishing login credentials along with session cookies, allowing for the bypass of 2-factor authentication", + "title": "jesseduffield/lazydocker", + "url": "https://github.com/jesseduffield/lazydocker", + "description": "The lazier way to manage everything docker", "language": "Go", "languageColor": "#00ADD8", - "stars": "10,967", - "forks": "1,970", - "addStars": "44", + "stars": "37,794", + "forks": "1,208", + "addStars": "312", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19260967?s=40&v=4", - "name": "kgretzky", - "url": "https://github.com/kgretzky" + "avatar": "https://avatars.githubusercontent.com/u/8456633?s=40&v=4", + "name": "jesseduffield", + "url": "https://github.com/jesseduffield" }, { - "avatar": "https://avatars.githubusercontent.com/u/5477111?s=40&v=4", - "name": "JamesCullum", - "url": "https://github.com/JamesCullum" + "avatar": "https://avatars.githubusercontent.com/u/9713907?s=40&v=4", + "name": "dawidd6", + "url": "https://github.com/dawidd6" }, { - "avatar": "https://avatars.githubusercontent.com/u/37680540?s=40&v=4", - "name": "An0nUD4Y", - "url": "https://github.com/An0nUD4Y" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/836166?s=40&v=4", - "name": "ahhh", - "url": "https://github.com/ahhh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1110748?s=40&v=4", - "name": "perfectlylogical", - "url": "https://github.com/perfectlylogical" - } - ] - }, - { - "title": "open-telemetry/opentelemetry-collector", - "url": "https://github.com/open-telemetry/opentelemetry-collector", - "description": "OpenTelemetry Collector", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "4,506", - "forks": "1,478", - "addStars": "40", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1373887?s=40&v=4", - "name": "bogdandrutu", - "url": "https://github.com/bogdandrutu" + "avatar": "https://avatars.githubusercontent.com/u/15320763?s=40&v=4", + "name": "mjarkk", + "url": "https://github.com/mjarkk" }, { - "avatar": "https://avatars.githubusercontent.com/u/6628631?s=40&v=4", - "name": "dmitryax", - "url": "https://github.com/dmitryax" + "avatar": "https://avatars.githubusercontent.com/u/15654980?s=40&v=4", + "name": "qdm12", + "url": "https://github.com/qdm12" }, { - "avatar": "https://avatars.githubusercontent.com/u/223565?s=40&v=4", - "name": "codeboten", - "url": "https://github.com/codeboten" + "avatar": "https://avatars.githubusercontent.com/u/1804568?s=40&v=4", + "name": "thaJeztah", + "url": "https://github.com/thaJeztah" } ] }, @@ -217,7 +259,7 @@ "description": "node of the decentralized oracle network, bridging on and off-chain computation", "language": "Go", "languageColor": "#00ADD8", - "stars": "7,053", + "stars": "7,056", "forks": "1,722", "addStars": "28", "contributors": [ @@ -248,13 +290,40 @@ } ] }, + { + "title": "open-telemetry/opentelemetry-collector", + "url": "https://github.com/open-telemetry/opentelemetry-collector", + "description": "OpenTelemetry Collector", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "4,508", + "forks": "1,478", + "addStars": "40", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1373887?s=40&v=4", + "name": "bogdandrutu", + "url": "https://github.com/bogdandrutu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6628631?s=40&v=4", + "name": "dmitryax", + "url": "https://github.com/dmitryax" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/223565?s=40&v=4", + "name": "codeboten", + "url": "https://github.com/codeboten" + } + ] + }, { "title": "open-telemetry/opentelemetry-go", "url": "https://github.com/open-telemetry/opentelemetry-go", "description": "OpenTelemetry Go API and SDK", "language": "Go", "languageColor": "#00ADD8", - "stars": "5,329", + "stars": "5,331", "forks": "1,084", "addStars": "32", "contributors": [ @@ -276,310 +345,76 @@ ] }, { - "title": "casdoor/casdoor", - "url": "https://github.com/casdoor/casdoor", - "description": "An open-source UI-first Identity and Access Management (IAM) / Single-Sign-On (SSO) platform with web UI supporting OAuth 2.0, OIDC, SAML, CAS, LDAP, SCIM, WebAuthn, TOTP, MFA, Face ID, RADIUS, Google Workspace, Active Directory and Kerberos", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "10,365", - "forks": "1,212", - "addStars": "148", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3787410?s=40&v=4", - "name": "hsluoyz", - "url": "https://github.com/hsluoyz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/85475922?s=40&v=4", - "name": "nomeguy", - "url": "https://github.com/nomeguy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/71440988?s=40&v=4", - "name": "leo220yuyaodog", - "url": "https://github.com/leo220yuyaodog" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47297289?s=40&v=4", - "name": "dacongda", - "url": "https://github.com/dacongda" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33992371?s=40&v=4", - "name": "seriouszyx", - "url": "https://github.com/seriouszyx" - } - ] - }, - { - "title": "owasp-amass/amass", - "url": "https://github.com/owasp-amass/amass", - "description": "In-depth attack surface mapping and asset discovery", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "12,123", - "forks": "1,892", - "addStars": "45", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7319658?s=40&v=4", - "name": "caffix", - "url": "https://github.com/caffix" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59408894?s=40&v=4", - "name": "shelld3v", - "url": "https://github.com/shelld3v" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/962226?s=40&v=4", - "name": "fork-while-fork", - "url": "https://github.com/fork-while-fork" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19171765?s=40&v=4", - "name": "KJ202", - "url": "https://github.com/KJ202" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26585241?s=40&v=4", - "name": "rbadguy", - "url": "https://github.com/rbadguy" - } - ] - }, - { - "title": "influxdata/telegraf", - "url": "https://github.com/influxdata/telegraf", - "description": "Agent for collecting, processing, aggregating, and writing metrics, logs, and other arbitrary data.", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "14,885", - "forks": "5,595", - "addStars": "192", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1048079?s=40&v=4", - "name": "danielnelson", - "url": "https://github.com/danielnelson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7155926?s=40&v=4", - "name": "sparrc", - "url": "https://github.com/sparrc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6453401?s=40&v=4", - "name": "powersj", - "url": "https://github.com/powersj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36194019?s=40&v=4", - "name": "srebhan", - "url": "https://github.com/srebhan" - } - ] - }, - { - "title": "coreybutler/nvm-windows", - "url": "https://github.com/coreybutler/nvm-windows", - "description": "A node.js version management utility for Windows. Ironically written in Go.", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "38,132", - "forks": "3,369", - "addStars": "148", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/770982?s=40&v=4", - "name": "coreybutler", - "url": "https://github.com/coreybutler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8691776?s=40&v=4", - "name": "wsobolewski", - "url": "https://github.com/wsobolewski" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3462467?s=40&v=4", - "name": "rahulroy9202", - "url": "https://github.com/rahulroy9202" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5396750?s=40&v=4", - "name": "Excited-ccccly", - "url": "https://github.com/Excited-ccccly" - } - ] - }, - { - "title": "FiloSottile/mkcert", - "url": "https://github.com/FiloSottile/mkcert", - "description": "A simple zero-config tool to make locally trusted development certificates with any names you'd like.", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "50,522", - "forks": "2,653", - "addStars": "313", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1225294?s=40&v=4", - "name": "FiloSottile", - "url": "https://github.com/FiloSottile" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/120951?s=40&v=4", - "name": "adamdecaf", - "url": "https://github.com/adamdecaf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/618376?s=40&v=4", - "name": "herbygillot", - "url": "https://github.com/herbygillot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/967561?s=40&v=4", - "name": "puiterwijk", - "url": "https://github.com/puiterwijk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1032692?s=40&v=4", - "name": "arp242", - "url": "https://github.com/arp242" - } - ] - }, - { - "title": "charmbracelet/bubbletea", - "url": "https://github.com/charmbracelet/bubbletea", - "description": "A powerful little TUI framework 🏗", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "28,169", - "forks": "816", - "addStars": "169", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25087?s=40&v=4", - "name": "meowgorithm", - "url": "https://github.com/meowgorithm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/146378?s=40&v=4", - "name": "muesli", - "url": "https://github.com/muesli" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3187948?s=40&v=4", - "name": "aymanbagabas", - "url": "https://github.com/aymanbagabas" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/245435?s=40&v=4", - "name": "caarlos0", - "url": "https://github.com/caarlos0" - } - ] - }, - { - "title": "gin-gonic/gin", - "url": "https://github.com/gin-gonic/gin", - "description": "Gin is a HTTP web framework written in Go (Golang). It features a Martini-like API with much better performance -- up to 40 times faster. If you need smashing performance, get yourself some Gin.", + "title": "daytonaio/daytona", + "url": "https://github.com/daytonaio/daytona", + "description": "The Open Source Dev Environment Manager.", "language": "Go", "languageColor": "#00ADD8", - "stars": "79,140", - "forks": "8,032", - "addStars": "156", + "stars": "11,903", + "forks": "851", + "addStars": "1,282", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/127379?s=40&v=4", - "name": "manucorporat", - "url": "https://github.com/manucorporat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2457529?s=40&v=4", - "name": "javierprovecho", - "url": "https://github.com/javierprovecho" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4488261?s=40&v=4", - "name": "thinkerou", - "url": "https://github.com/thinkerou" + "avatar": "https://avatars.githubusercontent.com/u/25279767?s=40&v=4", + "name": "idagelic", + "url": "https://github.com/idagelic" }, { - "avatar": "https://avatars.githubusercontent.com/u/21979?s=40&v=4", - "name": "appleboy", - "url": "https://github.com/appleboy" - } - ] - }, - { - "title": "TwiN/gatus", - "url": "https://github.com/TwiN/gatus", - "description": "⛑ Automated developer-oriented status page", - "language": "Go", - "languageColor": "#00ADD8", - "stars": "6,518", - "forks": "431", - "addStars": "90", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/15699766?s=40&v=4", - "name": "TwiN", - "url": "https://github.com/TwiN" + "avatar": "https://avatars.githubusercontent.com/u/26512078?s=40&v=4", + "name": "Tpuljak", + "url": "https://github.com/Tpuljak" }, { - "avatar": "https://avatars.githubusercontent.com/u/8377642?s=40&v=4", - "name": "olimpias", - "url": "https://github.com/olimpias" + "avatar": "https://avatars.githubusercontent.com/u/34857453?s=40&v=4", + "name": "tarunrajput", + "url": "https://github.com/tarunrajput" }, { - "avatar": "https://avatars.githubusercontent.com/u/12884767?s=40&v=4", - "name": "cjheppell", - "url": "https://github.com/cjheppell" + "avatar": "https://avatars.githubusercontent.com/u/116551028?s=40&v=4", + "name": "lbrecic", + "url": "https://github.com/lbrecic" }, { - "avatar": "https://avatars.githubusercontent.com/u/21979?s=40&v=4", - "name": "appleboy", - "url": "https://github.com/appleboy" + "avatar": "https://avatars.githubusercontent.com/u/13081153?s=40&v=4", + "name": "vedranjukic", + "url": "https://github.com/vedranjukic" } ] }, { - "title": "ollama/ollama", - "url": "https://github.com/ollama/ollama", - "description": "Get up and running with Llama 3.2, Mistral, Gemma 2, and other large language models.", + "title": "SagerNet/sing-box", + "url": "https://github.com/SagerNet/sing-box", + "description": "The universal proxy platform", "language": "Go", "languageColor": "#00ADD8", - "stars": "99,247", - "forks": "7,906", - "addStars": "1,033", + "stars": "20,219", + "forks": "2,409", + "addStars": "199", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2372640?s=40&v=4", - "name": "mxyng", - "url": "https://github.com/mxyng" + "avatar": "https://avatars.githubusercontent.com/u/56506714?s=40&v=4", + "name": "nekohasekai", + "url": "https://github.com/nekohasekai" }, { - "avatar": "https://avatars.githubusercontent.com/u/251292?s=40&v=4", - "name": "jmorganca", - "url": "https://github.com/jmorganca" + "avatar": "https://avatars.githubusercontent.com/u/16221246?s=40&v=4", + "name": "PuerNya", + "url": "https://github.com/PuerNya" }, { - "avatar": "https://avatars.githubusercontent.com/u/4033016?s=40&v=4", - "name": "dhiltgen", - "url": "https://github.com/dhiltgen" + "avatar": "https://avatars.githubusercontent.com/u/16307070?s=40&v=4", + "name": "iosmanthus", + "url": "https://github.com/iosmanthus" }, { - "avatar": "https://avatars.githubusercontent.com/u/5853428?s=40&v=4", - "name": "BruceMacD", - "url": "https://github.com/BruceMacD" + "avatar": "https://avatars.githubusercontent.com/u/6369634?s=40&v=4", + "name": "szouc", + "url": "https://github.com/szouc" }, { - "avatar": "https://avatars.githubusercontent.com/u/633681?s=40&v=4", - "name": "technovangelist", - "url": "https://github.com/technovangelist" + "avatar": "https://avatars.githubusercontent.com/u/106379370?s=40&v=4", + "name": "H1JK", + "url": "https://github.com/H1JK" } ] }, @@ -589,7 +424,7 @@ "description": "A self hosted virtual browser that runs in docker and uses WebRTC.", "language": "Go", "languageColor": "#00ADD8", - "stars": "7,768", + "stars": "7,770", "forks": "570", "addStars": "225", "contributors": [ @@ -621,113 +456,113 @@ ] }, { - "title": "terrastruct/d2", - "url": "https://github.com/terrastruct/d2", - "description": "D2 is a modern diagram scripting language that turns text to diagrams.", + "title": "kgretzky/evilginx2", + "url": "https://github.com/kgretzky/evilginx2", + "description": "Standalone man-in-the-middle attack framework used for phishing login credentials along with session cookies, allowing for the bypass of 2-factor authentication", "language": "Go", "languageColor": "#00ADD8", - "stars": "18,143", - "forks": "446", - "addStars": "291", + "stars": "10,967", + "forks": "1,970", + "addStars": "44", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3120367?s=40&v=4", - "name": "alixander", - "url": "https://github.com/alixander" + "avatar": "https://avatars.githubusercontent.com/u/19260967?s=40&v=4", + "name": "kgretzky", + "url": "https://github.com/kgretzky" }, { - "avatar": "https://avatars.githubusercontent.com/u/85081687?s=40&v=4", - "name": "gavin-ts", - "url": "https://github.com/gavin-ts" + "avatar": "https://avatars.githubusercontent.com/u/5477111?s=40&v=4", + "name": "JamesCullum", + "url": "https://github.com/JamesCullum" }, { - "avatar": "https://avatars.githubusercontent.com/u/10180857?s=40&v=4", - "name": "nhooyr", - "url": "https://github.com/nhooyr" + "avatar": "https://avatars.githubusercontent.com/u/37680540?s=40&v=4", + "name": "An0nUD4Y", + "url": "https://github.com/An0nUD4Y" }, { - "avatar": "https://avatars.githubusercontent.com/u/102628724?s=40&v=4", - "name": "ejulio-ts", - "url": "https://github.com/ejulio-ts" + "avatar": "https://avatars.githubusercontent.com/u/836166?s=40&v=4", + "name": "ahhh", + "url": "https://github.com/ahhh" }, { - "avatar": "https://avatars.githubusercontent.com/u/6413609?s=40&v=4", - "name": "berniexie", - "url": "https://github.com/berniexie" + "avatar": "https://avatars.githubusercontent.com/u/1110748?s=40&v=4", + "name": "perfectlylogical", + "url": "https://github.com/perfectlylogical" } ] }, { - "title": "hashicorp/vault", - "url": "https://github.com/hashicorp/vault", - "description": "A tool for secrets management, encryption as a service, and privileged access management", + "title": "wagoodman/dive", + "url": "https://github.com/wagoodman/dive", + "description": "A tool for exploring each layer in a docker image", "language": "Go", "languageColor": "#00ADD8", - "stars": "31,281", - "forks": "4,227", - "addStars": "63", + "stars": "46,853", + "forks": "1,777", + "addStars": "485", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28627?s=40&v=4", - "name": "jefferai", - "url": "https://github.com/jefferai" + "avatar": "https://avatars.githubusercontent.com/u/590471?s=40&v=4", + "name": "wagoodman", + "url": "https://github.com/wagoodman" }, { - "avatar": "https://avatars.githubusercontent.com/u/3053672?s=40&v=4", - "name": "vishalnayak", - "url": "https://github.com/vishalnayak" + "avatar": "https://avatars.githubusercontent.com/u/12529630?s=40&v=4", + "name": "willmurphyscode", + "url": "https://github.com/willmurphyscode" }, { - "avatar": "https://avatars.githubusercontent.com/u/592032?s=40&v=4", - "name": "armon", - "url": "https://github.com/armon" + "avatar": "https://avatars.githubusercontent.com/u/8781107?s=40&v=4", + "name": "abitrolly", + "url": "https://github.com/abitrolly" }, { - "avatar": "https://avatars.githubusercontent.com/u/5587236?s=40&v=4", - "name": "ncabatoff", - "url": "https://github.com/ncabatoff" + "avatar": "https://avatars.githubusercontent.com/u/146378?s=40&v=4", + "name": "muesli", + "url": "https://github.com/muesli" }, { - "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", - "name": "mitchellh", - "url": "https://github.com/mitchellh" + "avatar": "https://avatars.githubusercontent.com/u/10204475?s=40&v=4", + "name": "akshaychhajed", + "url": "https://github.com/akshaychhajed" } ] }, { - "title": "daytonaio/daytona", - "url": "https://github.com/daytonaio/daytona", - "description": "The Open Source Dev Environment Manager.", + "title": "bluesky-social/indigo", + "url": "https://github.com/bluesky-social/indigo", + "description": "Go source code for Bluesky's atproto services.", "language": "Go", "languageColor": "#00ADD8", - "stars": "11,876", - "forks": "850", - "addStars": "1,282", + "stars": "861", + "forks": "115", + "addStars": "72", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25279767?s=40&v=4", - "name": "idagelic", - "url": "https://github.com/idagelic" + "avatar": "https://avatars.githubusercontent.com/u/130077?s=40&v=4", + "name": "bnewbold", + "url": "https://github.com/bnewbold" }, { - "avatar": "https://avatars.githubusercontent.com/u/26512078?s=40&v=4", - "name": "Tpuljak", - "url": "https://github.com/Tpuljak" + "avatar": "https://avatars.githubusercontent.com/u/1617325?s=40&v=4", + "name": "ericvolp12", + "url": "https://github.com/ericvolp12" }, { - "avatar": "https://avatars.githubusercontent.com/u/34857453?s=40&v=4", - "name": "tarunrajput", - "url": "https://github.com/tarunrajput" + "avatar": "https://avatars.githubusercontent.com/u/1243164?s=40&v=4", + "name": "whyrusleeping", + "url": "https://github.com/whyrusleeping" }, { - "avatar": "https://avatars.githubusercontent.com/u/116551028?s=40&v=4", - "name": "lbrecic", - "url": "https://github.com/lbrecic" + "avatar": "https://avatars.githubusercontent.com/u/1033975?s=40&v=4", + "name": "brianolson", + "url": "https://github.com/brianolson" }, { - "avatar": "https://avatars.githubusercontent.com/u/13081153?s=40&v=4", - "name": "vedranjukic", - "url": "https://github.com/vedranjukic" + "avatar": "https://avatars.githubusercontent.com/u/627638?s=40&v=4", + "name": "warpfork", + "url": "https://github.com/warpfork" } ] }, @@ -737,8 +572,8 @@ "description": "🤖 AI Gateway | AI Native API Gateway", "language": "Go", "languageColor": "#00ADD8", - "stars": "3,534", - "forks": "527", + "stars": "3,540", + "forks": "526", "addStars": "273", "contributors": [ { @@ -774,8 +609,8 @@ "description": "serve as a reverse proxy to protect your web services from attacks and exploits.", "language": "Go", "languageColor": "#00ADD8", - "stars": "13,184", - "forks": "812", + "stars": "13,194", + "forks": "813", "addStars": "312", "contributors": [ { @@ -801,39 +636,251 @@ ] }, { - "title": "fatedier/frp", - "url": "https://github.com/fatedier/frp", - "description": "A fast reverse proxy to help you expose a local server behind a NAT or firewall to the internet.", + "title": "kubernetes/autoscaler", + "url": "https://github.com/kubernetes/autoscaler", + "description": "Autoscaling components for Kubernetes", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "8,102", + "forks": "3,979", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/20407524?s=40&v=4", + "name": "k8s-ci-robot", + "url": "https://github.com/k8s-ci-robot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11994812?s=40&v=4", + "name": "mwielgus", + "url": "https://github.com/mwielgus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3731277?s=40&v=4", + "name": "bskiba", + "url": "https://github.com/bskiba" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4884414?s=40&v=4", + "name": "aleksandra-malinowska", + "url": "https://github.com/aleksandra-malinowska" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/890111?s=40&v=4", + "name": "losipiuk", + "url": "https://github.com/losipiuk" + } + ] + }, + { + "title": "charmbracelet/bubbletea", + "url": "https://github.com/charmbracelet/bubbletea", + "description": "A powerful little TUI framework 🏗", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "28,172", + "forks": "816", + "addStars": "169", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25087?s=40&v=4", + "name": "meowgorithm", + "url": "https://github.com/meowgorithm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/146378?s=40&v=4", + "name": "muesli", + "url": "https://github.com/muesli" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3187948?s=40&v=4", + "name": "aymanbagabas", + "url": "https://github.com/aymanbagabas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/245435?s=40&v=4", + "name": "caarlos0", + "url": "https://github.com/caarlos0" + } + ] + }, + { + "title": "quii/learn-go-with-tests", + "url": "https://github.com/quii/learn-go-with-tests", + "description": "Learn Go with test-driven development", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "22,237", + "forks": "2,814", + "addStars": "34", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/631756?s=40&v=4", + "name": "quii", + "url": "https://github.com/quii" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/438222?s=40&v=4", + "name": "pityonline", + "url": "https://github.com/pityonline" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5469544?s=40&v=4", + "name": "gypsydave5", + "url": "https://github.com/gypsydave5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/827709?s=40&v=4", + "name": "hackeryarn", + "url": "https://github.com/hackeryarn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33294286?s=40&v=4", + "name": "ruthmoog", + "url": "https://github.com/ruthmoog" + } + ] + }, + { + "title": "casdoor/casdoor", + "url": "https://github.com/casdoor/casdoor", + "description": "An open-source UI-first Identity and Access Management (IAM) / Single-Sign-On (SSO) platform with web UI supporting OAuth 2.0, OIDC, SAML, CAS, LDAP, SCIM, WebAuthn, TOTP, MFA, Face ID, RADIUS, Google Workspace, Active Directory and Kerberos", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "10,372", + "forks": "1,213", + "addStars": "148", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3787410?s=40&v=4", + "name": "hsluoyz", + "url": "https://github.com/hsluoyz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/85475922?s=40&v=4", + "name": "nomeguy", + "url": "https://github.com/nomeguy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/71440988?s=40&v=4", + "name": "leo220yuyaodog", + "url": "https://github.com/leo220yuyaodog" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47297289?s=40&v=4", + "name": "dacongda", + "url": "https://github.com/dacongda" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33992371?s=40&v=4", + "name": "seriouszyx", + "url": "https://github.com/seriouszyx" + } + ] + }, + { + "title": "ccfos/nightingale", + "url": "https://github.com/ccfos/nightingale", + "description": "An all-in-one observability solution which aims to combine the advantages of Prometheus and Grafana. It manages alert rules and visualizes metrics, logs, traces in a beautiful web UI.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "9,842", + "forks": "1,424", + "addStars": "54", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2769466?s=40&v=4", + "name": "UlricQin", + "url": "https://github.com/UlricQin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2169271?s=40&v=4", + "name": "710leo", + "url": "https://github.com/710leo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2733465?s=40&v=4", + "name": "yubo", + "url": "https://github.com/yubo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7424634?s=40&v=4", + "name": "jsers", + "url": "https://github.com/jsers" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/125482062?s=40&v=4", + "name": "shardingHe", + "url": "https://github.com/shardingHe" + } + ] + }, + { + "title": "hashicorp/vault", + "url": "https://github.com/hashicorp/vault", + "description": "A tool for secrets management, encryption as a service, and privileged access management", "language": "Go", "languageColor": "#00ADD8", - "stars": "86,835", - "forks": "13,392", - "addStars": "301", + "stars": "31,284", + "forks": "4,228", + "addStars": "63", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7346661?s=40&v=4", - "name": "fatedier", - "url": "https://github.com/fatedier" + "avatar": "https://avatars.githubusercontent.com/u/28627?s=40&v=4", + "name": "jefferai", + "url": "https://github.com/jefferai" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3053672?s=40&v=4", + "name": "vishalnayak", + "url": "https://github.com/vishalnayak" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/592032?s=40&v=4", + "name": "armon", + "url": "https://github.com/armon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5587236?s=40&v=4", + "name": "ncabatoff", + "url": "https://github.com/ncabatoff" }, { - "avatar": "https://avatars.githubusercontent.com/u/4812302?s=40&v=4", - "name": "blizard863", - "url": "https://github.com/blizard863" + "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", + "name": "mitchellh", + "url": "https://github.com/mitchellh" + } + ] + }, + { + "title": "coreybutler/nvm-windows", + "url": "https://github.com/coreybutler/nvm-windows", + "description": "A node.js version management utility for Windows. Ironically written in Go.", + "language": "Go", + "languageColor": "#00ADD8", + "stars": "38,137", + "forks": "3,369", + "addStars": "148", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/770982?s=40&v=4", + "name": "coreybutler", + "url": "https://github.com/coreybutler" }, { - "avatar": "https://avatars.githubusercontent.com/u/16872?s=40&v=4", - "name": "GuyLewin", - "url": "https://github.com/GuyLewin" + "avatar": "https://avatars.githubusercontent.com/u/8691776?s=40&v=4", + "name": "wsobolewski", + "url": "https://github.com/wsobolewski" }, { - "avatar": "https://avatars.githubusercontent.com/u/18277081?s=40&v=4", - "name": "yuyulei", - "url": "https://github.com/yuyulei" + "avatar": "https://avatars.githubusercontent.com/u/3462467?s=40&v=4", + "name": "rahulroy9202", + "url": "https://github.com/rahulroy9202" }, { - "avatar": "https://avatars.githubusercontent.com/u/3859951?s=40&v=4", - "name": "maodanp", - "url": "https://github.com/maodanp" + "avatar": "https://avatars.githubusercontent.com/u/5396750?s=40&v=4", + "name": "Excited-ccccly", + "url": "https://github.com/Excited-ccccly" } ] } diff --git a/data/weekly/go.xml b/data/weekly/go.xml index 845bf4a330aa..c1d0cf05a0b5 100644 --- a/data/weekly/go.xml +++ b/data/weekly/go.xml @@ -3,7 +3,7 @@ GitHub Go Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Go Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Melkeydev/go-blueprint https://github.com/Melkeydev/go-blueprint @@ -11,8 +11,8 @@ https://github.com/Melkeydev/go-blueprint Go #00ADD8 - 5,439 - 314 + 5,456 + 316 810 @@ -49,8 +49,8 @@ https://github.com/tmc/langchaingo Go #00ADD8 - 4,946 - 638 + 4,972 + 639 239 @@ -87,7 +87,7 @@ https://github.com/projectdiscovery/katana Go #00ADD8 - 12,250 + 12,254 634 974 @@ -113,6 +113,77 @@ + + influxdata/telegraf + https://github.com/influxdata/telegraf + Agent for collecting, processing, aggregating, and writing metrics, logs, and other arbitrary data. + https://github.com/influxdata/telegraf + Go + #00ADD8 + 14,885 + 5,595 + 192 + + + https://avatars.githubusercontent.com/u/1048079?s=40&v=4 + danielnelson + https://github.com/danielnelson + + + https://avatars.githubusercontent.com/u/7155926?s=40&v=4 + sparrc + https://github.com/sparrc + + + https://avatars.githubusercontent.com/u/6453401?s=40&v=4 + powersj + https://github.com/powersj + + + https://avatars.githubusercontent.com/u/36194019?s=40&v=4 + srebhan + https://github.com/srebhan + + + + + ollama/ollama + https://github.com/ollama/ollama + Get up and running with Llama 3.2, Mistral, Gemma 2, and other large language models. + https://github.com/ollama/ollama + Go + #00ADD8 + 99,291 + 7,909 + 1,033 + + + https://avatars.githubusercontent.com/u/2372640?s=40&v=4 + mxyng + https://github.com/mxyng + + + https://avatars.githubusercontent.com/u/251292?s=40&v=4 + jmorganca + https://github.com/jmorganca + + + https://avatars.githubusercontent.com/u/4033016?s=40&v=4 + dhiltgen + https://github.com/dhiltgen + + + https://avatars.githubusercontent.com/u/5853428?s=40&v=4 + BruceMacD + https://github.com/BruceMacD + + + https://avatars.githubusercontent.com/u/633681?s=40&v=4 + technovangelist + https://github.com/technovangelist + + + ethereum/go-ethereum https://github.com/ethereum/go-ethereum @@ -121,7 +192,7 @@ Go #00ADD8 47,697 - 20,186 + 20,188 92 @@ -152,68 +223,40 @@ - kgretzky/evilginx2 - https://github.com/kgretzky/evilginx2 - Standalone man-in-the-middle attack framework used for phishing login credentials along with session cookies, allowing for the bypass of 2-factor authentication - https://github.com/kgretzky/evilginx2 + jesseduffield/lazydocker + https://github.com/jesseduffield/lazydocker + The lazier way to manage everything docker + https://github.com/jesseduffield/lazydocker Go #00ADD8 - 10,967 - 1,970 - 44 + 37,794 + 1,208 + 312 - https://avatars.githubusercontent.com/u/19260967?s=40&v=4 - kgretzky - https://github.com/kgretzky - - - https://avatars.githubusercontent.com/u/5477111?s=40&v=4 - JamesCullum - https://github.com/JamesCullum - - - https://avatars.githubusercontent.com/u/37680540?s=40&v=4 - An0nUD4Y - https://github.com/An0nUD4Y + https://avatars.githubusercontent.com/u/8456633?s=40&v=4 + jesseduffield + https://github.com/jesseduffield - https://avatars.githubusercontent.com/u/836166?s=40&v=4 - ahhh - https://github.com/ahhh + https://avatars.githubusercontent.com/u/9713907?s=40&v=4 + dawidd6 + https://github.com/dawidd6 - https://avatars.githubusercontent.com/u/1110748?s=40&v=4 - perfectlylogical - https://github.com/perfectlylogical + https://avatars.githubusercontent.com/u/15320763?s=40&v=4 + mjarkk + https://github.com/mjarkk - - - - open-telemetry/opentelemetry-collector - https://github.com/open-telemetry/opentelemetry-collector - OpenTelemetry Collector - https://github.com/open-telemetry/opentelemetry-collector - Go - #00ADD8 - 4,506 - 1,478 - 40 - - https://avatars.githubusercontent.com/u/1373887?s=40&v=4 - bogdandrutu - https://github.com/bogdandrutu + https://avatars.githubusercontent.com/u/15654980?s=40&v=4 + qdm12 + https://github.com/qdm12 - https://avatars.githubusercontent.com/u/6628631?s=40&v=4 - dmitryax - https://github.com/dmitryax - - - https://avatars.githubusercontent.com/u/223565?s=40&v=4 - codeboten - https://github.com/codeboten + https://avatars.githubusercontent.com/u/1804568?s=40&v=4 + thaJeztah + https://github.com/thaJeztah @@ -224,7 +267,7 @@ https://github.com/smartcontractkit/chainlink Go #00ADD8 - 7,053 + 7,056 1,722 28 @@ -255,6 +298,34 @@ + + open-telemetry/opentelemetry-collector + https://github.com/open-telemetry/opentelemetry-collector + OpenTelemetry Collector + https://github.com/open-telemetry/opentelemetry-collector + Go + #00ADD8 + 4,508 + 1,478 + 40 + + + https://avatars.githubusercontent.com/u/1373887?s=40&v=4 + bogdandrutu + https://github.com/bogdandrutu + + + https://avatars.githubusercontent.com/u/6628631?s=40&v=4 + dmitryax + https://github.com/dmitryax + + + https://avatars.githubusercontent.com/u/223565?s=40&v=4 + codeboten + https://github.com/codeboten + + + open-telemetry/opentelemetry-go https://github.com/open-telemetry/opentelemetry-go @@ -262,7 +333,7 @@ https://github.com/open-telemetry/opentelemetry-go Go #00ADD8 - 5,329 + 5,331 1,084 32 @@ -284,319 +355,78 @@ - casdoor/casdoor - https://github.com/casdoor/casdoor - An open-source UI-first Identity and Access Management (IAM) / Single-Sign-On (SSO) platform with web UI supporting OAuth 2.0, OIDC, SAML, CAS, LDAP, SCIM, WebAuthn, TOTP, MFA, Face ID, RADIUS, Google Workspace, Active Directory and Kerberos - https://github.com/casdoor/casdoor - Go - #00ADD8 - 10,365 - 1,212 - 148 - - - https://avatars.githubusercontent.com/u/3787410?s=40&v=4 - hsluoyz - https://github.com/hsluoyz - - - https://avatars.githubusercontent.com/u/85475922?s=40&v=4 - nomeguy - https://github.com/nomeguy - - - https://avatars.githubusercontent.com/u/71440988?s=40&v=4 - leo220yuyaodog - https://github.com/leo220yuyaodog - - - https://avatars.githubusercontent.com/u/47297289?s=40&v=4 - dacongda - https://github.com/dacongda - - - https://avatars.githubusercontent.com/u/33992371?s=40&v=4 - seriouszyx - https://github.com/seriouszyx - - - - - owasp-amass/amass - https://github.com/owasp-amass/amass - In-depth attack surface mapping and asset discovery - https://github.com/owasp-amass/amass - Go - #00ADD8 - 12,123 - 1,892 - 45 - - - https://avatars.githubusercontent.com/u/7319658?s=40&v=4 - caffix - https://github.com/caffix - - - https://avatars.githubusercontent.com/u/59408894?s=40&v=4 - shelld3v - https://github.com/shelld3v - - - https://avatars.githubusercontent.com/u/962226?s=40&v=4 - fork-while-fork - https://github.com/fork-while-fork - - - https://avatars.githubusercontent.com/u/19171765?s=40&v=4 - KJ202 - https://github.com/KJ202 - - - https://avatars.githubusercontent.com/u/26585241?s=40&v=4 - rbadguy - https://github.com/rbadguy - - - - - influxdata/telegraf - https://github.com/influxdata/telegraf - Agent for collecting, processing, aggregating, and writing metrics, logs, and other arbitrary data. - https://github.com/influxdata/telegraf - Go - #00ADD8 - 14,885 - 5,595 - 192 - - - https://avatars.githubusercontent.com/u/1048079?s=40&v=4 - danielnelson - https://github.com/danielnelson - - - https://avatars.githubusercontent.com/u/7155926?s=40&v=4 - sparrc - https://github.com/sparrc - - - https://avatars.githubusercontent.com/u/6453401?s=40&v=4 - powersj - https://github.com/powersj - - - https://avatars.githubusercontent.com/u/36194019?s=40&v=4 - srebhan - https://github.com/srebhan - - - - - coreybutler/nvm-windows - https://github.com/coreybutler/nvm-windows - A node.js version management utility for Windows. Ironically written in Go. - https://github.com/coreybutler/nvm-windows - Go - #00ADD8 - 38,132 - 3,369 - 148 - - - https://avatars.githubusercontent.com/u/770982?s=40&v=4 - coreybutler - https://github.com/coreybutler - - - https://avatars.githubusercontent.com/u/8691776?s=40&v=4 - wsobolewski - https://github.com/wsobolewski - - - https://avatars.githubusercontent.com/u/3462467?s=40&v=4 - rahulroy9202 - https://github.com/rahulroy9202 - - - https://avatars.githubusercontent.com/u/5396750?s=40&v=4 - Excited-ccccly - https://github.com/Excited-ccccly - - - - - FiloSottile/mkcert - https://github.com/FiloSottile/mkcert - A simple zero-config tool to make locally trusted development certificates with any names you'd like. - https://github.com/FiloSottile/mkcert - Go - #00ADD8 - 50,522 - 2,653 - 313 - - - https://avatars.githubusercontent.com/u/1225294?s=40&v=4 - FiloSottile - https://github.com/FiloSottile - - - https://avatars.githubusercontent.com/u/120951?s=40&v=4 - adamdecaf - https://github.com/adamdecaf - - - https://avatars.githubusercontent.com/u/618376?s=40&v=4 - herbygillot - https://github.com/herbygillot - - - https://avatars.githubusercontent.com/u/967561?s=40&v=4 - puiterwijk - https://github.com/puiterwijk - - - https://avatars.githubusercontent.com/u/1032692?s=40&v=4 - arp242 - https://github.com/arp242 - - - - - charmbracelet/bubbletea - https://github.com/charmbracelet/bubbletea - A powerful little TUI framework 🏗 - https://github.com/charmbracelet/bubbletea - Go - #00ADD8 - 28,169 - 816 - 169 - - - https://avatars.githubusercontent.com/u/25087?s=40&v=4 - meowgorithm - https://github.com/meowgorithm - - - https://avatars.githubusercontent.com/u/146378?s=40&v=4 - muesli - https://github.com/muesli - - - https://avatars.githubusercontent.com/u/3187948?s=40&v=4 - aymanbagabas - https://github.com/aymanbagabas - - - https://avatars.githubusercontent.com/u/245435?s=40&v=4 - caarlos0 - https://github.com/caarlos0 - - - - - gin-gonic/gin - https://github.com/gin-gonic/gin - Gin is a HTTP web framework written in Go (Golang). It features a Martini-like API with much better performance -- up to 40 times faster. If you need smashing performance, get yourself some Gin. - https://github.com/gin-gonic/gin + daytonaio/daytona + https://github.com/daytonaio/daytona + The Open Source Dev Environment Manager. + https://github.com/daytonaio/daytona Go #00ADD8 - 79,140 - 8,032 - 156 + 11,903 + 851 + 1,282 - https://avatars.githubusercontent.com/u/127379?s=40&v=4 - manucorporat - https://github.com/manucorporat - - - https://avatars.githubusercontent.com/u/2457529?s=40&v=4 - javierprovecho - https://github.com/javierprovecho - - - https://avatars.githubusercontent.com/u/4488261?s=40&v=4 - thinkerou - https://github.com/thinkerou + https://avatars.githubusercontent.com/u/25279767?s=40&v=4 + idagelic + https://github.com/idagelic - https://avatars.githubusercontent.com/u/21979?s=40&v=4 - appleboy - https://github.com/appleboy - - - - - TwiN/gatus - https://github.com/TwiN/gatus - ⛑ Automated developer-oriented status page - https://github.com/TwiN/gatus - Go - #00ADD8 - 6,518 - 431 - 90 - - - https://avatars.githubusercontent.com/u/15699766?s=40&v=4 - TwiN - https://github.com/TwiN + https://avatars.githubusercontent.com/u/26512078?s=40&v=4 + Tpuljak + https://github.com/Tpuljak - https://avatars.githubusercontent.com/u/8377642?s=40&v=4 - olimpias - https://github.com/olimpias + https://avatars.githubusercontent.com/u/34857453?s=40&v=4 + tarunrajput + https://github.com/tarunrajput - https://avatars.githubusercontent.com/u/12884767?s=40&v=4 - cjheppell - https://github.com/cjheppell + https://avatars.githubusercontent.com/u/116551028?s=40&v=4 + lbrecic + https://github.com/lbrecic - https://avatars.githubusercontent.com/u/21979?s=40&v=4 - appleboy - https://github.com/appleboy + https://avatars.githubusercontent.com/u/13081153?s=40&v=4 + vedranjukic + https://github.com/vedranjukic - ollama/ollama - https://github.com/ollama/ollama - Get up and running with Llama 3.2, Mistral, Gemma 2, and other large language models. - https://github.com/ollama/ollama + SagerNet/sing-box + https://github.com/SagerNet/sing-box + The universal proxy platform + https://github.com/SagerNet/sing-box Go #00ADD8 - 99,247 - 7,906 - 1,033 + 20,219 + 2,409 + 199 - https://avatars.githubusercontent.com/u/2372640?s=40&v=4 - mxyng - https://github.com/mxyng + https://avatars.githubusercontent.com/u/56506714?s=40&v=4 + nekohasekai + https://github.com/nekohasekai - https://avatars.githubusercontent.com/u/251292?s=40&v=4 - jmorganca - https://github.com/jmorganca + https://avatars.githubusercontent.com/u/16221246?s=40&v=4 + PuerNya + https://github.com/PuerNya - https://avatars.githubusercontent.com/u/4033016?s=40&v=4 - dhiltgen - https://github.com/dhiltgen + https://avatars.githubusercontent.com/u/16307070?s=40&v=4 + iosmanthus + https://github.com/iosmanthus - https://avatars.githubusercontent.com/u/5853428?s=40&v=4 - BruceMacD - https://github.com/BruceMacD + https://avatars.githubusercontent.com/u/6369634?s=40&v=4 + szouc + https://github.com/szouc - https://avatars.githubusercontent.com/u/633681?s=40&v=4 - technovangelist - https://github.com/technovangelist + https://avatars.githubusercontent.com/u/106379370?s=40&v=4 + H1JK + https://github.com/H1JK @@ -607,7 +437,7 @@ https://github.com/m1k1o/neko Go #00ADD8 - 7,768 + 7,770 570 225 @@ -639,116 +469,116 @@ - terrastruct/d2 - https://github.com/terrastruct/d2 - D2 is a modern diagram scripting language that turns text to diagrams. - https://github.com/terrastruct/d2 + kgretzky/evilginx2 + https://github.com/kgretzky/evilginx2 + Standalone man-in-the-middle attack framework used for phishing login credentials along with session cookies, allowing for the bypass of 2-factor authentication + https://github.com/kgretzky/evilginx2 Go #00ADD8 - 18,143 - 446 - 291 + 10,967 + 1,970 + 44 - https://avatars.githubusercontent.com/u/3120367?s=40&v=4 - alixander - https://github.com/alixander + https://avatars.githubusercontent.com/u/19260967?s=40&v=4 + kgretzky + https://github.com/kgretzky - https://avatars.githubusercontent.com/u/85081687?s=40&v=4 - gavin-ts - https://github.com/gavin-ts + https://avatars.githubusercontent.com/u/5477111?s=40&v=4 + JamesCullum + https://github.com/JamesCullum - https://avatars.githubusercontent.com/u/10180857?s=40&v=4 - nhooyr - https://github.com/nhooyr + https://avatars.githubusercontent.com/u/37680540?s=40&v=4 + An0nUD4Y + https://github.com/An0nUD4Y - https://avatars.githubusercontent.com/u/102628724?s=40&v=4 - ejulio-ts - https://github.com/ejulio-ts + https://avatars.githubusercontent.com/u/836166?s=40&v=4 + ahhh + https://github.com/ahhh - https://avatars.githubusercontent.com/u/6413609?s=40&v=4 - berniexie - https://github.com/berniexie + https://avatars.githubusercontent.com/u/1110748?s=40&v=4 + perfectlylogical + https://github.com/perfectlylogical - hashicorp/vault - https://github.com/hashicorp/vault - A tool for secrets management, encryption as a service, and privileged access management - https://github.com/hashicorp/vault + wagoodman/dive + https://github.com/wagoodman/dive + A tool for exploring each layer in a docker image + https://github.com/wagoodman/dive Go #00ADD8 - 31,281 - 4,227 - 63 + 46,853 + 1,777 + 485 - https://avatars.githubusercontent.com/u/28627?s=40&v=4 - jefferai - https://github.com/jefferai + https://avatars.githubusercontent.com/u/590471?s=40&v=4 + wagoodman + https://github.com/wagoodman - https://avatars.githubusercontent.com/u/3053672?s=40&v=4 - vishalnayak - https://github.com/vishalnayak + https://avatars.githubusercontent.com/u/12529630?s=40&v=4 + willmurphyscode + https://github.com/willmurphyscode - https://avatars.githubusercontent.com/u/592032?s=40&v=4 - armon - https://github.com/armon + https://avatars.githubusercontent.com/u/8781107?s=40&v=4 + abitrolly + https://github.com/abitrolly - https://avatars.githubusercontent.com/u/5587236?s=40&v=4 - ncabatoff - https://github.com/ncabatoff + https://avatars.githubusercontent.com/u/146378?s=40&v=4 + muesli + https://github.com/muesli - https://avatars.githubusercontent.com/u/1299?s=40&v=4 - mitchellh - https://github.com/mitchellh + https://avatars.githubusercontent.com/u/10204475?s=40&v=4 + akshaychhajed + https://github.com/akshaychhajed - daytonaio/daytona - https://github.com/daytonaio/daytona - The Open Source Dev Environment Manager. - https://github.com/daytonaio/daytona + bluesky-social/indigo + https://github.com/bluesky-social/indigo + Go source code for Bluesky's atproto services. + https://github.com/bluesky-social/indigo Go #00ADD8 - 11,876 - 850 - 1,282 + 861 + 115 + 72 - https://avatars.githubusercontent.com/u/25279767?s=40&v=4 - idagelic - https://github.com/idagelic + https://avatars.githubusercontent.com/u/130077?s=40&v=4 + bnewbold + https://github.com/bnewbold - https://avatars.githubusercontent.com/u/26512078?s=40&v=4 - Tpuljak - https://github.com/Tpuljak + https://avatars.githubusercontent.com/u/1617325?s=40&v=4 + ericvolp12 + https://github.com/ericvolp12 - https://avatars.githubusercontent.com/u/34857453?s=40&v=4 - tarunrajput - https://github.com/tarunrajput + https://avatars.githubusercontent.com/u/1243164?s=40&v=4 + whyrusleeping + https://github.com/whyrusleeping - https://avatars.githubusercontent.com/u/116551028?s=40&v=4 - lbrecic - https://github.com/lbrecic + https://avatars.githubusercontent.com/u/1033975?s=40&v=4 + brianolson + https://github.com/brianolson - https://avatars.githubusercontent.com/u/13081153?s=40&v=4 - vedranjukic - https://github.com/vedranjukic + https://avatars.githubusercontent.com/u/627638?s=40&v=4 + warpfork + https://github.com/warpfork @@ -759,8 +589,8 @@ https://github.com/alibaba/higress Go #00ADD8 - 3,534 - 527 + 3,540 + 526 273 @@ -797,8 +627,8 @@ https://github.com/chaitin/SafeLine Go #00ADD8 - 13,184 - 812 + 13,194 + 813 312 @@ -824,40 +654,258 @@ - fatedier/frp - https://github.com/fatedier/frp - A fast reverse proxy to help you expose a local server behind a NAT or firewall to the internet. - https://github.com/fatedier/frp + kubernetes/autoscaler + https://github.com/kubernetes/autoscaler + Autoscaling components for Kubernetes + https://github.com/kubernetes/autoscaler + Go + #00ADD8 + 8,102 + 3,979 + 17 + + + https://avatars.githubusercontent.com/u/20407524?s=40&v=4 + k8s-ci-robot + https://github.com/k8s-ci-robot + + + https://avatars.githubusercontent.com/u/11994812?s=40&v=4 + mwielgus + https://github.com/mwielgus + + + https://avatars.githubusercontent.com/u/3731277?s=40&v=4 + bskiba + https://github.com/bskiba + + + https://avatars.githubusercontent.com/u/4884414?s=40&v=4 + aleksandra-malinowska + https://github.com/aleksandra-malinowska + + + https://avatars.githubusercontent.com/u/890111?s=40&v=4 + losipiuk + https://github.com/losipiuk + + + + + charmbracelet/bubbletea + https://github.com/charmbracelet/bubbletea + A powerful little TUI framework 🏗 + https://github.com/charmbracelet/bubbletea + Go + #00ADD8 + 28,172 + 816 + 169 + + + https://avatars.githubusercontent.com/u/25087?s=40&v=4 + meowgorithm + https://github.com/meowgorithm + + + https://avatars.githubusercontent.com/u/146378?s=40&v=4 + muesli + https://github.com/muesli + + + https://avatars.githubusercontent.com/u/3187948?s=40&v=4 + aymanbagabas + https://github.com/aymanbagabas + + + https://avatars.githubusercontent.com/u/245435?s=40&v=4 + caarlos0 + https://github.com/caarlos0 + + + + + quii/learn-go-with-tests + https://github.com/quii/learn-go-with-tests + Learn Go with test-driven development + https://github.com/quii/learn-go-with-tests + Go + #00ADD8 + 22,237 + 2,814 + 34 + + + https://avatars.githubusercontent.com/u/631756?s=40&v=4 + quii + https://github.com/quii + + + https://avatars.githubusercontent.com/u/438222?s=40&v=4 + pityonline + https://github.com/pityonline + + + https://avatars.githubusercontent.com/u/5469544?s=40&v=4 + gypsydave5 + https://github.com/gypsydave5 + + + https://avatars.githubusercontent.com/u/827709?s=40&v=4 + hackeryarn + https://github.com/hackeryarn + + + https://avatars.githubusercontent.com/u/33294286?s=40&v=4 + ruthmoog + https://github.com/ruthmoog + + + + + casdoor/casdoor + https://github.com/casdoor/casdoor + An open-source UI-first Identity and Access Management (IAM) / Single-Sign-On (SSO) platform with web UI supporting OAuth 2.0, OIDC, SAML, CAS, LDAP, SCIM, WebAuthn, TOTP, MFA, Face ID, RADIUS, Google Workspace, Active Directory and Kerberos + https://github.com/casdoor/casdoor + Go + #00ADD8 + 10,372 + 1,213 + 148 + + + https://avatars.githubusercontent.com/u/3787410?s=40&v=4 + hsluoyz + https://github.com/hsluoyz + + + https://avatars.githubusercontent.com/u/85475922?s=40&v=4 + nomeguy + https://github.com/nomeguy + + + https://avatars.githubusercontent.com/u/71440988?s=40&v=4 + leo220yuyaodog + https://github.com/leo220yuyaodog + + + https://avatars.githubusercontent.com/u/47297289?s=40&v=4 + dacongda + https://github.com/dacongda + + + https://avatars.githubusercontent.com/u/33992371?s=40&v=4 + seriouszyx + https://github.com/seriouszyx + + + + + ccfos/nightingale + https://github.com/ccfos/nightingale + An all-in-one observability solution which aims to combine the advantages of Prometheus and Grafana. It manages alert rules and visualizes metrics, logs, traces in a beautiful web UI. + https://github.com/ccfos/nightingale + Go + #00ADD8 + 9,842 + 1,424 + 54 + + + https://avatars.githubusercontent.com/u/2769466?s=40&v=4 + UlricQin + https://github.com/UlricQin + + + https://avatars.githubusercontent.com/u/2169271?s=40&v=4 + 710leo + https://github.com/710leo + + + https://avatars.githubusercontent.com/u/2733465?s=40&v=4 + yubo + https://github.com/yubo + + + https://avatars.githubusercontent.com/u/7424634?s=40&v=4 + jsers + https://github.com/jsers + + + https://avatars.githubusercontent.com/u/125482062?s=40&v=4 + shardingHe + https://github.com/shardingHe + + + + + hashicorp/vault + https://github.com/hashicorp/vault + A tool for secrets management, encryption as a service, and privileged access management + https://github.com/hashicorp/vault Go #00ADD8 - 86,835 - 13,392 - 301 + 31,284 + 4,228 + 63 - https://avatars.githubusercontent.com/u/7346661?s=40&v=4 - fatedier - https://github.com/fatedier + https://avatars.githubusercontent.com/u/28627?s=40&v=4 + jefferai + https://github.com/jefferai + + + https://avatars.githubusercontent.com/u/3053672?s=40&v=4 + vishalnayak + https://github.com/vishalnayak + + + https://avatars.githubusercontent.com/u/592032?s=40&v=4 + armon + https://github.com/armon + + + https://avatars.githubusercontent.com/u/5587236?s=40&v=4 + ncabatoff + https://github.com/ncabatoff + + + https://avatars.githubusercontent.com/u/1299?s=40&v=4 + mitchellh + https://github.com/mitchellh + + + + coreybutler/nvm-windows + https://github.com/coreybutler/nvm-windows + A node.js version management utility for Windows. Ironically written in Go. + https://github.com/coreybutler/nvm-windows + Go + #00ADD8 + 38,137 + 3,369 + 148 + - https://avatars.githubusercontent.com/u/4812302?s=40&v=4 - blizard863 - https://github.com/blizard863 + https://avatars.githubusercontent.com/u/770982?s=40&v=4 + coreybutler + https://github.com/coreybutler - https://avatars.githubusercontent.com/u/16872?s=40&v=4 - GuyLewin - https://github.com/GuyLewin + https://avatars.githubusercontent.com/u/8691776?s=40&v=4 + wsobolewski + https://github.com/wsobolewski - https://avatars.githubusercontent.com/u/18277081?s=40&v=4 - yuyulei - https://github.com/yuyulei + https://avatars.githubusercontent.com/u/3462467?s=40&v=4 + rahulroy9202 + https://github.com/rahulroy9202 - https://avatars.githubusercontent.com/u/3859951?s=40&v=4 - maodanp - https://github.com/maodanp + https://avatars.githubusercontent.com/u/5396750?s=40&v=4 + Excited-ccccly + https://github.com/Excited-ccccly diff --git a/data/weekly/godot-resource.json b/data/weekly/godot-resource.json index 043bb2023df4..720d83eb1339 100644 --- a/data/weekly/godot-resource.json +++ b/data/weekly/godot-resource.json @@ -2,6 +2,6 @@ "title": "GitHub Godot-resource Languages Weekly Trending", "description": "Weekly Trending of Godot-resource Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/godot-resource.xml b/data/weekly/godot-resource.xml index c582bea84fa0..898b081c6f16 100644 --- a/data/weekly/godot-resource.xml +++ b/data/weekly/godot-resource.xml @@ -3,6 +3,6 @@ GitHub Godot-resource Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Godot-resource Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/golo.json b/data/weekly/golo.json index 3c0f0482f66b..a1e74994f150 100644 --- a/data/weekly/golo.json +++ b/data/weekly/golo.json @@ -2,6 +2,6 @@ "title": "GitHub Golo Languages Weekly Trending", "description": "Weekly Trending of Golo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/golo.xml b/data/weekly/golo.xml index 4cbe9c4e1138..49231fc412a5 100644 --- a/data/weekly/golo.xml +++ b/data/weekly/golo.xml @@ -3,6 +3,6 @@ GitHub Golo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Golo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gosu.json b/data/weekly/gosu.json index c7fe39a23c44..f45710a501f9 100644 --- a/data/weekly/gosu.json +++ b/data/weekly/gosu.json @@ -2,6 +2,6 @@ "title": "GitHub Gosu Languages Weekly Trending", "description": "Weekly Trending of Gosu Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gosu.xml b/data/weekly/gosu.xml index c477303fd057..3b7b516f419d 100644 --- a/data/weekly/gosu.xml +++ b/data/weekly/gosu.xml @@ -3,6 +3,6 @@ GitHub Gosu Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gosu Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/grace.json b/data/weekly/grace.json index 69314e37c3e4..5aceec6845f7 100644 --- a/data/weekly/grace.json +++ b/data/weekly/grace.json @@ -2,6 +2,6 @@ "title": "GitHub Grace Languages Weekly Trending", "description": "Weekly Trending of Grace Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/grace.xml b/data/weekly/grace.xml index 3180ee4819bd..92db08ba28a0 100644 --- a/data/weekly/grace.xml +++ b/data/weekly/grace.xml @@ -3,6 +3,6 @@ GitHub Grace Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Grace Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gradle-kotlin-dsl.json b/data/weekly/gradle-kotlin-dsl.json index 2647b64d3646..e897a76b1364 100644 --- a/data/weekly/gradle-kotlin-dsl.json +++ b/data/weekly/gradle-kotlin-dsl.json @@ -2,6 +2,6 @@ "title": "GitHub Gradle-kotlin-dsl Languages Weekly Trending", "description": "Weekly Trending of Gradle-kotlin-dsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gradle-kotlin-dsl.xml b/data/weekly/gradle-kotlin-dsl.xml index 83f7a9d5cc01..df4aeae409e1 100644 --- a/data/weekly/gradle-kotlin-dsl.xml +++ b/data/weekly/gradle-kotlin-dsl.xml @@ -3,6 +3,6 @@ GitHub Gradle-kotlin-dsl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gradle-kotlin-dsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/gradle.json b/data/weekly/gradle.json index 67a8de4984ab..dae612bb911d 100644 --- a/data/weekly/gradle.json +++ b/data/weekly/gradle.json @@ -2,6 +2,6 @@ "title": "GitHub Gradle Languages Weekly Trending", "description": "Weekly Trending of Gradle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gradle.xml b/data/weekly/gradle.xml index d93bccc9670c..1d40b45a7ca2 100644 --- a/data/weekly/gradle.xml +++ b/data/weekly/gradle.xml @@ -3,6 +3,6 @@ GitHub Gradle Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gradle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/grammatical-framework.json b/data/weekly/grammatical-framework.json index a3dd873fd540..269b2eaff27e 100644 --- a/data/weekly/grammatical-framework.json +++ b/data/weekly/grammatical-framework.json @@ -2,6 +2,6 @@ "title": "GitHub Grammatical-framework Languages Weekly Trending", "description": "Weekly Trending of Grammatical-framework Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/grammatical-framework.xml b/data/weekly/grammatical-framework.xml index 70415cc686e8..dfe9de00af24 100644 --- a/data/weekly/grammatical-framework.xml +++ b/data/weekly/grammatical-framework.xml @@ -3,6 +3,6 @@ GitHub Grammatical-framework Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Grammatical-framework Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/graph-modeling-language.json b/data/weekly/graph-modeling-language.json index dd33fecc73e4..620b16fdca17 100644 --- a/data/weekly/graph-modeling-language.json +++ b/data/weekly/graph-modeling-language.json @@ -2,6 +2,6 @@ "title": "GitHub Graph-modeling-language Languages Weekly Trending", "description": "Weekly Trending of Graph-modeling-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/graph-modeling-language.xml b/data/weekly/graph-modeling-language.xml index e26edfa9a6db..cbe8bcc78585 100644 --- a/data/weekly/graph-modeling-language.xml +++ b/data/weekly/graph-modeling-language.xml @@ -3,6 +3,6 @@ GitHub Graph-modeling-language Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Graph-modeling-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/graphql.json b/data/weekly/graphql.json index 81fc48847a72..3dcec94a5519 100644 --- a/data/weekly/graphql.json +++ b/data/weekly/graphql.json @@ -2,6 +2,6 @@ "title": "GitHub Graphql Languages Weekly Trending", "description": "Weekly Trending of Graphql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/graphql.xml b/data/weekly/graphql.xml index d8751e976eda..175354532755 100644 --- a/data/weekly/graphql.xml +++ b/data/weekly/graphql.xml @@ -3,6 +3,6 @@ GitHub Graphql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Graphql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/graphviz-(dot).json b/data/weekly/graphviz-(dot).json index 03a3d1ea13f8..44462e8477df 100644 --- a/data/weekly/graphviz-(dot).json +++ b/data/weekly/graphviz-(dot).json @@ -2,6 +2,6 @@ "title": "GitHub Graphviz-(dot) Languages Weekly Trending", "description": "Weekly Trending of Graphviz-(dot) Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/graphviz-(dot).xml b/data/weekly/graphviz-(dot).xml index 1652b25be265..d6a215a5c960 100644 --- a/data/weekly/graphviz-(dot).xml +++ b/data/weekly/graphviz-(dot).xml @@ -3,6 +3,6 @@ GitHub Graphviz-(dot) Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Graphviz-(dot) Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/groovy-server-pages.json b/data/weekly/groovy-server-pages.json index b2ba7e2955b1..6c25203bbd27 100644 --- a/data/weekly/groovy-server-pages.json +++ b/data/weekly/groovy-server-pages.json @@ -2,6 +2,6 @@ "title": "GitHub Groovy-server-pages Languages Weekly Trending", "description": "Weekly Trending of Groovy-server-pages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/groovy-server-pages.xml b/data/weekly/groovy-server-pages.xml index 22cdce1f1255..7364e30c6a5a 100644 --- a/data/weekly/groovy-server-pages.xml +++ b/data/weekly/groovy-server-pages.xml @@ -3,6 +3,6 @@ GitHub Groovy-server-pages Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Groovy-server-pages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/groovy.json b/data/weekly/groovy.json index e1934814dd99..52e9cdea9436 100644 --- a/data/weekly/groovy.json +++ b/data/weekly/groovy.json @@ -2,52 +2,15 @@ "title": "GitHub Groovy Languages Weekly Trending", "description": "Weekly Trending of Groovy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "gradle/gradle", - "url": "https://github.com/gradle/gradle", - "description": "Adaptable, fast automation for all", - "language": "Groovy", - "languageColor": "#4298b8", - "stars": "16,987", - "forks": "4,765", - "addStars": "41", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/99316?s=40&v=4", - "name": "adammurdoch", - "url": "https://github.com/adammurdoch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/132773?s=40&v=4", - "name": "eskatos", - "url": "https://github.com/eskatos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/423186?s=40&v=4", - "name": "wolfs", - "url": "https://github.com/wolfs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/51689?s=40&v=4", - "name": "bamboo", - "url": "https://github.com/bamboo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5387972?s=40&v=4", - "name": "big-guy", - "url": "https://github.com/big-guy" - } - ] - }, { "title": "nextflow-io/nextflow", "url": "https://github.com/nextflow-io/nextflow", "description": "A DSL for data-driven computational pipelines", "language": "Groovy", "languageColor": "#4298b8", - "stars": "2,774", + "stars": "2,775", "forks": "632", "addStars": "10", "contributors": [ @@ -79,76 +42,39 @@ ] }, { - "title": "SmartThingsCommunity/SmartThingsPublic", - "url": "https://github.com/SmartThingsCommunity/SmartThingsPublic", - "description": "SmartThings open-source DeviceType Handlers and SmartApps code", - "language": "Groovy", - "languageColor": "#4298b8", - "stars": "2,574", - "forks": "89,747", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3150991?s=40&v=4", - "name": "workingmonk", - "url": "https://github.com/workingmonk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/123942?s=40&v=4", - "name": "greens", - "url": "https://github.com/greens" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/144884?s=40&v=4", - "name": "tpmanley", - "url": "https://github.com/tpmanley" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1045547?s=40&v=4", - "name": "juano2310", - "url": "https://github.com/juano2310" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/372015?s=40&v=4", - "name": "dkirker", - "url": "https://github.com/dkirker" - } - ] - }, - { - "title": "rundeck/rundeck", - "url": "https://github.com/rundeck/rundeck", - "description": "Enable Self-Service Operations: Give specific users access to your existing tools, services, and scripts", + "title": "gradle/gradle", + "url": "https://github.com/gradle/gradle", + "description": "Adaptable, fast automation for all", "language": "Groovy", "languageColor": "#4298b8", - "stars": "5,563", - "forks": "923", - "addStars": "8", + "stars": "16,989", + "forks": "4,767", + "addStars": "41", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/55603?s=40&v=4", - "name": "gschueler", - "url": "https://github.com/gschueler" + "avatar": "https://avatars.githubusercontent.com/u/99316?s=40&v=4", + "name": "adammurdoch", + "url": "https://github.com/adammurdoch" }, { - "avatar": "https://avatars.githubusercontent.com/u/271965?s=40&v=4", - "name": "ProTip", - "url": "https://github.com/ProTip" + "avatar": "https://avatars.githubusercontent.com/u/132773?s=40&v=4", + "name": "eskatos", + "url": "https://github.com/eskatos" }, { - "avatar": "https://avatars.githubusercontent.com/u/36643360?s=40&v=4", - "name": "sjrd218", - "url": "https://github.com/sjrd218" + "avatar": "https://avatars.githubusercontent.com/u/423186?s=40&v=4", + "name": "wolfs", + "url": "https://github.com/wolfs" }, { - "avatar": "https://avatars.githubusercontent.com/u/6034968?s=40&v=4", - "name": "ltamaster", - "url": "https://github.com/ltamaster" + "avatar": "https://avatars.githubusercontent.com/u/51689?s=40&v=4", + "name": "bamboo", + "url": "https://github.com/bamboo" }, { - "avatar": "https://avatars.githubusercontent.com/u/43711820?s=40&v=4", - "name": "chrismcg14", - "url": "https://github.com/chrismcg14" + "avatar": "https://avatars.githubusercontent.com/u/5387972?s=40&v=4", + "name": "big-guy", + "url": "https://github.com/big-guy" } ] }, @@ -190,39 +116,39 @@ ] }, { - "title": "bregman-arie/devops-resources", - "url": "https://github.com/bregman-arie/devops-resources", - "description": "DevOps resources - Linux, Jenkins, AWS, SRE, Prometheus, Docker, Python, Ansible, Git, Kubernetes, Terraform, OpenStack, SQL, NoSQL, Azure, GCP", + "title": "rundeck/rundeck", + "url": "https://github.com/rundeck/rundeck", + "description": "Enable Self-Service Operations: Give specific users access to your existing tools, services, and scripts", "language": "Groovy", "languageColor": "#4298b8", - "stars": "8,647", - "forks": "2,166", - "addStars": "11", + "stars": "5,563", + "forks": "923", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10349437?s=40&v=4", - "name": "bregman-arie", - "url": "https://github.com/bregman-arie" + "avatar": "https://avatars.githubusercontent.com/u/55603?s=40&v=4", + "name": "gschueler", + "url": "https://github.com/gschueler" }, { - "avatar": "https://avatars.githubusercontent.com/u/87641376?s=40&v=4", - "name": "RishiKumarRay", - "url": "https://github.com/RishiKumarRay" + "avatar": "https://avatars.githubusercontent.com/u/271965?s=40&v=4", + "name": "ProTip", + "url": "https://github.com/ProTip" }, { - "avatar": "https://avatars.githubusercontent.com/u/32203163?s=40&v=4", - "name": "croguerrero", - "url": "https://github.com/croguerrero" + "avatar": "https://avatars.githubusercontent.com/u/36643360?s=40&v=4", + "name": "sjrd218", + "url": "https://github.com/sjrd218" }, { - "avatar": "https://avatars.githubusercontent.com/u/16709708?s=40&v=4", - "name": "thestriver", - "url": "https://github.com/thestriver" + "avatar": "https://avatars.githubusercontent.com/u/6034968?s=40&v=4", + "name": "ltamaster", + "url": "https://github.com/ltamaster" }, { - "avatar": "https://avatars.githubusercontent.com/u/1560718?s=40&v=4", - "name": "shurup", - "url": "https://github.com/shurup" + "avatar": "https://avatars.githubusercontent.com/u/43711820?s=40&v=4", + "name": "chrismcg14", + "url": "https://github.com/chrismcg14" } ] }, @@ -264,39 +190,34 @@ ] }, { - "title": "gravitee-io/issues", - "url": "https://github.com/gravitee-io/issues", - "description": "Gravitee.io - API Platform - Issues", + "title": "jenkinsci/job-dsl-plugin", + "url": "https://github.com/jenkinsci/job-dsl-plugin", + "description": "A Groovy DSL for Jenkins Jobs - Sweeeeet!", "language": "Groovy", "languageColor": "#4298b8", - "stars": "65", - "forks": "26", - "addStars": "0", + "stars": "1,904", + "forks": "830", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/19239949?s=40&v=4", - "name": "graviteeio", - "url": "https://github.com/graviteeio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1580262?s=40&v=4", - "name": "aelamrani", - "url": "https://github.com/aelamrani" + "avatar": "https://avatars.githubusercontent.com/u/47957?s=40&v=4", + "name": "daspilker", + "url": "https://github.com/daspilker" }, { - "avatar": "https://avatars.githubusercontent.com/u/4112568?s=40&v=4", - "name": "gaetanmaisse", - "url": "https://github.com/gaetanmaisse" + "avatar": "https://avatars.githubusercontent.com/u/3315059?s=40&v=4", + "name": "jamietanna", + "url": "https://github.com/jamietanna" }, { - "avatar": "https://avatars.githubusercontent.com/u/13161768?s=40&v=4", - "name": "phiz71", - "url": "https://github.com/phiz71" + "avatar": "https://avatars.githubusercontent.com/u/360255?s=40&v=4", + "name": "quidryan", + "url": "https://github.com/quidryan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1028285?s=40&v=4", - "name": "NicolasGeraud", - "url": "https://github.com/NicolasGeraud" + "avatar": "https://avatars.githubusercontent.com/u/1553476?s=40&v=4", + "name": "andrewharmellaw", + "url": "https://github.com/andrewharmellaw" } ] }, @@ -307,7 +228,7 @@ "language": "Groovy", "languageColor": "#4298b8", "stars": "4,218", - "forks": "3,496", + "forks": "3,497", "addStars": "2", "contributors": [ { @@ -338,66 +259,39 @@ ] }, { - "title": "jenkinsci/job-dsl-plugin", - "url": "https://github.com/jenkinsci/job-dsl-plugin", - "description": "A Groovy DSL for Jenkins Jobs - Sweeeeet!", + "title": "gravitee-io/issues", + "url": "https://github.com/gravitee-io/issues", + "description": "Gravitee.io - API Platform - Issues", "language": "Groovy", "languageColor": "#4298b8", - "stars": "1,904", - "forks": "830", - "addStars": "1", + "stars": "65", + "forks": "26", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/47957?s=40&v=4", - "name": "daspilker", - "url": "https://github.com/daspilker" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3315059?s=40&v=4", - "name": "jamietanna", - "url": "https://github.com/jamietanna" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/360255?s=40&v=4", - "name": "quidryan", - "url": "https://github.com/quidryan" + "avatar": "https://avatars.githubusercontent.com/u/19239949?s=40&v=4", + "name": "graviteeio", + "url": "https://github.com/graviteeio" }, { - "avatar": "https://avatars.githubusercontent.com/u/1553476?s=40&v=4", - "name": "andrewharmellaw", - "url": "https://github.com/andrewharmellaw" - } - ] - }, - { - "title": "GradleUp/shadow", - "url": "https://github.com/GradleUp/shadow", - "description": "Gradle plugin to create fat/uber JARs, apply file transforms, and relocate packages for applications and libraries. Gradle version of Maven's Shade plugin.", - "language": "Groovy", - "languageColor": "#4298b8", - "stars": "3,770", - "forks": "395", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1012772?s=40&v=4", - "name": "johnrengelman", - "url": "https://github.com/johnrengelman" + "avatar": "https://avatars.githubusercontent.com/u/1580262?s=40&v=4", + "name": "aelamrani", + "url": "https://github.com/aelamrani" }, { - "avatar": "https://avatars.githubusercontent.com/u/10363352?s=40&v=4", - "name": "Goooler", - "url": "https://github.com/Goooler" + "avatar": "https://avatars.githubusercontent.com/u/4112568?s=40&v=4", + "name": "gaetanmaisse", + "url": "https://github.com/gaetanmaisse" }, { - "avatar": "https://avatars.githubusercontent.com/u/3684355?s=40&v=4", - "name": "osipxd", - "url": "https://github.com/osipxd" + "avatar": "https://avatars.githubusercontent.com/u/13161768?s=40&v=4", + "name": "phiz71", + "url": "https://github.com/phiz71" }, { - "avatar": "https://avatars.githubusercontent.com/u/1625920?s=40&v=4", - "name": "rpalcolea", - "url": "https://github.com/rpalcolea" + "avatar": "https://avatars.githubusercontent.com/u/1028285?s=40&v=4", + "name": "NicolasGeraud", + "url": "https://github.com/NicolasGeraud" } ] } diff --git a/data/weekly/groovy.xml b/data/weekly/groovy.xml index dbe022b1b8f9..26e6dced0d42 100644 --- a/data/weekly/groovy.xml +++ b/data/weekly/groovy.xml @@ -3,45 +3,7 @@ GitHub Groovy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Groovy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - gradle/gradle - https://github.com/gradle/gradle - Adaptable, fast automation for all - https://github.com/gradle/gradle - Groovy - #4298b8 - 16,987 - 4,765 - 41 - - - https://avatars.githubusercontent.com/u/99316?s=40&v=4 - adammurdoch - https://github.com/adammurdoch - - - https://avatars.githubusercontent.com/u/132773?s=40&v=4 - eskatos - https://github.com/eskatos - - - https://avatars.githubusercontent.com/u/423186?s=40&v=4 - wolfs - https://github.com/wolfs - - - https://avatars.githubusercontent.com/u/51689?s=40&v=4 - bamboo - https://github.com/bamboo - - - https://avatars.githubusercontent.com/u/5387972?s=40&v=4 - big-guy - https://github.com/big-guy - - - + Mon, 25 Nov 2024 13:30:36 GMT nextflow-io/nextflow https://github.com/nextflow-io/nextflow @@ -49,7 +11,7 @@ https://github.com/nextflow-io/nextflow Groovy #4298b8 - 2,774 + 2,775 632 10 @@ -81,78 +43,40 @@ - SmartThingsCommunity/SmartThingsPublic - https://github.com/SmartThingsCommunity/SmartThingsPublic - SmartThings open-source DeviceType Handlers and SmartApps code - https://github.com/SmartThingsCommunity/SmartThingsPublic - Groovy - #4298b8 - 2,574 - 89,747 - 5 - - - https://avatars.githubusercontent.com/u/3150991?s=40&v=4 - workingmonk - https://github.com/workingmonk - - - https://avatars.githubusercontent.com/u/123942?s=40&v=4 - greens - https://github.com/greens - - - https://avatars.githubusercontent.com/u/144884?s=40&v=4 - tpmanley - https://github.com/tpmanley - - - https://avatars.githubusercontent.com/u/1045547?s=40&v=4 - juano2310 - https://github.com/juano2310 - - - https://avatars.githubusercontent.com/u/372015?s=40&v=4 - dkirker - https://github.com/dkirker - - - - - rundeck/rundeck - https://github.com/rundeck/rundeck - Enable Self-Service Operations: Give specific users access to your existing tools, services, and scripts - https://github.com/rundeck/rundeck + gradle/gradle + https://github.com/gradle/gradle + Adaptable, fast automation for all + https://github.com/gradle/gradle Groovy #4298b8 - 5,563 - 923 - 8 + 16,989 + 4,767 + 41 - https://avatars.githubusercontent.com/u/55603?s=40&v=4 - gschueler - https://github.com/gschueler + https://avatars.githubusercontent.com/u/99316?s=40&v=4 + adammurdoch + https://github.com/adammurdoch - https://avatars.githubusercontent.com/u/271965?s=40&v=4 - ProTip - https://github.com/ProTip + https://avatars.githubusercontent.com/u/132773?s=40&v=4 + eskatos + https://github.com/eskatos - https://avatars.githubusercontent.com/u/36643360?s=40&v=4 - sjrd218 - https://github.com/sjrd218 + https://avatars.githubusercontent.com/u/423186?s=40&v=4 + wolfs + https://github.com/wolfs - https://avatars.githubusercontent.com/u/6034968?s=40&v=4 - ltamaster - https://github.com/ltamaster + https://avatars.githubusercontent.com/u/51689?s=40&v=4 + bamboo + https://github.com/bamboo - https://avatars.githubusercontent.com/u/43711820?s=40&v=4 - chrismcg14 - https://github.com/chrismcg14 + https://avatars.githubusercontent.com/u/5387972?s=40&v=4 + big-guy + https://github.com/big-guy @@ -195,40 +119,40 @@ - bregman-arie/devops-resources - https://github.com/bregman-arie/devops-resources - DevOps resources - Linux, Jenkins, AWS, SRE, Prometheus, Docker, Python, Ansible, Git, Kubernetes, Terraform, OpenStack, SQL, NoSQL, Azure, GCP - https://github.com/bregman-arie/devops-resources + rundeck/rundeck + https://github.com/rundeck/rundeck + Enable Self-Service Operations: Give specific users access to your existing tools, services, and scripts + https://github.com/rundeck/rundeck Groovy #4298b8 - 8,647 - 2,166 - 11 + 5,563 + 923 + 8 - https://avatars.githubusercontent.com/u/10349437?s=40&v=4 - bregman-arie - https://github.com/bregman-arie + https://avatars.githubusercontent.com/u/55603?s=40&v=4 + gschueler + https://github.com/gschueler - https://avatars.githubusercontent.com/u/87641376?s=40&v=4 - RishiKumarRay - https://github.com/RishiKumarRay + https://avatars.githubusercontent.com/u/271965?s=40&v=4 + ProTip + https://github.com/ProTip - https://avatars.githubusercontent.com/u/32203163?s=40&v=4 - croguerrero - https://github.com/croguerrero + https://avatars.githubusercontent.com/u/36643360?s=40&v=4 + sjrd218 + https://github.com/sjrd218 - https://avatars.githubusercontent.com/u/16709708?s=40&v=4 - thestriver - https://github.com/thestriver + https://avatars.githubusercontent.com/u/6034968?s=40&v=4 + ltamaster + https://github.com/ltamaster - https://avatars.githubusercontent.com/u/1560718?s=40&v=4 - shurup - https://github.com/shurup + https://avatars.githubusercontent.com/u/43711820?s=40&v=4 + chrismcg14 + https://github.com/chrismcg14 @@ -271,40 +195,35 @@ - gravitee-io/issues - https://github.com/gravitee-io/issues - Gravitee.io - API Platform - Issues - https://github.com/gravitee-io/issues + jenkinsci/job-dsl-plugin + https://github.com/jenkinsci/job-dsl-plugin + A Groovy DSL for Jenkins Jobs - Sweeeeet! + https://github.com/jenkinsci/job-dsl-plugin Groovy #4298b8 - 65 - 26 - 0 + 1,904 + 830 + 1 - https://avatars.githubusercontent.com/u/19239949?s=40&v=4 - graviteeio - https://github.com/graviteeio - - - https://avatars.githubusercontent.com/u/1580262?s=40&v=4 - aelamrani - https://github.com/aelamrani + https://avatars.githubusercontent.com/u/47957?s=40&v=4 + daspilker + https://github.com/daspilker - https://avatars.githubusercontent.com/u/4112568?s=40&v=4 - gaetanmaisse - https://github.com/gaetanmaisse + https://avatars.githubusercontent.com/u/3315059?s=40&v=4 + jamietanna + https://github.com/jamietanna - https://avatars.githubusercontent.com/u/13161768?s=40&v=4 - phiz71 - https://github.com/phiz71 + https://avatars.githubusercontent.com/u/360255?s=40&v=4 + quidryan + https://github.com/quidryan - https://avatars.githubusercontent.com/u/1028285?s=40&v=4 - NicolasGeraud - https://github.com/NicolasGeraud + https://avatars.githubusercontent.com/u/1553476?s=40&v=4 + andrewharmellaw + https://github.com/andrewharmellaw @@ -316,7 +235,7 @@ Groovy #4298b8 4,218 - 3,496 + 3,497 2 @@ -347,68 +266,40 @@ - jenkinsci/job-dsl-plugin - https://github.com/jenkinsci/job-dsl-plugin - A Groovy DSL for Jenkins Jobs - Sweeeeet! - https://github.com/jenkinsci/job-dsl-plugin + gravitee-io/issues + https://github.com/gravitee-io/issues + Gravitee.io - API Platform - Issues + https://github.com/gravitee-io/issues Groovy #4298b8 - 1,904 - 830 - 1 + 65 + 26 + 0 - https://avatars.githubusercontent.com/u/47957?s=40&v=4 - daspilker - https://github.com/daspilker - - - https://avatars.githubusercontent.com/u/3315059?s=40&v=4 - jamietanna - https://github.com/jamietanna - - - https://avatars.githubusercontent.com/u/360255?s=40&v=4 - quidryan - https://github.com/quidryan - - - https://avatars.githubusercontent.com/u/1553476?s=40&v=4 - andrewharmellaw - https://github.com/andrewharmellaw + https://avatars.githubusercontent.com/u/19239949?s=40&v=4 + graviteeio + https://github.com/graviteeio - - - - GradleUp/shadow - https://github.com/GradleUp/shadow - Gradle plugin to create fat/uber JARs, apply file transforms, and relocate packages for applications and libraries. Gradle version of Maven's Shade plugin. - https://github.com/GradleUp/shadow - Groovy - #4298b8 - 3,770 - 395 - 8 - - https://avatars.githubusercontent.com/u/1012772?s=40&v=4 - johnrengelman - https://github.com/johnrengelman + https://avatars.githubusercontent.com/u/1580262?s=40&v=4 + aelamrani + https://github.com/aelamrani - https://avatars.githubusercontent.com/u/10363352?s=40&v=4 - Goooler - https://github.com/Goooler + https://avatars.githubusercontent.com/u/4112568?s=40&v=4 + gaetanmaisse + https://github.com/gaetanmaisse - https://avatars.githubusercontent.com/u/3684355?s=40&v=4 - osipxd - https://github.com/osipxd + https://avatars.githubusercontent.com/u/13161768?s=40&v=4 + phiz71 + https://github.com/phiz71 - https://avatars.githubusercontent.com/u/1625920?s=40&v=4 - rpalcolea - https://github.com/rpalcolea + https://avatars.githubusercontent.com/u/1028285?s=40&v=4 + NicolasGeraud + https://github.com/NicolasGeraud diff --git a/data/weekly/gsc.json b/data/weekly/gsc.json index 656fc086aade..0db92737377a 100644 --- a/data/weekly/gsc.json +++ b/data/weekly/gsc.json @@ -2,6 +2,6 @@ "title": "GitHub Gsc Languages Weekly Trending", "description": "Weekly Trending of Gsc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/gsc.xml b/data/weekly/gsc.xml index e60b2beacc41..52c03af34667 100644 --- a/data/weekly/gsc.xml +++ b/data/weekly/gsc.xml @@ -3,6 +3,6 @@ GitHub Gsc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Gsc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/hack.json b/data/weekly/hack.json index f7d959a077ff..2fbf256a7a13 100644 --- a/data/weekly/hack.json +++ b/data/weekly/hack.json @@ -2,6 +2,6 @@ "title": "GitHub Hack Languages Weekly Trending", "description": "Weekly Trending of Hack Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hack.xml b/data/weekly/hack.xml index b450a75db6b3..e037ea06d43e 100644 --- a/data/weekly/hack.xml +++ b/data/weekly/hack.xml @@ -3,6 +3,6 @@ GitHub Hack Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hack Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/haml.json b/data/weekly/haml.json index 86d33b17df02..1c042cddb625 100644 --- a/data/weekly/haml.json +++ b/data/weekly/haml.json @@ -2,6 +2,6 @@ "title": "GitHub Haml Languages Weekly Trending", "description": "Weekly Trending of Haml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/haml.xml b/data/weekly/haml.xml index 850b2569bdf8..bdd9da80199e 100644 --- a/data/weekly/haml.xml +++ b/data/weekly/haml.xml @@ -3,6 +3,6 @@ GitHub Haml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Haml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/handlebars.json b/data/weekly/handlebars.json index 25f690cac7f3..754d594c3737 100644 --- a/data/weekly/handlebars.json +++ b/data/weekly/handlebars.json @@ -2,7 +2,7 @@ "title": "GitHub Handlebars Languages Weekly Trending", "description": "Weekly Trending of Handlebars Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "rust-lang/rust-by-example", @@ -41,50 +41,13 @@ } ] }, - { - "title": "zendesk/copenhagen_theme", - "url": "https://github.com/zendesk/copenhagen_theme", - "description": "The default theme for Zendesk Guide", - "language": "Handlebars", - "languageColor": "#f7931e", - "stars": "104", - "forks": "408", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13420283?s=40&v=4", - "name": "Fredx87", - "url": "https://github.com/Fredx87" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1159544?s=40&v=4", - "name": "luis-almeida", - "url": "https://github.com/luis-almeida" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1172767?s=40&v=4", - "name": "anpa", - "url": "https://github.com/anpa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62269174?s=40&v=4", - "name": "zd-svc-github-copenhagen-theme", - "url": "https://github.com/zd-svc-github-copenhagen-theme" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25344971?s=40&v=4", - "name": "sebvilhelm", - "url": "https://github.com/sebvilhelm" - } - ] - }, { "title": "elastic/integrations", "url": "https://github.com/elastic/integrations", "description": "Elastic Integrations", "language": "Handlebars", "languageColor": "#f7931e", - "stars": "30", + "stars": "32", "forks": "448", "addStars": "2", "contributors": [ @@ -152,6 +115,43 @@ } ] }, + { + "title": "zendesk/copenhagen_theme", + "url": "https://github.com/zendesk/copenhagen_theme", + "description": "The default theme for Zendesk Guide", + "language": "Handlebars", + "languageColor": "#f7931e", + "stars": "104", + "forks": "408", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13420283?s=40&v=4", + "name": "Fredx87", + "url": "https://github.com/Fredx87" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1159544?s=40&v=4", + "name": "luis-almeida", + "url": "https://github.com/luis-almeida" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1172767?s=40&v=4", + "name": "anpa", + "url": "https://github.com/anpa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/62269174?s=40&v=4", + "name": "zd-svc-github-copenhagen-theme", + "url": "https://github.com/zd-svc-github-copenhagen-theme" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25344971?s=40&v=4", + "name": "sebvilhelm", + "url": "https://github.com/sebvilhelm" + } + ] + }, { "title": "CrunchyData/postgres-operator-examples", "url": "https://github.com/CrunchyData/postgres-operator-examples", @@ -159,7 +159,7 @@ "language": "Handlebars", "languageColor": "#f7931e", "stars": "191", - "forks": "4,762", + "forks": "4,766", "addStars": "1", "contributors": [ { diff --git a/data/weekly/handlebars.xml b/data/weekly/handlebars.xml index 6dfa3d819829..ae22186dd296 100644 --- a/data/weekly/handlebars.xml +++ b/data/weekly/handlebars.xml @@ -3,7 +3,7 @@ GitHub Handlebars Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Handlebars Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT rust-lang/rust-by-example https://github.com/rust-lang/rust-by-example @@ -42,44 +42,6 @@ - - zendesk/copenhagen_theme - https://github.com/zendesk/copenhagen_theme - The default theme for Zendesk Guide - https://github.com/zendesk/copenhagen_theme - Handlebars - #f7931e - 104 - 408 - 0 - - - https://avatars.githubusercontent.com/u/13420283?s=40&v=4 - Fredx87 - https://github.com/Fredx87 - - - https://avatars.githubusercontent.com/u/1159544?s=40&v=4 - luis-almeida - https://github.com/luis-almeida - - - https://avatars.githubusercontent.com/u/1172767?s=40&v=4 - anpa - https://github.com/anpa - - - https://avatars.githubusercontent.com/u/62269174?s=40&v=4 - zd-svc-github-copenhagen-theme - https://github.com/zd-svc-github-copenhagen-theme - - - https://avatars.githubusercontent.com/u/25344971?s=40&v=4 - sebvilhelm - https://github.com/sebvilhelm - - - elastic/integrations https://github.com/elastic/integrations @@ -87,7 +49,7 @@ https://github.com/elastic/integrations Handlebars #f7931e - 30 + 32 448 2 @@ -156,6 +118,44 @@ + + zendesk/copenhagen_theme + https://github.com/zendesk/copenhagen_theme + The default theme for Zendesk Guide + https://github.com/zendesk/copenhagen_theme + Handlebars + #f7931e + 104 + 408 + 0 + + + https://avatars.githubusercontent.com/u/13420283?s=40&v=4 + Fredx87 + https://github.com/Fredx87 + + + https://avatars.githubusercontent.com/u/1159544?s=40&v=4 + luis-almeida + https://github.com/luis-almeida + + + https://avatars.githubusercontent.com/u/1172767?s=40&v=4 + anpa + https://github.com/anpa + + + https://avatars.githubusercontent.com/u/62269174?s=40&v=4 + zd-svc-github-copenhagen-theme + https://github.com/zd-svc-github-copenhagen-theme + + + https://avatars.githubusercontent.com/u/25344971?s=40&v=4 + sebvilhelm + https://github.com/sebvilhelm + + + CrunchyData/postgres-operator-examples https://github.com/CrunchyData/postgres-operator-examples @@ -164,7 +164,7 @@ Handlebars #f7931e 191 - 4,762 + 4,766 1 diff --git a/data/weekly/haproxy.json b/data/weekly/haproxy.json index 3efa8cbb0737..ac0bbd819fde 100644 --- a/data/weekly/haproxy.json +++ b/data/weekly/haproxy.json @@ -2,6 +2,6 @@ "title": "GitHub Haproxy Languages Weekly Trending", "description": "Weekly Trending of Haproxy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/haproxy.xml b/data/weekly/haproxy.xml index a86d4fe4166c..abd7c1f499ad 100644 --- a/data/weekly/haproxy.xml +++ b/data/weekly/haproxy.xml @@ -3,6 +3,6 @@ GitHub Haproxy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Haproxy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/harbour.json b/data/weekly/harbour.json index 4a5ffed08edb..7f1f3fd1ece9 100644 --- a/data/weekly/harbour.json +++ b/data/weekly/harbour.json @@ -2,6 +2,6 @@ "title": "GitHub Harbour Languages Weekly Trending", "description": "Weekly Trending of Harbour Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/harbour.xml b/data/weekly/harbour.xml index cc7252f7a897..b248efdb3c3d 100644 --- a/data/weekly/harbour.xml +++ b/data/weekly/harbour.xml @@ -3,6 +3,6 @@ GitHub Harbour Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Harbour Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/haskell.json b/data/weekly/haskell.json index b63386cc9981..33f3f6c8789b 100644 --- a/data/weekly/haskell.json +++ b/data/weekly/haskell.json @@ -2,7 +2,7 @@ "title": "GitHub Haskell Languages Weekly Trending", "description": "Weekly Trending of Haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "koalaman/shellcheck", @@ -10,7 +10,7 @@ "description": "ShellCheck, a static analysis tool for shell scripts", "language": "Haskell", "languageColor": "#5e5086", - "stars": "36,497", + "stars": "36,502", "forks": "1,780", "addStars": "58", "contributors": [ @@ -41,13 +41,45 @@ } ] }, + { + "title": "IntersectMBO/cardano-node", + "url": "https://github.com/IntersectMBO/cardano-node", + "description": "The core component that is used to participate in a Cardano decentralised blockchain.", + "language": "Haskell", + "languageColor": "#5e5086", + "stars": "3,069", + "forks": "720", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9418537?s=40&v=4", + "name": "Jimbo4350", + "url": "https://github.com/Jimbo4350" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63014?s=40&v=4", + "name": "newhoggy", + "url": "https://github.com/newhoggy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1339423?s=40&v=4", + "name": "dcoutts", + "url": "https://github.com/dcoutts" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/452652?s=40&v=4", + "name": "deepfire", + "url": "https://github.com/deepfire" + } + ] + }, { "title": "PostgREST/postgrest", "url": "https://github.com/PostgREST/postgrest", "description": "REST API for any Postgres database", "language": "Haskell", "languageColor": "#5e5086", - "stars": "23,468", + "stars": "23,471", "forks": "1,032", "addStars": "27", "contributors": [ @@ -78,13 +110,50 @@ } ] }, + { + "title": "IntersectMBO/plutus", + "url": "https://github.com/IntersectMBO/plutus", + "description": "The Plutus language implementation and tools", + "language": "Haskell", + "languageColor": "#5e5086", + "stars": "1,572", + "forks": "479", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1699466?s=40&v=4", + "name": "michaelpj", + "url": "https://github.com/michaelpj" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/652332?s=40&v=4", + "name": "jmchapman", + "url": "https://github.com/jmchapman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1771361?s=40&v=4", + "name": "j-mueller", + "url": "https://github.com/j-mueller" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6342538?s=40&v=4", + "name": "zliu41", + "url": "https://github.com/zliu41" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10480926?s=40&v=4", + "name": "effectfully", + "url": "https://github.com/effectfully" + } + ] + }, { "title": "jgm/pandoc", "url": "https://github.com/jgm/pandoc", "description": "Universal markup converter", "language": "Haskell", "languageColor": "#5e5086", - "stars": "34,790", + "stars": "34,795", "forks": "3,387", "addStars": "93", "contributors": [ @@ -116,140 +185,145 @@ ] }, { - "title": "hadolint/hadolint", - "url": "https://github.com/hadolint/hadolint", - "description": "Dockerfile linter, validate inline bash, written in Haskell", + "title": "simplex-chat/simplex-chat", + "url": "https://github.com/simplex-chat/simplex-chat", + "description": "SimpleX - the first messaging network operating without user identifiers of any kind - 100% private by design! iOS, Android and desktop apps 📱!", "language": "Haskell", "languageColor": "#5e5086", - "stars": "10,477", - "forks": "424", - "addStars": "19", + "stars": "7,333", + "forks": "331", + "addStars": "49", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37621?s=40&v=4", - "name": "lorenzo", - "url": "https://github.com/lorenzo" + "avatar": "https://avatars.githubusercontent.com/u/2769109?s=40&v=4", + "name": "epoberezkin", + "url": "https://github.com/epoberezkin" }, { - "avatar": "https://avatars.githubusercontent.com/u/1288339?s=40&v=4", - "name": "lukasmartinelli", - "url": "https://github.com/lukasmartinelli" + "avatar": "https://avatars.githubusercontent.com/u/8711996?s=40&v=4", + "name": "spaced4ndy", + "url": "https://github.com/spaced4ndy" }, { - "avatar": "https://avatars.githubusercontent.com/u/17141774?s=40&v=4", - "name": "m-ildefons", - "url": "https://github.com/m-ildefons" + "avatar": "https://avatars.githubusercontent.com/u/7953703?s=40&v=4", + "name": "avently", + "url": "https://github.com/avently" }, { - "avatar": "https://avatars.githubusercontent.com/u/18702153?s=40&v=4", - "name": "zemanlx", - "url": "https://github.com/zemanlx" + "avatar": "https://avatars.githubusercontent.com/u/112780386?s=40&v=4", + "name": "mlanp", + "url": "https://github.com/mlanp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65699966?s=40&v=4", + "name": "MSarmadQadeer", + "url": "https://github.com/MSarmadQadeer" } ] }, { - "title": "IntersectMBO/cardano-node", - "url": "https://github.com/IntersectMBO/cardano-node", - "description": "The core component that is used to participate in a Cardano decentralised blockchain.", + "title": "maralorn/nix-output-monitor", + "url": "https://github.com/maralorn/nix-output-monitor", + "description": "Pipe your nix-build output through the nix-output-monitor a.k.a nom to get additional information while building.", "language": "Haskell", "languageColor": "#5e5086", - "stars": "3,068", - "forks": "720", - "addStars": "5", + "stars": "933", + "forks": "28", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9418537?s=40&v=4", - "name": "Jimbo4350", - "url": "https://github.com/Jimbo4350" + "avatar": "https://avatars.githubusercontent.com/u/1651325?s=40&v=4", + "name": "maralorn", + "url": "https://github.com/maralorn" }, { - "avatar": "https://avatars.githubusercontent.com/u/63014?s=40&v=4", - "name": "newhoggy", - "url": "https://github.com/newhoggy" + "avatar": "https://avatars.githubusercontent.com/u/7258858?s=40&v=4", + "name": "SuperSandro2000", + "url": "https://github.com/SuperSandro2000" }, { - "avatar": "https://avatars.githubusercontent.com/u/1339423?s=40&v=4", - "name": "dcoutts", - "url": "https://github.com/dcoutts" + "avatar": "https://avatars.githubusercontent.com/u/15312184?s=40&v=4", + "name": "9999years", + "url": "https://github.com/9999years" }, { - "avatar": "https://avatars.githubusercontent.com/u/452652?s=40&v=4", - "name": "deepfire", - "url": "https://github.com/deepfire" + "avatar": "https://avatars.githubusercontent.com/u/58951?s=40&v=4", + "name": "NobbZ", + "url": "https://github.com/NobbZ" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/857308?s=40&v=4", + "name": "expipiplus1", + "url": "https://github.com/expipiplus1" } ] }, { - "title": "IntersectMBO/plutus", - "url": "https://github.com/IntersectMBO/plutus", - "description": "The Plutus language implementation and tools", + "title": "simonmichael/hledger", + "url": "https://github.com/simonmichael/hledger", + "description": "Robust, fast, intuitive plain text accounting tool with CLI, TUI and web interfaces.", "language": "Haskell", "languageColor": "#5e5086", - "stars": "1,572", - "forks": "479", - "addStars": "3", + "stars": "3,065", + "forks": "320", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1699466?s=40&v=4", - "name": "michaelpj", - "url": "https://github.com/michaelpj" + "avatar": "https://avatars.githubusercontent.com/u/10144?s=40&v=4", + "name": "simonmichael", + "url": "https://github.com/simonmichael" }, { - "avatar": "https://avatars.githubusercontent.com/u/652332?s=40&v=4", - "name": "jmchapman", - "url": "https://github.com/jmchapman" + "avatar": "https://avatars.githubusercontent.com/u/18479956?s=40&v=4", + "name": "Xitian9", + "url": "https://github.com/Xitian9" }, { - "avatar": "https://avatars.githubusercontent.com/u/1771361?s=40&v=4", - "name": "j-mueller", - "url": "https://github.com/j-mueller" + "avatar": "https://avatars.githubusercontent.com/u/118058?s=40&v=4", + "name": "adept", + "url": "https://github.com/adept" }, { - "avatar": "https://avatars.githubusercontent.com/u/6342538?s=40&v=4", - "name": "zliu41", - "url": "https://github.com/zliu41" + "avatar": "https://avatars.githubusercontent.com/u/898989?s=40&v=4", + "name": "thielema", + "url": "https://github.com/thielema" }, { - "avatar": "https://avatars.githubusercontent.com/u/10480926?s=40&v=4", - "name": "effectfully", - "url": "https://github.com/effectfully" + "avatar": "https://avatars.githubusercontent.com/u/14352645?s=40&v=4", + "name": "zhelezov", + "url": "https://github.com/zhelezov" } ] }, { - "title": "olivierverdier/zsh-git-prompt", - "url": "https://github.com/olivierverdier/zsh-git-prompt", - "description": "Informative git prompt for zsh", + "title": "hadolint/hadolint", + "url": "https://github.com/hadolint/hadolint", + "description": "Dockerfile linter, validate inline bash, written in Haskell", "language": "Haskell", "languageColor": "#5e5086", - "stars": "1,720", - "forks": "395", - "addStars": "1", + "stars": "10,478", + "forks": "424", + "addStars": "19", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/198281?s=40&v=4", - "name": "olivierverdier", - "url": "https://github.com/olivierverdier" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9973?s=40&v=4", - "name": "axelson", - "url": "https://github.com/axelson" + "avatar": "https://avatars.githubusercontent.com/u/37621?s=40&v=4", + "name": "lorenzo", + "url": "https://github.com/lorenzo" }, { - "avatar": "https://avatars.githubusercontent.com/u/751587?s=40&v=4", - "name": "paulcollinsiii", - "url": "https://github.com/paulcollinsiii" + "avatar": "https://avatars.githubusercontent.com/u/1288339?s=40&v=4", + "name": "lukasmartinelli", + "url": "https://github.com/lukasmartinelli" }, { - "avatar": "https://avatars.githubusercontent.com/u/8086?s=40&v=4", - "name": "scelis", - "url": "https://github.com/scelis" + "avatar": "https://avatars.githubusercontent.com/u/17141774?s=40&v=4", + "name": "m-ildefons", + "url": "https://github.com/m-ildefons" }, { - "avatar": "https://avatars.githubusercontent.com/u/241094?s=40&v=4", - "name": "xtaran", - "url": "https://github.com/xtaran" + "avatar": "https://avatars.githubusercontent.com/u/18702153?s=40&v=4", + "name": "zemanlx", + "url": "https://github.com/zemanlx" } ] } diff --git a/data/weekly/haskell.xml b/data/weekly/haskell.xml index f956b569e00f..896a998ea6a1 100644 --- a/data/weekly/haskell.xml +++ b/data/weekly/haskell.xml @@ -3,7 +3,7 @@ GitHub Haskell Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT koalaman/shellcheck https://github.com/koalaman/shellcheck @@ -11,7 +11,7 @@ https://github.com/koalaman/shellcheck Haskell #5e5086 - 36,497 + 36,502 1,780 58 @@ -42,6 +42,39 @@ + + IntersectMBO/cardano-node + https://github.com/IntersectMBO/cardano-node + The core component that is used to participate in a Cardano decentralised blockchain. + https://github.com/IntersectMBO/cardano-node + Haskell + #5e5086 + 3,069 + 720 + 5 + + + https://avatars.githubusercontent.com/u/9418537?s=40&v=4 + Jimbo4350 + https://github.com/Jimbo4350 + + + https://avatars.githubusercontent.com/u/63014?s=40&v=4 + newhoggy + https://github.com/newhoggy + + + https://avatars.githubusercontent.com/u/1339423?s=40&v=4 + dcoutts + https://github.com/dcoutts + + + https://avatars.githubusercontent.com/u/452652?s=40&v=4 + deepfire + https://github.com/deepfire + + + PostgREST/postgrest https://github.com/PostgREST/postgrest @@ -49,7 +82,7 @@ https://github.com/PostgREST/postgrest Haskell #5e5086 - 23,468 + 23,471 1,032 27 @@ -80,6 +113,44 @@ + + IntersectMBO/plutus + https://github.com/IntersectMBO/plutus + The Plutus language implementation and tools + https://github.com/IntersectMBO/plutus + Haskell + #5e5086 + 1,572 + 479 + 3 + + + https://avatars.githubusercontent.com/u/1699466?s=40&v=4 + michaelpj + https://github.com/michaelpj + + + https://avatars.githubusercontent.com/u/652332?s=40&v=4 + jmchapman + https://github.com/jmchapman + + + https://avatars.githubusercontent.com/u/1771361?s=40&v=4 + j-mueller + https://github.com/j-mueller + + + https://avatars.githubusercontent.com/u/6342538?s=40&v=4 + zliu41 + https://github.com/zliu41 + + + https://avatars.githubusercontent.com/u/10480926?s=40&v=4 + effectfully + https://github.com/effectfully + + + jgm/pandoc https://github.com/jgm/pandoc @@ -87,7 +158,7 @@ https://github.com/jgm/pandoc Haskell #5e5086 - 34,790 + 34,795 3,387 93 @@ -119,144 +190,149 @@ - hadolint/hadolint - https://github.com/hadolint/hadolint - Dockerfile linter, validate inline bash, written in Haskell - https://github.com/hadolint/hadolint + simplex-chat/simplex-chat + https://github.com/simplex-chat/simplex-chat + SimpleX - the first messaging network operating without user identifiers of any kind - 100% private by design! iOS, Android and desktop apps 📱! + https://github.com/simplex-chat/simplex-chat Haskell #5e5086 - 10,477 - 424 - 19 + 7,333 + 331 + 49 - https://avatars.githubusercontent.com/u/37621?s=40&v=4 - lorenzo - https://github.com/lorenzo + https://avatars.githubusercontent.com/u/2769109?s=40&v=4 + epoberezkin + https://github.com/epoberezkin - https://avatars.githubusercontent.com/u/1288339?s=40&v=4 - lukasmartinelli - https://github.com/lukasmartinelli + https://avatars.githubusercontent.com/u/8711996?s=40&v=4 + spaced4ndy + https://github.com/spaced4ndy - https://avatars.githubusercontent.com/u/17141774?s=40&v=4 - m-ildefons - https://github.com/m-ildefons + https://avatars.githubusercontent.com/u/7953703?s=40&v=4 + avently + https://github.com/avently - https://avatars.githubusercontent.com/u/18702153?s=40&v=4 - zemanlx - https://github.com/zemanlx + https://avatars.githubusercontent.com/u/112780386?s=40&v=4 + mlanp + https://github.com/mlanp + + + https://avatars.githubusercontent.com/u/65699966?s=40&v=4 + MSarmadQadeer + https://github.com/MSarmadQadeer - IntersectMBO/cardano-node - https://github.com/IntersectMBO/cardano-node - The core component that is used to participate in a Cardano decentralised blockchain. - https://github.com/IntersectMBO/cardano-node + maralorn/nix-output-monitor + https://github.com/maralorn/nix-output-monitor + Pipe your nix-build output through the nix-output-monitor a.k.a nom to get additional information while building. + https://github.com/maralorn/nix-output-monitor Haskell #5e5086 - 3,068 - 720 - 5 + 933 + 28 + 14 - https://avatars.githubusercontent.com/u/9418537?s=40&v=4 - Jimbo4350 - https://github.com/Jimbo4350 + https://avatars.githubusercontent.com/u/1651325?s=40&v=4 + maralorn + https://github.com/maralorn - https://avatars.githubusercontent.com/u/63014?s=40&v=4 - newhoggy - https://github.com/newhoggy + https://avatars.githubusercontent.com/u/7258858?s=40&v=4 + SuperSandro2000 + https://github.com/SuperSandro2000 - https://avatars.githubusercontent.com/u/1339423?s=40&v=4 - dcoutts - https://github.com/dcoutts + https://avatars.githubusercontent.com/u/15312184?s=40&v=4 + 9999years + https://github.com/9999years - https://avatars.githubusercontent.com/u/452652?s=40&v=4 - deepfire - https://github.com/deepfire + https://avatars.githubusercontent.com/u/58951?s=40&v=4 + NobbZ + https://github.com/NobbZ + + + https://avatars.githubusercontent.com/u/857308?s=40&v=4 + expipiplus1 + https://github.com/expipiplus1 - IntersectMBO/plutus - https://github.com/IntersectMBO/plutus - The Plutus language implementation and tools - https://github.com/IntersectMBO/plutus + simonmichael/hledger + https://github.com/simonmichael/hledger + Robust, fast, intuitive plain text accounting tool with CLI, TUI and web interfaces. + https://github.com/simonmichael/hledger Haskell #5e5086 - 1,572 - 479 - 3 + 3,065 + 320 + 15 - https://avatars.githubusercontent.com/u/1699466?s=40&v=4 - michaelpj - https://github.com/michaelpj + https://avatars.githubusercontent.com/u/10144?s=40&v=4 + simonmichael + https://github.com/simonmichael - https://avatars.githubusercontent.com/u/652332?s=40&v=4 - jmchapman - https://github.com/jmchapman + https://avatars.githubusercontent.com/u/18479956?s=40&v=4 + Xitian9 + https://github.com/Xitian9 - https://avatars.githubusercontent.com/u/1771361?s=40&v=4 - j-mueller - https://github.com/j-mueller + https://avatars.githubusercontent.com/u/118058?s=40&v=4 + adept + https://github.com/adept - https://avatars.githubusercontent.com/u/6342538?s=40&v=4 - zliu41 - https://github.com/zliu41 + https://avatars.githubusercontent.com/u/898989?s=40&v=4 + thielema + https://github.com/thielema - https://avatars.githubusercontent.com/u/10480926?s=40&v=4 - effectfully - https://github.com/effectfully + https://avatars.githubusercontent.com/u/14352645?s=40&v=4 + zhelezov + https://github.com/zhelezov - olivierverdier/zsh-git-prompt - https://github.com/olivierverdier/zsh-git-prompt - Informative git prompt for zsh - https://github.com/olivierverdier/zsh-git-prompt + hadolint/hadolint + https://github.com/hadolint/hadolint + Dockerfile linter, validate inline bash, written in Haskell + https://github.com/hadolint/hadolint Haskell #5e5086 - 1,720 - 395 - 1 + 10,478 + 424 + 19 - https://avatars.githubusercontent.com/u/198281?s=40&v=4 - olivierverdier - https://github.com/olivierverdier - - - https://avatars.githubusercontent.com/u/9973?s=40&v=4 - axelson - https://github.com/axelson + https://avatars.githubusercontent.com/u/37621?s=40&v=4 + lorenzo + https://github.com/lorenzo - https://avatars.githubusercontent.com/u/751587?s=40&v=4 - paulcollinsiii - https://github.com/paulcollinsiii + https://avatars.githubusercontent.com/u/1288339?s=40&v=4 + lukasmartinelli + https://github.com/lukasmartinelli - https://avatars.githubusercontent.com/u/8086?s=40&v=4 - scelis - https://github.com/scelis + https://avatars.githubusercontent.com/u/17141774?s=40&v=4 + m-ildefons + https://github.com/m-ildefons - https://avatars.githubusercontent.com/u/241094?s=40&v=4 - xtaran - https://github.com/xtaran + https://avatars.githubusercontent.com/u/18702153?s=40&v=4 + zemanlx + https://github.com/zemanlx diff --git a/data/weekly/haxe.json b/data/weekly/haxe.json index ca2b83a19e48..43af01bcbca0 100644 --- a/data/weekly/haxe.json +++ b/data/weekly/haxe.json @@ -2,7 +2,7 @@ "title": "GitHub Haxe Languages Weekly Trending", "description": "Weekly Trending of Haxe Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "FunkinCrew/Funkin", diff --git a/data/weekly/haxe.xml b/data/weekly/haxe.xml index 54fa2d7445bf..2a8e0feb3090 100644 --- a/data/weekly/haxe.xml +++ b/data/weekly/haxe.xml @@ -3,7 +3,7 @@ GitHub Haxe Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Haxe Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT FunkinCrew/Funkin https://github.com/FunkinCrew/Funkin diff --git a/data/weekly/hcl.json b/data/weekly/hcl.json index ed68a7fd9407..599540a8c45c 100644 --- a/data/weekly/hcl.json +++ b/data/weekly/hcl.json @@ -2,37 +2,15 @@ "title": "GitHub Hcl Languages Weekly Trending", "description": "Weekly Trending of Hcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "philips-labs/terraform-aws-github-runner", - "url": "https://github.com/philips-labs/terraform-aws-github-runner", - "description": "Terraform module for scalable GitHub action runners on AWS", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "2,619", - "forks": "627", - "addStars": "19", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11609620?s=40&v=4", - "name": "npalm", - "url": "https://github.com/npalm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" - } - ] - }, { "title": "GoogleCloudPlatform/cloud-foundation-fabric", "url": "https://github.com/GoogleCloudPlatform/cloud-foundation-fabric", "description": "End-to-end modular samples and landing zones toolkit for Terraform on GCP.", "language": "HCL", "languageColor": "#844FBA", - "stars": "1,545", + "stars": "1,548", "forks": "888", "addStars": "10", "contributors": [ @@ -63,6 +41,28 @@ } ] }, + { + "title": "philips-labs/terraform-aws-github-runner", + "url": "https://github.com/philips-labs/terraform-aws-github-runner", + "description": "Terraform module for scalable GitHub action runners on AWS", + "language": "HCL", + "languageColor": "#844FBA", + "stars": "2,619", + "forks": "627", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11609620?s=40&v=4", + "name": "npalm", + "url": "https://github.com/npalm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" + } + ] + }, { "title": "terraform-aws-modules/terraform-aws-vpc", "url": "https://github.com/terraform-aws-modules/terraform-aws-vpc", @@ -176,7 +176,7 @@ "language": "HCL", "languageColor": "#844FBA", "stars": "1,137", - "forks": "1,669", + "forks": "1,670", "addStars": "5", "contributors": [ { @@ -250,7 +250,7 @@ "language": "HCL", "languageColor": "#844FBA", "stars": "932", - "forks": "690", + "forks": "691", "addStars": "3", "contributors": [ { @@ -280,43 +280,6 @@ } ] }, - { - "title": "Azure/terraform-azurerm-caf-enterprise-scale", - "url": "https://github.com/Azure/terraform-azurerm-caf-enterprise-scale", - "description": "Azure landing zones Terraform module", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "870", - "forks": "574", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12268562?s=40&v=4", - "name": "krowlandson", - "url": "https://github.com/krowlandson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40946247?s=40&v=4", - "name": "J0hn-B", - "url": "https://github.com/J0hn-B" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16320656?s=40&v=4", - "name": "matt-FFFFFF", - "url": "https://github.com/matt-FFFFFF" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41163455?s=40&v=4", - "name": "jtracey93", - "url": "https://github.com/jtracey93" - } - ] - }, { "title": "aws-ia/terraform-aws-eks-blueprints-addons", "url": "https://github.com/aws-ia/terraform-aws-eks-blueprints-addons", @@ -392,7 +355,7 @@ "description": "DoEKS is a tool to build, deploy and scale Data & ML Platforms on Amazon EKS", "language": "HCL", "languageColor": "#844FBA", - "stars": "664", + "stars": "665", "forks": "227", "addStars": "6", "contributors": [ @@ -418,6 +381,75 @@ } ] }, + { + "title": "kube-hetzner/terraform-hcloud-kube-hetzner", + "url": "https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner", + "description": "Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command!", + "language": "HCL", + "languageColor": "#844FBA", + "stars": "2,410", + "forks": "372", + "addStars": "28", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/518555?s=40&v=4", + "name": "mysticaltech", + "url": "https://github.com/mysticaltech" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31509435?s=40&v=4", + "name": "aleksasiriski", + "url": "https://github.com/aleksasiriski" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101753?s=40&v=4", + "name": "phaer", + "url": "https://github.com/phaer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/116259817?s=40&v=4", + "name": "valkenburg-prevue-ch", + "url": "https://github.com/valkenburg-prevue-ch" + } + ] + }, + { + "title": "Azure/terraform-azurerm-caf-enterprise-scale", + "url": "https://github.com/Azure/terraform-azurerm-caf-enterprise-scale", + "description": "Azure landing zones Terraform module", + "language": "HCL", + "languageColor": "#844FBA", + "stars": "870", + "forks": "574", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12268562?s=40&v=4", + "name": "krowlandson", + "url": "https://github.com/krowlandson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40946247?s=40&v=4", + "name": "J0hn-B", + "url": "https://github.com/J0hn-B" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16320656?s=40&v=4", + "name": "matt-FFFFFF", + "url": "https://github.com/matt-FFFFFF" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/41163455?s=40&v=4", + "name": "jtracey93", + "url": "https://github.com/jtracey93" + } + ] + }, { "title": "terraform-aws-modules/terraform-aws-iam", "url": "https://github.com/terraform-aws-modules/terraform-aws-iam", @@ -455,38 +487,6 @@ } ] }, - { - "title": "Azure/terraform-azurerm-aks", - "url": "https://github.com/Azure/terraform-azurerm-aks", - "description": "Terraform Module for deploying an AKS cluster", - "language": "HCL", - "languageColor": "#844FBA", - "stars": "359", - "forks": "468", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2233414?s=40&v=4", - "name": "lonegunmanb", - "url": "https://github.com/lonegunmanb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5029466?s=40&v=4", - "name": "malantin", - "url": "https://github.com/malantin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/789701?s=40&v=4", - "name": "zioproto", - "url": "https://github.com/zioproto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1550229?s=40&v=4", - "name": "gzur", - "url": "https://github.com/gzur" - } - ] - }, { "title": "cloudposse/terraform-aws-ecs-container-definition", "url": "https://github.com/cloudposse/terraform-aws-ecs-container-definition", diff --git a/data/weekly/hcl.xml b/data/weekly/hcl.xml index 43eb93c96e7d..712d64e70a13 100644 --- a/data/weekly/hcl.xml +++ b/data/weekly/hcl.xml @@ -3,30 +3,7 @@ GitHub Hcl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - philips-labs/terraform-aws-github-runner - https://github.com/philips-labs/terraform-aws-github-runner - Terraform module for scalable GitHub action runners on AWS - https://github.com/philips-labs/terraform-aws-github-runner - HCL - #844FBA - 2,619 - 627 - 19 - - - https://avatars.githubusercontent.com/u/11609620?s=40&v=4 - npalm - https://github.com/npalm - - - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot - - - + Mon, 25 Nov 2024 13:30:36 GMT GoogleCloudPlatform/cloud-foundation-fabric https://github.com/GoogleCloudPlatform/cloud-foundation-fabric @@ -34,7 +11,7 @@ https://github.com/GoogleCloudPlatform/cloud-foundation-fabric HCL #844FBA - 1,545 + 1,548 888 10 @@ -65,6 +42,29 @@ + + philips-labs/terraform-aws-github-runner + https://github.com/philips-labs/terraform-aws-github-runner + Terraform module for scalable GitHub action runners on AWS + https://github.com/philips-labs/terraform-aws-github-runner + HCL + #844FBA + 2,619 + 627 + 19 + + + https://avatars.githubusercontent.com/u/11609620?s=40&v=4 + npalm + https://github.com/npalm + + + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot + + + terraform-aws-modules/terraform-aws-vpc https://github.com/terraform-aws-modules/terraform-aws-vpc @@ -182,7 +182,7 @@ HCL #844FBA 1,137 - 1,669 + 1,670 5 @@ -258,7 +258,7 @@ HCL #844FBA 932 - 690 + 691 3 @@ -288,44 +288,6 @@ - - Azure/terraform-azurerm-caf-enterprise-scale - https://github.com/Azure/terraform-azurerm-caf-enterprise-scale - Azure landing zones Terraform module - https://github.com/Azure/terraform-azurerm-caf-enterprise-scale - HCL - #844FBA - 870 - 574 - 9 - - - https://avatars.githubusercontent.com/u/12268562?s=40&v=4 - krowlandson - https://github.com/krowlandson - - - https://avatars.githubusercontent.com/u/40946247?s=40&v=4 - J0hn-B - https://github.com/J0hn-B - - - https://avatars.githubusercontent.com/u/16320656?s=40&v=4 - matt-FFFFFF - https://github.com/matt-FFFFFF - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - - https://avatars.githubusercontent.com/u/41163455?s=40&v=4 - jtracey93 - https://github.com/jtracey93 - - - aws-ia/terraform-aws-eks-blueprints-addons https://github.com/aws-ia/terraform-aws-eks-blueprints-addons @@ -404,7 +366,7 @@ https://github.com/awslabs/data-on-eks HCL #844FBA - 664 + 665 227 6 @@ -430,6 +392,77 @@ + + kube-hetzner/terraform-hcloud-kube-hetzner + https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner + Optimized and Maintenance-free Kubernetes on Hetzner Cloud in one command! + https://github.com/kube-hetzner/terraform-hcloud-kube-hetzner + HCL + #844FBA + 2,410 + 372 + 28 + + + https://avatars.githubusercontent.com/u/518555?s=40&v=4 + mysticaltech + https://github.com/mysticaltech + + + https://avatars.githubusercontent.com/u/31509435?s=40&v=4 + aleksasiriski + https://github.com/aleksasiriski + + + https://avatars.githubusercontent.com/u/101753?s=40&v=4 + phaer + https://github.com/phaer + + + https://avatars.githubusercontent.com/u/116259817?s=40&v=4 + valkenburg-prevue-ch + https://github.com/valkenburg-prevue-ch + + + + + Azure/terraform-azurerm-caf-enterprise-scale + https://github.com/Azure/terraform-azurerm-caf-enterprise-scale + Azure landing zones Terraform module + https://github.com/Azure/terraform-azurerm-caf-enterprise-scale + HCL + #844FBA + 870 + 574 + 9 + + + https://avatars.githubusercontent.com/u/12268562?s=40&v=4 + krowlandson + https://github.com/krowlandson + + + https://avatars.githubusercontent.com/u/40946247?s=40&v=4 + J0hn-B + https://github.com/J0hn-B + + + https://avatars.githubusercontent.com/u/16320656?s=40&v=4 + matt-FFFFFF + https://github.com/matt-FFFFFF + + + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user + + + https://avatars.githubusercontent.com/u/41163455?s=40&v=4 + jtracey93 + https://github.com/jtracey93 + + + terraform-aws-modules/terraform-aws-iam https://github.com/terraform-aws-modules/terraform-aws-iam @@ -468,39 +501,6 @@ - - Azure/terraform-azurerm-aks - https://github.com/Azure/terraform-azurerm-aks - Terraform Module for deploying an AKS cluster - https://github.com/Azure/terraform-azurerm-aks - HCL - #844FBA - 359 - 468 - 0 - - - https://avatars.githubusercontent.com/u/2233414?s=40&v=4 - lonegunmanb - https://github.com/lonegunmanb - - - https://avatars.githubusercontent.com/u/5029466?s=40&v=4 - malantin - https://github.com/malantin - - - https://avatars.githubusercontent.com/u/789701?s=40&v=4 - zioproto - https://github.com/zioproto - - - https://avatars.githubusercontent.com/u/1550229?s=40&v=4 - gzur - https://github.com/gzur - - - cloudposse/terraform-aws-ecs-container-definition https://github.com/cloudposse/terraform-aws-ecs-container-definition diff --git a/data/weekly/hiveql.json b/data/weekly/hiveql.json index f48f742457b2..c19234e64dc1 100644 --- a/data/weekly/hiveql.json +++ b/data/weekly/hiveql.json @@ -2,6 +2,6 @@ "title": "GitHub Hiveql Languages Weekly Trending", "description": "Weekly Trending of Hiveql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hiveql.xml b/data/weekly/hiveql.xml index be5fcb6e9be8..b521e580caea 100644 --- a/data/weekly/hiveql.xml +++ b/data/weekly/hiveql.xml @@ -3,6 +3,6 @@ GitHub Hiveql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hiveql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/hlsl.json b/data/weekly/hlsl.json index 82897069823d..bda84e47eb3c 100644 --- a/data/weekly/hlsl.json +++ b/data/weekly/hlsl.json @@ -2,35 +2,8 @@ "title": "GitHub Hlsl Languages Weekly Trending", "description": "Weekly Trending of Hlsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "Blinue/Magpie", - "url": "https://github.com/Blinue/Magpie", - "description": "An all-purpose window upscaler for Windows 10/11.", - "language": "HLSL", - "languageColor": "#aace60", - "stars": "9,663", - "forks": "496", - "addStars": "77", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/34770031?s=40&v=4", - "name": "Blinue", - "url": "https://github.com/Blinue" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/132756551?s=40&v=4", - "name": "animeojisan", - "url": "https://github.com/animeojisan" - } - ] - }, { "title": "JamesCJ60/Universal-x86-Tuning-Utility", "url": "https://github.com/JamesCJ60/Universal-x86-Tuning-Utility", @@ -62,6 +35,33 @@ "url": "https://github.com/KWagnerCS" } ] + }, + { + "title": "Blinue/Magpie", + "url": "https://github.com/Blinue/Magpie", + "description": "An all-purpose window upscaler for Windows 10/11.", + "language": "HLSL", + "languageColor": "#aace60", + "stars": "9,665", + "forks": "496", + "addStars": "77", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/34770031?s=40&v=4", + "name": "Blinue", + "url": "https://github.com/Blinue" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/132756551?s=40&v=4", + "name": "animeojisan", + "url": "https://github.com/animeojisan" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/hlsl.xml b/data/weekly/hlsl.xml index 5b4d7d53aa79..611a93a12f04 100644 --- a/data/weekly/hlsl.xml +++ b/data/weekly/hlsl.xml @@ -3,35 +3,7 @@ GitHub Hlsl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hlsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - Blinue/Magpie - https://github.com/Blinue/Magpie - An all-purpose window upscaler for Windows 10/11. - https://github.com/Blinue/Magpie - HLSL - #aace60 - 9,663 - 496 - 77 - - - https://avatars.githubusercontent.com/u/34770031?s=40&v=4 - Blinue - https://github.com/Blinue - - - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate - - - https://avatars.githubusercontent.com/u/132756551?s=40&v=4 - animeojisan - https://github.com/animeojisan - - - + Mon, 25 Nov 2024 13:30:36 GMT JamesCJ60/Universal-x86-Tuning-Utility https://github.com/JamesCJ60/Universal-x86-Tuning-Utility @@ -65,5 +37,33 @@ + + Blinue/Magpie + https://github.com/Blinue/Magpie + An all-purpose window upscaler for Windows 10/11. + https://github.com/Blinue/Magpie + HLSL + #aace60 + 9,665 + 496 + 77 + + + https://avatars.githubusercontent.com/u/34770031?s=40&v=4 + Blinue + https://github.com/Blinue + + + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate + + + https://avatars.githubusercontent.com/u/132756551?s=40&v=4 + animeojisan + https://github.com/animeojisan + + + \ No newline at end of file diff --git a/data/weekly/hocon.json b/data/weekly/hocon.json index 70862e708d7a..7cef813d84f6 100644 --- a/data/weekly/hocon.json +++ b/data/weekly/hocon.json @@ -2,6 +2,6 @@ "title": "GitHub Hocon Languages Weekly Trending", "description": "Weekly Trending of Hocon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hocon.xml b/data/weekly/hocon.xml index 3e2fec3a6965..c0adfe470efa 100644 --- a/data/weekly/hocon.xml +++ b/data/weekly/hocon.xml @@ -3,6 +3,6 @@ GitHub Hocon Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hocon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/holyc.json b/data/weekly/holyc.json index 7af016b301f5..0caacd6dda56 100644 --- a/data/weekly/holyc.json +++ b/data/weekly/holyc.json @@ -2,7 +2,7 @@ "title": "GitHub Holyc Languages Weekly Trending", "description": "Weekly Trending of Holyc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Zeal-Operating-System/ZealOS", diff --git a/data/weekly/holyc.xml b/data/weekly/holyc.xml index aea397532a8b..da1afc95f529 100644 --- a/data/weekly/holyc.xml +++ b/data/weekly/holyc.xml @@ -3,7 +3,7 @@ GitHub Holyc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Holyc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Zeal-Operating-System/ZealOS https://github.com/Zeal-Operating-System/ZealOS diff --git a/data/weekly/hoon.json b/data/weekly/hoon.json index 296d8c34f49f..20074bf76068 100644 --- a/data/weekly/hoon.json +++ b/data/weekly/hoon.json @@ -2,6 +2,6 @@ "title": "GitHub Hoon Languages Weekly Trending", "description": "Weekly Trending of Hoon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hoon.xml b/data/weekly/hoon.xml index 80b74b888d76..0c930b05a02b 100644 --- a/data/weekly/hoon.xml +++ b/data/weekly/hoon.xml @@ -3,6 +3,6 @@ GitHub Hoon Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hoon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/hosts-file.json b/data/weekly/hosts-file.json index f37847276cf5..0753909f3e44 100644 --- a/data/weekly/hosts-file.json +++ b/data/weekly/hosts-file.json @@ -2,6 +2,6 @@ "title": "GitHub Hosts-file Languages Weekly Trending", "description": "Weekly Trending of Hosts-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hosts-file.xml b/data/weekly/hosts-file.xml index 73d506d570ec..edb155d8a5bd 100644 --- a/data/weekly/hosts-file.xml +++ b/data/weekly/hosts-file.xml @@ -3,6 +3,6 @@ GitHub Hosts-file Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hosts-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/html+ecr.json b/data/weekly/html+ecr.json index 9e39231137eb..faab2a3902cf 100644 --- a/data/weekly/html+ecr.json +++ b/data/weekly/html+ecr.json @@ -2,6 +2,6 @@ "title": "GitHub Html+ecr Languages Weekly Trending", "description": "Weekly Trending of Html+ecr Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/html+ecr.xml b/data/weekly/html+ecr.xml index 12ab049bd332..9b5cb7d023c6 100644 --- a/data/weekly/html+ecr.xml +++ b/data/weekly/html+ecr.xml @@ -3,6 +3,6 @@ GitHub Html+ecr Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Html+ecr Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/html+eex.json b/data/weekly/html+eex.json index 128e88500f89..599bb1e8fd89 100644 --- a/data/weekly/html+eex.json +++ b/data/weekly/html+eex.json @@ -2,6 +2,6 @@ "title": "GitHub Html+eex Languages Weekly Trending", "description": "Weekly Trending of Html+eex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/html+eex.xml b/data/weekly/html+eex.xml index ce052b48981a..060d6c452f2b 100644 --- a/data/weekly/html+eex.xml +++ b/data/weekly/html+eex.xml @@ -3,6 +3,6 @@ GitHub Html+eex Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Html+eex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/html+erb.json b/data/weekly/html+erb.json index bd763a9c2c45..4b4503e33b15 100644 --- a/data/weekly/html+erb.json +++ b/data/weekly/html+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Html+erb Languages Weekly Trending", "description": "Weekly Trending of Html+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/html+erb.xml b/data/weekly/html+erb.xml index 6ee78b4216b1..c7e70f277ce5 100644 --- a/data/weekly/html+erb.xml +++ b/data/weekly/html+erb.xml @@ -3,6 +3,6 @@ GitHub Html+erb Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Html+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/html+php.json b/data/weekly/html+php.json index 658239b48ce6..dc8dd7b3d6d4 100644 --- a/data/weekly/html+php.json +++ b/data/weekly/html+php.json @@ -2,6 +2,6 @@ "title": "GitHub Html+php Languages Weekly Trending", "description": "Weekly Trending of Html+php Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/html+php.xml b/data/weekly/html+php.xml index 90f642858dab..9126c9aa5898 100644 --- a/data/weekly/html+php.xml +++ b/data/weekly/html+php.xml @@ -3,6 +3,6 @@ GitHub Html+php Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Html+php Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/html+razor.json b/data/weekly/html+razor.json index b30669063fd4..65fbad39caa4 100644 --- a/data/weekly/html+razor.json +++ b/data/weekly/html+razor.json @@ -2,6 +2,6 @@ "title": "GitHub Html+razor Languages Weekly Trending", "description": "Weekly Trending of Html+razor Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/html+razor.xml b/data/weekly/html+razor.xml index 59ab16ab6a03..e8d89b438df9 100644 --- a/data/weekly/html+razor.xml +++ b/data/weekly/html+razor.xml @@ -3,6 +3,6 @@ GitHub Html+razor Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Html+razor Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/html.json b/data/weekly/html.json index ad5a94605c60..be71eaac3e01 100644 --- a/data/weekly/html.json +++ b/data/weekly/html.json @@ -2,42 +2,42 @@ "title": "GitHub Html Languages Weekly Trending", "description": "Weekly Trending of Html Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "f/awesome-chatgpt-prompts", - "url": "https://github.com/f/awesome-chatgpt-prompts", - "description": "This repo includes ChatGPT prompt curation to use ChatGPT better.", + "title": "EbookFoundation/free-programming-books", + "url": "https://github.com/EbookFoundation/free-programming-books", + "description": "📚 Freely available programming books", "language": "HTML", "languageColor": "#e34c26", - "stars": "113,297", - "forks": "15,444", - "addStars": "581", + "stars": "339,482", + "forks": "61,784", + "addStars": "1,171", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/196477?s=40&v=4", - "name": "f", - "url": "https://github.com/f" + "avatar": "https://avatars.githubusercontent.com/u/2022803?s=40&v=4", + "name": "vhf", + "url": "https://github.com/vhf" }, { - "avatar": "https://avatars.githubusercontent.com/u/25708048?s=40&v=4", - "name": "iuzn", - "url": "https://github.com/iuzn" + "avatar": "https://avatars.githubusercontent.com/u/926513?s=40&v=4", + "name": "eshellman", + "url": "https://github.com/eshellman" }, { - "avatar": "https://avatars.githubusercontent.com/u/14020439?s=40&v=4", - "name": "fengkiej", - "url": "https://github.com/fengkiej" + "avatar": "https://avatars.githubusercontent.com/u/3125580?s=40&v=4", + "name": "davorpa", + "url": "https://github.com/davorpa" }, { - "avatar": "https://avatars.githubusercontent.com/u/19703819?s=40&v=4", - "name": "JonathanDn", - "url": "https://github.com/JonathanDn" + "avatar": "https://avatars.githubusercontent.com/u/2694949?s=40&v=4", + "name": "MHM5000", + "url": "https://github.com/MHM5000" }, { - "avatar": "https://avatars.githubusercontent.com/u/96925396?s=40&v=4", - "name": "Vazno", - "url": "https://github.com/Vazno" + "avatar": "https://avatars.githubusercontent.com/u/10100659?s=40&v=4", + "name": "kadhirash", + "url": "https://github.com/kadhirash" } ] }, @@ -47,8 +47,8 @@ "description": "A list of SaaS, PaaS and IaaS offerings that have free tiers of interest to devops and infradev", "language": "HTML", "languageColor": "#e34c26", - "stars": "90,289", - "forks": "9,794", + "stars": "90,300", + "forks": "9,795", "addStars": "311", "contributors": [ { @@ -78,14 +78,51 @@ } ] }, + { + "title": "f/awesome-chatgpt-prompts", + "url": "https://github.com/f/awesome-chatgpt-prompts", + "description": "This repo includes ChatGPT prompt curation to use ChatGPT better.", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "113,313", + "forks": "15,451", + "addStars": "581", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/196477?s=40&v=4", + "name": "f", + "url": "https://github.com/f" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25708048?s=40&v=4", + "name": "iuzn", + "url": "https://github.com/iuzn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14020439?s=40&v=4", + "name": "fengkiej", + "url": "https://github.com/fengkiej" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19703819?s=40&v=4", + "name": "JonathanDn", + "url": "https://github.com/JonathanDn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/96925396?s=40&v=4", + "name": "Vazno", + "url": "https://github.com/Vazno" + } + ] + }, { "title": "docker/awesome-compose", "url": "https://github.com/docker/awesome-compose", "description": "Awesome Docker Compose samples", "language": "HTML", "languageColor": "#e34c26", - "stars": "35,621", - "forks": "6,746", + "stars": "35,629", + "forks": "6,747", "addStars": "369", "contributors": [ { @@ -115,83 +152,14 @@ } ] }, - { - "title": "windmill-labs/windmill", - "url": "https://github.com/windmill-labs/windmill", - "description": "Open-source developer platform to power your entire infra and turn scripts into webhooks, workflows and UIs. Fastest workflow engine (13x vs Airflow). Open-source alternative to Retool and Temporal.", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "10,997", - "forks": "536", - "addStars": "204", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/275584?s=40&v=4", - "name": "rubenfiszel", - "url": "https://github.com/rubenfiszel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/456655?s=40&v=4", - "name": "fatonramadani", - "url": "https://github.com/fatonramadani" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15649739?s=40&v=4", - "name": "HugoCasa", - "url": "https://github.com/HugoCasa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18011812?s=40&v=4", - "name": "gbouv", - "url": "https://github.com/gbouv" - } - ] - }, - { - "title": "EbookFoundation/free-programming-books", - "url": "https://github.com/EbookFoundation/free-programming-books", - "description": "📚 Freely available programming books", - "language": "HTML", - "languageColor": "#e34c26", - "stars": "339,385", - "forks": "61,775", - "addStars": "1,171", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2022803?s=40&v=4", - "name": "vhf", - "url": "https://github.com/vhf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/926513?s=40&v=4", - "name": "eshellman", - "url": "https://github.com/eshellman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3125580?s=40&v=4", - "name": "davorpa", - "url": "https://github.com/davorpa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2694949?s=40&v=4", - "name": "MHM5000", - "url": "https://github.com/MHM5000" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10100659?s=40&v=4", - "name": "kadhirash", - "url": "https://github.com/kadhirash" - } - ] - }, { "title": "htr-tech/zphisher", "url": "https://github.com/htr-tech/zphisher", "description": "An automated phishing tool with 30+ templates. This Tool is made for educational purpose only ! Author will not be responsible for any misuse of this toolkit !", "language": "HTML", "languageColor": "#e34c26", - "stars": "11,511", - "forks": "3,878", + "stars": "11,515", + "forks": "3,880", "addStars": "52", "contributors": [ { @@ -227,8 +195,8 @@ "description": "AWS Certified Cloud Practitioner Short Notes And Practice Exams (CLF-C02)", "language": "HTML", "languageColor": "#e34c26", - "stars": "1,932", - "forks": "673", + "stars": "1,934", + "forks": "675", "addStars": "68", "contributors": [ { @@ -259,61 +227,71 @@ ] }, { - "title": "microsoft/fluentui-system-icons", - "url": "https://github.com/microsoft/fluentui-system-icons", - "description": "Fluent System Icons are a collection of familiar, friendly and modern icons from Microsoft.", + "title": "alshedivat/al-folio", + "url": "https://github.com/alshedivat/al-folio", + "description": "A beautiful, simple, clean, and responsive Jekyll theme for academics", "language": "HTML", "languageColor": "#e34c26", - "stars": "6,080", - "forks": "528", - "addStars": "43", + "stars": "11,317", + "forks": "11,267", + "addStars": "114", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/66228431?s=40&v=4", - "name": "fluentCI", - "url": "https://github.com/fluentCI" + "avatar": "https://avatars.githubusercontent.com/u/31376482?s=40&v=4", + "name": "george-gca", + "url": "https://github.com/george-gca" }, { - "avatar": "https://avatars.githubusercontent.com/u/53240053?s=40&v=4", - "name": "spencer-nelson", - "url": "https://github.com/spencer-nelson" + "avatar": "https://avatars.githubusercontent.com/u/2126561?s=40&v=4", + "name": "alshedivat", + "url": "https://github.com/alshedivat" }, { - "avatar": "https://avatars.githubusercontent.com/u/20647412?s=40&v=4", - "name": "jasoncuster", - "url": "https://github.com/jasoncuster" + "avatar": "https://avatars.githubusercontent.com/u/50144004?s=40&v=4", + "name": "rohandebsarkar", + "url": "https://github.com/rohandebsarkar" }, { - "avatar": "https://avatars.githubusercontent.com/u/66456876?s=40&v=4", - "name": "tomi-msft", - "url": "https://github.com/tomi-msft" + "avatar": "https://avatars.githubusercontent.com/u/32064808?s=40&v=4", + "name": "pourmand1376", + "url": "https://github.com/pourmand1376" }, { - "avatar": "https://avatars.githubusercontent.com/u/70799?s=40&v=4", - "name": "nickromano", - "url": "https://github.com/nickromano" + "avatar": "https://avatars.githubusercontent.com/u/2106074?s=40&v=4", + "name": "samfearn", + "url": "https://github.com/samfearn" } ] }, { - "title": "techchipnet/CamPhish", - "url": "https://github.com/techchipnet/CamPhish", - "description": "Grab cam shots from target's phone front camera or PC webcam just sending a link.", + "title": "google/styleguide", + "url": "https://github.com/google/styleguide", + "description": "Style guides for Google-originated open-source projects", "language": "HTML", "languageColor": "#e34c26", - "stars": "3,239", - "forks": "877", - "addStars": "20", + "stars": "37,531", + "forks": "13,303", + "addStars": "46", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42796435?s=40&v=4", - "name": "techchipnet", - "url": "https://github.com/techchipnet" + "avatar": "https://avatars.githubusercontent.com/u/1343864?s=40&v=4", + "name": "tonyruscoe", + "url": "https://github.com/tonyruscoe" }, { - "avatar": "https://avatars.githubusercontent.com/u/72634331?s=40&v=4", - "name": "Kr3sZ", - "url": "https://github.com/Kr3sZ" + "avatar": "https://avatars.githubusercontent.com/u/243524?s=40&v=4", + "name": "IsaacG", + "url": "https://github.com/IsaacG" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12462303?s=40&v=4", + "name": "tituswinters", + "url": "https://github.com/tituswinters" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/68491?s=40&v=4", + "name": "gpshead", + "url": "https://github.com/gpshead" } ] }, @@ -323,7 +301,7 @@ "description": "Documentation that simply works", "language": "HTML", "languageColor": "#e34c26", - "stars": "21,124", + "stars": "21,131", "forks": "3,569", "addStars": "111", "contributors": [ @@ -344,14 +322,36 @@ } ] }, + { + "title": "techchipnet/CamPhish", + "url": "https://github.com/techchipnet/CamPhish", + "description": "Grab cam shots from target's phone front camera or PC webcam just sending a link.", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "3,240", + "forks": "877", + "addStars": "20", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/42796435?s=40&v=4", + "name": "techchipnet", + "url": "https://github.com/techchipnet" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/72634331?s=40&v=4", + "name": "Kr3sZ", + "url": "https://github.com/Kr3sZ" + } + ] + }, { "title": "tabler/tabler", "url": "https://github.com/tabler/tabler", "description": "Tabler is free and open-source HTML Dashboard UI Kit built on Bootstrap", "language": "HTML", "languageColor": "#e34c26", - "stars": "38,127", - "forks": "3,993", + "stars": "38,131", + "forks": "3,994", "addStars": "55", "contributors": [ { @@ -371,13 +371,40 @@ } ] }, + { + "title": "varadbhogayata/varadbhogayata.github.io", + "url": "https://github.com/varadbhogayata/varadbhogayata.github.io", + "description": "My Portfolio - Personal Website", + "language": "HTML", + "languageColor": "#e34c26", + "stars": "1,137", + "forks": "724", + "addStars": "11", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26241127?s=40&v=4", + "name": "varadbhogayata", + "url": "https://github.com/varadbhogayata" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31427850?s=40&v=4", + "name": "ImgBotApp", + "url": "https://github.com/ImgBotApp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30727182?s=40&v=4", + "name": "smitjethwa", + "url": "https://github.com/smitjethwa" + } + ] + }, { "title": "Unstructured-IO/unstructured", "url": "https://github.com/Unstructured-IO/unstructured", "description": "Open source libraries and APIs to build custom preprocessing pipelines for labeling, training, or production machine learning pipelines.", "language": "HTML", "languageColor": "#e34c26", - "stars": "9,237", + "stars": "9,242", "forks": "766", "addStars": "93", "contributors": [ @@ -409,182 +436,187 @@ ] }, { - "title": "DataDog/documentation", - "url": "https://github.com/DataDog/documentation", - "description": "The source for Datadog's documentation site.", + "title": "mdn/learning-area", + "url": "https://github.com/mdn/learning-area", + "description": "GitHub repo for the MDN Learning Area.", "language": "HTML", "languageColor": "#e34c26", - "stars": "477", - "forks": "1,086", - "addStars": "4", + "stars": "7,040", + "forks": "27,037", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26976876?s=40&v=4", - "name": "guacbot", - "url": "https://github.com/guacbot" + "avatar": "https://avatars.githubusercontent.com/u/47647?s=40&v=4", + "name": "chrisdavidmills", + "url": "https://github.com/chrisdavidmills" }, { - "avatar": "https://avatars.githubusercontent.com/u/1680226?s=40&v=4", - "name": "cswatt", - "url": "https://github.com/cswatt" + "avatar": "https://avatars.githubusercontent.com/u/432915?s=40&v=4", + "name": "wbamberg", + "url": "https://github.com/wbamberg" }, { - "avatar": "https://avatars.githubusercontent.com/u/12926135?s=40&v=4", - "name": "kayayarai", - "url": "https://github.com/kayayarai" + "avatar": "https://avatars.githubusercontent.com/u/69888?s=40&v=4", + "name": "estelle", + "url": "https://github.com/estelle" }, { - "avatar": "https://avatars.githubusercontent.com/u/3624790?s=40&v=4", - "name": "l0k0ms", - "url": "https://github.com/l0k0ms" + "avatar": "https://avatars.githubusercontent.com/u/1466293?s=40&v=4", + "name": "teoli2003", + "url": "https://github.com/teoli2003" }, { - "avatar": "https://avatars.githubusercontent.com/u/76412946?s=40&v=4", - "name": "alai97", - "url": "https://github.com/alai97" + "avatar": "https://avatars.githubusercontent.com/u/43580235?s=40&v=4", + "name": "bsmth", + "url": "https://github.com/bsmth" } ] }, { - "title": "alpinejs/alpine", - "url": "https://github.com/alpinejs/alpine", - "description": "A rugged, minimal framework for composing JavaScript behavior in your markup.", + "title": "munificent/craftinginterpreters", + "url": "https://github.com/munificent/craftinginterpreters", + "description": "Repository for the book \"Crafting Interpreters\"", "language": "HTML", "languageColor": "#e34c26", - "stars": "28,439", - "forks": "1,235", - "addStars": "63", + "stars": "9,059", + "forks": "1,062", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3670578?s=40&v=4", - "name": "calebporzio", - "url": "https://github.com/calebporzio" + "avatar": "https://avatars.githubusercontent.com/u/46275?s=40&v=4", + "name": "munificent", + "url": "https://github.com/munificent" }, { - "avatar": "https://avatars.githubusercontent.com/u/6459679?s=40&v=4", - "name": "HugoDF", - "url": "https://github.com/HugoDF" + "avatar": "https://avatars.githubusercontent.com/u/4028654?s=40&v=4", + "name": "mchlrhw", + "url": "https://github.com/mchlrhw" }, { - "avatar": "https://avatars.githubusercontent.com/u/744385?s=40&v=4", - "name": "keyurshah", - "url": "https://github.com/keyurshah" + "avatar": "https://avatars.githubusercontent.com/u/2172590?s=40&v=4", + "name": "rkirsling", + "url": "https://github.com/rkirsling" }, { - "avatar": "https://avatars.githubusercontent.com/u/8427737?s=40&v=4", - "name": "SimoTod", - "url": "https://github.com/SimoTod" + "avatar": "https://avatars.githubusercontent.com/u/66355?s=40&v=4", + "name": "Hamled", + "url": "https://github.com/Hamled" }, { - "avatar": "https://avatars.githubusercontent.com/u/41837763?s=40&v=4", - "name": "ryangjchandler", - "url": "https://github.com/ryangjchandler" + "avatar": "https://avatars.githubusercontent.com/u/999033?s=40&v=4", + "name": "benhoyt", + "url": "https://github.com/benhoyt" } ] }, { - "title": "google/styleguide", - "url": "https://github.com/google/styleguide", - "description": "Style guides for Google-originated open-source projects", + "title": "microsoft/ML-For-Beginners", + "url": "https://github.com/microsoft/ML-For-Beginners", + "description": "12 weeks, 26 lessons, 52 quizzes, classic Machine Learning for all", "language": "HTML", "languageColor": "#e34c26", - "stars": "37,529", - "forks": "13,302", - "addStars": "46", + "stars": "69,898", + "forks": "14,570", + "addStars": "118", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1343864?s=40&v=4", - "name": "tonyruscoe", - "url": "https://github.com/tonyruscoe" + "avatar": "https://avatars.githubusercontent.com/u/1450004?s=40&v=4", + "name": "jlooper", + "url": "https://github.com/jlooper" }, { - "avatar": "https://avatars.githubusercontent.com/u/243524?s=40&v=4", - "name": "IsaacG", - "url": "https://github.com/IsaacG" + "avatar": "https://avatars.githubusercontent.com/u/3022824?s=40&v=4", + "name": "hereje", + "url": "https://github.com/hereje" }, { - "avatar": "https://avatars.githubusercontent.com/u/12462303?s=40&v=4", - "name": "tituswinters", - "url": "https://github.com/tituswinters" + "avatar": "https://avatars.githubusercontent.com/u/55969597?s=40&v=4", + "name": "Vidushi-Gupta", + "url": "https://github.com/Vidushi-Gupta" }, { - "avatar": "https://avatars.githubusercontent.com/u/68491?s=40&v=4", - "name": "gpshead", - "url": "https://github.com/gpshead" + "avatar": "https://avatars.githubusercontent.com/u/4598064?s=40&v=4", + "name": "softchris", + "url": "https://github.com/softchris" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12551635?s=40&v=4", + "name": "minwook-shin", + "url": "https://github.com/minwook-shin" } ] }, { - "title": "mdn/learning-area", - "url": "https://github.com/mdn/learning-area", - "description": "GitHub repo for the MDN Learning Area.", + "title": "rfordatascience/tidytuesday", + "url": "https://github.com/rfordatascience/tidytuesday", + "description": "Official repo for the #tidytuesday project", "language": "HTML", "languageColor": "#e34c26", - "stars": "7,040", - "forks": "27,037", - "addStars": "15", + "stars": "6,926", + "forks": "2,410", + "addStars": "21", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/47647?s=40&v=4", - "name": "chrisdavidmills", - "url": "https://github.com/chrisdavidmills" + "avatar": "https://avatars.githubusercontent.com/u/29187501?s=40&v=4", + "name": "jthomasmock", + "url": "https://github.com/jthomasmock" }, { - "avatar": "https://avatars.githubusercontent.com/u/432915?s=40&v=4", - "name": "wbamberg", - "url": "https://github.com/wbamberg" + "avatar": "https://avatars.githubusercontent.com/u/33983824?s=40&v=4", + "name": "jonthegeek", + "url": "https://github.com/jonthegeek" }, { - "avatar": "https://avatars.githubusercontent.com/u/69888?s=40&v=4", - "name": "estelle", - "url": "https://github.com/estelle" + "avatar": "https://avatars.githubusercontent.com/u/889238?s=40&v=4", + "name": "tracykteal", + "url": "https://github.com/tracykteal" }, { - "avatar": "https://avatars.githubusercontent.com/u/1466293?s=40&v=4", - "name": "teoli2003", - "url": "https://github.com/teoli2003" + "avatar": "https://avatars.githubusercontent.com/u/22481467?s=40&v=4", + "name": "thebioengineer", + "url": "https://github.com/thebioengineer" }, { - "avatar": "https://avatars.githubusercontent.com/u/43580235?s=40&v=4", - "name": "bsmth", - "url": "https://github.com/bsmth" + "avatar": "https://avatars.githubusercontent.com/u/61802414?s=40&v=4", + "name": "Fgazzelloni", + "url": "https://github.com/Fgazzelloni" } ] }, { - "title": "munificent/craftinginterpreters", - "url": "https://github.com/munificent/craftinginterpreters", - "description": "Repository for the book \"Crafting Interpreters\"", + "title": "pdf2htmlEX/pdf2htmlEX", + "url": "https://github.com/pdf2htmlEX/pdf2htmlEX", + "description": "Convert PDF to HTML without losing text or format.", "language": "HTML", "languageColor": "#e34c26", - "stars": "9,057", - "forks": "1,062", - "addStars": "26", + "stars": "4,530", + "forks": "418", + "addStars": "701", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/46275?s=40&v=4", - "name": "munificent", - "url": "https://github.com/munificent" + "avatar": "https://avatars.githubusercontent.com/u/734614?s=40&v=4", + "name": "coolwanglu", + "url": "https://github.com/coolwanglu" }, { - "avatar": "https://avatars.githubusercontent.com/u/4028654?s=40&v=4", - "name": "mchlrhw", - "url": "https://github.com/mchlrhw" + "avatar": "https://avatars.githubusercontent.com/u/1078196?s=40&v=4", + "name": "stephengaito", + "url": "https://github.com/stephengaito" }, { - "avatar": "https://avatars.githubusercontent.com/u/2172590?s=40&v=4", - "name": "rkirsling", - "url": "https://github.com/rkirsling" + "avatar": "https://avatars.githubusercontent.com/u/1684137?s=40&v=4", + "name": "duanyao", + "url": "https://github.com/duanyao" }, { - "avatar": "https://avatars.githubusercontent.com/u/66355?s=40&v=4", - "name": "Hamled", - "url": "https://github.com/Hamled" + "avatar": "https://avatars.githubusercontent.com/u/641721?s=40&v=4", + "name": "Rockstar04", + "url": "https://github.com/Rockstar04" }, { - "avatar": "https://avatars.githubusercontent.com/u/999033?s=40&v=4", - "name": "benhoyt", - "url": "https://github.com/benhoyt" + "avatar": "https://avatars.githubusercontent.com/u/467695?s=40&v=4", + "name": "jahewson", + "url": "https://github.com/jahewson" } ] } diff --git a/data/weekly/html.xml b/data/weekly/html.xml index 29bd18952e46..57dadb4ef4ec 100644 --- a/data/weekly/html.xml +++ b/data/weekly/html.xml @@ -3,42 +3,42 @@ GitHub Html Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Html Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - f/awesome-chatgpt-prompts - https://github.com/f/awesome-chatgpt-prompts - This repo includes ChatGPT prompt curation to use ChatGPT better. - https://github.com/f/awesome-chatgpt-prompts + EbookFoundation/free-programming-books + https://github.com/EbookFoundation/free-programming-books + 📚 Freely available programming books + https://github.com/EbookFoundation/free-programming-books HTML #e34c26 - 113,297 - 15,444 - 581 + 339,482 + 61,784 + 1,171 - https://avatars.githubusercontent.com/u/196477?s=40&v=4 - f - https://github.com/f + https://avatars.githubusercontent.com/u/2022803?s=40&v=4 + vhf + https://github.com/vhf - https://avatars.githubusercontent.com/u/25708048?s=40&v=4 - iuzn - https://github.com/iuzn + https://avatars.githubusercontent.com/u/926513?s=40&v=4 + eshellman + https://github.com/eshellman - https://avatars.githubusercontent.com/u/14020439?s=40&v=4 - fengkiej - https://github.com/fengkiej + https://avatars.githubusercontent.com/u/3125580?s=40&v=4 + davorpa + https://github.com/davorpa - https://avatars.githubusercontent.com/u/19703819?s=40&v=4 - JonathanDn - https://github.com/JonathanDn + https://avatars.githubusercontent.com/u/2694949?s=40&v=4 + MHM5000 + https://github.com/MHM5000 - https://avatars.githubusercontent.com/u/96925396?s=40&v=4 - Vazno - https://github.com/Vazno + https://avatars.githubusercontent.com/u/10100659?s=40&v=4 + kadhirash + https://github.com/kadhirash @@ -49,8 +49,8 @@ https://github.com/ripienaar/free-for-dev HTML #e34c26 - 90,289 - 9,794 + 90,300 + 9,795 311 @@ -80,6 +80,44 @@ + + f/awesome-chatgpt-prompts + https://github.com/f/awesome-chatgpt-prompts + This repo includes ChatGPT prompt curation to use ChatGPT better. + https://github.com/f/awesome-chatgpt-prompts + HTML + #e34c26 + 113,313 + 15,451 + 581 + + + https://avatars.githubusercontent.com/u/196477?s=40&v=4 + f + https://github.com/f + + + https://avatars.githubusercontent.com/u/25708048?s=40&v=4 + iuzn + https://github.com/iuzn + + + https://avatars.githubusercontent.com/u/14020439?s=40&v=4 + fengkiej + https://github.com/fengkiej + + + https://avatars.githubusercontent.com/u/19703819?s=40&v=4 + JonathanDn + https://github.com/JonathanDn + + + https://avatars.githubusercontent.com/u/96925396?s=40&v=4 + Vazno + https://github.com/Vazno + + + docker/awesome-compose https://github.com/docker/awesome-compose @@ -87,8 +125,8 @@ https://github.com/docker/awesome-compose HTML #e34c26 - 35,621 - 6,746 + 35,629 + 6,747 369 @@ -118,77 +156,6 @@ - - windmill-labs/windmill - https://github.com/windmill-labs/windmill - Open-source developer platform to power your entire infra and turn scripts into webhooks, workflows and UIs. Fastest workflow engine (13x vs Airflow). Open-source alternative to Retool and Temporal. - https://github.com/windmill-labs/windmill - HTML - #e34c26 - 10,997 - 536 - 204 - - - https://avatars.githubusercontent.com/u/275584?s=40&v=4 - rubenfiszel - https://github.com/rubenfiszel - - - https://avatars.githubusercontent.com/u/456655?s=40&v=4 - fatonramadani - https://github.com/fatonramadani - - - https://avatars.githubusercontent.com/u/15649739?s=40&v=4 - HugoCasa - https://github.com/HugoCasa - - - https://avatars.githubusercontent.com/u/18011812?s=40&v=4 - gbouv - https://github.com/gbouv - - - - - EbookFoundation/free-programming-books - https://github.com/EbookFoundation/free-programming-books - 📚 Freely available programming books - https://github.com/EbookFoundation/free-programming-books - HTML - #e34c26 - 339,385 - 61,775 - 1,171 - - - https://avatars.githubusercontent.com/u/2022803?s=40&v=4 - vhf - https://github.com/vhf - - - https://avatars.githubusercontent.com/u/926513?s=40&v=4 - eshellman - https://github.com/eshellman - - - https://avatars.githubusercontent.com/u/3125580?s=40&v=4 - davorpa - https://github.com/davorpa - - - https://avatars.githubusercontent.com/u/2694949?s=40&v=4 - MHM5000 - https://github.com/MHM5000 - - - https://avatars.githubusercontent.com/u/10100659?s=40&v=4 - kadhirash - https://github.com/kadhirash - - - htr-tech/zphisher https://github.com/htr-tech/zphisher @@ -196,8 +163,8 @@ https://github.com/htr-tech/zphisher HTML #e34c26 - 11,511 - 3,878 + 11,515 + 3,880 52 @@ -234,8 +201,8 @@ https://github.com/kananinirav/AWS-Certified-Cloud-Practitioner-Notes HTML #e34c26 - 1,932 - 673 + 1,934 + 675 68 @@ -266,63 +233,73 @@ - microsoft/fluentui-system-icons - https://github.com/microsoft/fluentui-system-icons - Fluent System Icons are a collection of familiar, friendly and modern icons from Microsoft. - https://github.com/microsoft/fluentui-system-icons + alshedivat/al-folio + https://github.com/alshedivat/al-folio + A beautiful, simple, clean, and responsive Jekyll theme for academics + https://github.com/alshedivat/al-folio HTML #e34c26 - 6,080 - 528 - 43 + 11,317 + 11,267 + 114 - https://avatars.githubusercontent.com/u/66228431?s=40&v=4 - fluentCI - https://github.com/fluentCI + https://avatars.githubusercontent.com/u/31376482?s=40&v=4 + george-gca + https://github.com/george-gca - https://avatars.githubusercontent.com/u/53240053?s=40&v=4 - spencer-nelson - https://github.com/spencer-nelson + https://avatars.githubusercontent.com/u/2126561?s=40&v=4 + alshedivat + https://github.com/alshedivat - https://avatars.githubusercontent.com/u/20647412?s=40&v=4 - jasoncuster - https://github.com/jasoncuster + https://avatars.githubusercontent.com/u/50144004?s=40&v=4 + rohandebsarkar + https://github.com/rohandebsarkar - https://avatars.githubusercontent.com/u/66456876?s=40&v=4 - tomi-msft - https://github.com/tomi-msft + https://avatars.githubusercontent.com/u/32064808?s=40&v=4 + pourmand1376 + https://github.com/pourmand1376 - https://avatars.githubusercontent.com/u/70799?s=40&v=4 - nickromano - https://github.com/nickromano + https://avatars.githubusercontent.com/u/2106074?s=40&v=4 + samfearn + https://github.com/samfearn - techchipnet/CamPhish - https://github.com/techchipnet/CamPhish - Grab cam shots from target's phone front camera or PC webcam just sending a link. - https://github.com/techchipnet/CamPhish + google/styleguide + https://github.com/google/styleguide + Style guides for Google-originated open-source projects + https://github.com/google/styleguide HTML #e34c26 - 3,239 - 877 - 20 + 37,531 + 13,303 + 46 - https://avatars.githubusercontent.com/u/42796435?s=40&v=4 - techchipnet - https://github.com/techchipnet + https://avatars.githubusercontent.com/u/1343864?s=40&v=4 + tonyruscoe + https://github.com/tonyruscoe - https://avatars.githubusercontent.com/u/72634331?s=40&v=4 - Kr3sZ - https://github.com/Kr3sZ + https://avatars.githubusercontent.com/u/243524?s=40&v=4 + IsaacG + https://github.com/IsaacG + + + https://avatars.githubusercontent.com/u/12462303?s=40&v=4 + tituswinters + https://github.com/tituswinters + + + https://avatars.githubusercontent.com/u/68491?s=40&v=4 + gpshead + https://github.com/gpshead @@ -333,7 +310,7 @@ https://github.com/squidfunk/mkdocs-material HTML #e34c26 - 21,124 + 21,131 3,569 111 @@ -354,6 +331,29 @@ + + techchipnet/CamPhish + https://github.com/techchipnet/CamPhish + Grab cam shots from target's phone front camera or PC webcam just sending a link. + https://github.com/techchipnet/CamPhish + HTML + #e34c26 + 3,240 + 877 + 20 + + + https://avatars.githubusercontent.com/u/42796435?s=40&v=4 + techchipnet + https://github.com/techchipnet + + + https://avatars.githubusercontent.com/u/72634331?s=40&v=4 + Kr3sZ + https://github.com/Kr3sZ + + + tabler/tabler https://github.com/tabler/tabler @@ -361,8 +361,8 @@ https://github.com/tabler/tabler HTML #e34c26 - 38,127 - 3,993 + 38,131 + 3,994 55 @@ -382,6 +382,34 @@ + + varadbhogayata/varadbhogayata.github.io + https://github.com/varadbhogayata/varadbhogayata.github.io + My Portfolio - Personal Website + https://github.com/varadbhogayata/varadbhogayata.github.io + HTML + #e34c26 + 1,137 + 724 + 11 + + + https://avatars.githubusercontent.com/u/26241127?s=40&v=4 + varadbhogayata + https://github.com/varadbhogayata + + + https://avatars.githubusercontent.com/u/31427850?s=40&v=4 + ImgBotApp + https://github.com/ImgBotApp + + + https://avatars.githubusercontent.com/u/30727182?s=40&v=4 + smitjethwa + https://github.com/smitjethwa + + + Unstructured-IO/unstructured https://github.com/Unstructured-IO/unstructured @@ -389,7 +417,7 @@ https://github.com/Unstructured-IO/unstructured HTML #e34c26 - 9,237 + 9,242 766 93 @@ -421,187 +449,192 @@ - DataDog/documentation - https://github.com/DataDog/documentation - The source for Datadog's documentation site. - https://github.com/DataDog/documentation + mdn/learning-area + https://github.com/mdn/learning-area + GitHub repo for the MDN Learning Area. + https://github.com/mdn/learning-area HTML #e34c26 - 477 - 1,086 - 4 + 7,040 + 27,037 + 15 - https://avatars.githubusercontent.com/u/26976876?s=40&v=4 - guacbot - https://github.com/guacbot + https://avatars.githubusercontent.com/u/47647?s=40&v=4 + chrisdavidmills + https://github.com/chrisdavidmills - https://avatars.githubusercontent.com/u/1680226?s=40&v=4 - cswatt - https://github.com/cswatt + https://avatars.githubusercontent.com/u/432915?s=40&v=4 + wbamberg + https://github.com/wbamberg - https://avatars.githubusercontent.com/u/12926135?s=40&v=4 - kayayarai - https://github.com/kayayarai + https://avatars.githubusercontent.com/u/69888?s=40&v=4 + estelle + https://github.com/estelle - https://avatars.githubusercontent.com/u/3624790?s=40&v=4 - l0k0ms - https://github.com/l0k0ms + https://avatars.githubusercontent.com/u/1466293?s=40&v=4 + teoli2003 + https://github.com/teoli2003 - https://avatars.githubusercontent.com/u/76412946?s=40&v=4 - alai97 - https://github.com/alai97 + https://avatars.githubusercontent.com/u/43580235?s=40&v=4 + bsmth + https://github.com/bsmth - alpinejs/alpine - https://github.com/alpinejs/alpine - A rugged, minimal framework for composing JavaScript behavior in your markup. - https://github.com/alpinejs/alpine + munificent/craftinginterpreters + https://github.com/munificent/craftinginterpreters + Repository for the book "Crafting Interpreters" + https://github.com/munificent/craftinginterpreters HTML #e34c26 - 28,439 - 1,235 - 63 + 9,059 + 1,062 + 26 - https://avatars.githubusercontent.com/u/3670578?s=40&v=4 - calebporzio - https://github.com/calebporzio + https://avatars.githubusercontent.com/u/46275?s=40&v=4 + munificent + https://github.com/munificent - https://avatars.githubusercontent.com/u/6459679?s=40&v=4 - HugoDF - https://github.com/HugoDF + https://avatars.githubusercontent.com/u/4028654?s=40&v=4 + mchlrhw + https://github.com/mchlrhw - https://avatars.githubusercontent.com/u/744385?s=40&v=4 - keyurshah - https://github.com/keyurshah + https://avatars.githubusercontent.com/u/2172590?s=40&v=4 + rkirsling + https://github.com/rkirsling - https://avatars.githubusercontent.com/u/8427737?s=40&v=4 - SimoTod - https://github.com/SimoTod + https://avatars.githubusercontent.com/u/66355?s=40&v=4 + Hamled + https://github.com/Hamled - https://avatars.githubusercontent.com/u/41837763?s=40&v=4 - ryangjchandler - https://github.com/ryangjchandler + https://avatars.githubusercontent.com/u/999033?s=40&v=4 + benhoyt + https://github.com/benhoyt - google/styleguide - https://github.com/google/styleguide - Style guides for Google-originated open-source projects - https://github.com/google/styleguide + microsoft/ML-For-Beginners + https://github.com/microsoft/ML-For-Beginners + 12 weeks, 26 lessons, 52 quizzes, classic Machine Learning for all + https://github.com/microsoft/ML-For-Beginners HTML #e34c26 - 37,529 - 13,302 - 46 + 69,898 + 14,570 + 118 - https://avatars.githubusercontent.com/u/1343864?s=40&v=4 - tonyruscoe - https://github.com/tonyruscoe + https://avatars.githubusercontent.com/u/1450004?s=40&v=4 + jlooper + https://github.com/jlooper - https://avatars.githubusercontent.com/u/243524?s=40&v=4 - IsaacG - https://github.com/IsaacG + https://avatars.githubusercontent.com/u/3022824?s=40&v=4 + hereje + https://github.com/hereje - https://avatars.githubusercontent.com/u/12462303?s=40&v=4 - tituswinters - https://github.com/tituswinters + https://avatars.githubusercontent.com/u/55969597?s=40&v=4 + Vidushi-Gupta + https://github.com/Vidushi-Gupta - https://avatars.githubusercontent.com/u/68491?s=40&v=4 - gpshead - https://github.com/gpshead + https://avatars.githubusercontent.com/u/4598064?s=40&v=4 + softchris + https://github.com/softchris + + + https://avatars.githubusercontent.com/u/12551635?s=40&v=4 + minwook-shin + https://github.com/minwook-shin - mdn/learning-area - https://github.com/mdn/learning-area - GitHub repo for the MDN Learning Area. - https://github.com/mdn/learning-area + rfordatascience/tidytuesday + https://github.com/rfordatascience/tidytuesday + Official repo for the #tidytuesday project + https://github.com/rfordatascience/tidytuesday HTML #e34c26 - 7,040 - 27,037 - 15 + 6,926 + 2,410 + 21 - https://avatars.githubusercontent.com/u/47647?s=40&v=4 - chrisdavidmills - https://github.com/chrisdavidmills + https://avatars.githubusercontent.com/u/29187501?s=40&v=4 + jthomasmock + https://github.com/jthomasmock - https://avatars.githubusercontent.com/u/432915?s=40&v=4 - wbamberg - https://github.com/wbamberg + https://avatars.githubusercontent.com/u/33983824?s=40&v=4 + jonthegeek + https://github.com/jonthegeek - https://avatars.githubusercontent.com/u/69888?s=40&v=4 - estelle - https://github.com/estelle + https://avatars.githubusercontent.com/u/889238?s=40&v=4 + tracykteal + https://github.com/tracykteal - https://avatars.githubusercontent.com/u/1466293?s=40&v=4 - teoli2003 - https://github.com/teoli2003 + https://avatars.githubusercontent.com/u/22481467?s=40&v=4 + thebioengineer + https://github.com/thebioengineer - https://avatars.githubusercontent.com/u/43580235?s=40&v=4 - bsmth - https://github.com/bsmth + https://avatars.githubusercontent.com/u/61802414?s=40&v=4 + Fgazzelloni + https://github.com/Fgazzelloni - munificent/craftinginterpreters - https://github.com/munificent/craftinginterpreters - Repository for the book "Crafting Interpreters" - https://github.com/munificent/craftinginterpreters + pdf2htmlEX/pdf2htmlEX + https://github.com/pdf2htmlEX/pdf2htmlEX + Convert PDF to HTML without losing text or format. + https://github.com/pdf2htmlEX/pdf2htmlEX HTML #e34c26 - 9,057 - 1,062 - 26 + 4,530 + 418 + 701 - https://avatars.githubusercontent.com/u/46275?s=40&v=4 - munificent - https://github.com/munificent + https://avatars.githubusercontent.com/u/734614?s=40&v=4 + coolwanglu + https://github.com/coolwanglu - https://avatars.githubusercontent.com/u/4028654?s=40&v=4 - mchlrhw - https://github.com/mchlrhw + https://avatars.githubusercontent.com/u/1078196?s=40&v=4 + stephengaito + https://github.com/stephengaito - https://avatars.githubusercontent.com/u/2172590?s=40&v=4 - rkirsling - https://github.com/rkirsling + https://avatars.githubusercontent.com/u/1684137?s=40&v=4 + duanyao + https://github.com/duanyao - https://avatars.githubusercontent.com/u/66355?s=40&v=4 - Hamled - https://github.com/Hamled + https://avatars.githubusercontent.com/u/641721?s=40&v=4 + Rockstar04 + https://github.com/Rockstar04 - https://avatars.githubusercontent.com/u/999033?s=40&v=4 - benhoyt - https://github.com/benhoyt + https://avatars.githubusercontent.com/u/467695?s=40&v=4 + jahewson + https://github.com/jahewson diff --git a/data/weekly/http.json b/data/weekly/http.json index ec1a7f5a7021..a56a782c466c 100644 --- a/data/weekly/http.json +++ b/data/weekly/http.json @@ -2,6 +2,6 @@ "title": "GitHub Http Languages Weekly Trending", "description": "Weekly Trending of Http Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/http.xml b/data/weekly/http.xml index c29eb8fdd167..74fe14b0ce54 100644 --- a/data/weekly/http.xml +++ b/data/weekly/http.xml @@ -3,6 +3,6 @@ GitHub Http Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Http Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/hxml.json b/data/weekly/hxml.json index 3986f26318b4..a20c393e0d96 100644 --- a/data/weekly/hxml.json +++ b/data/weekly/hxml.json @@ -2,6 +2,6 @@ "title": "GitHub Hxml Languages Weekly Trending", "description": "Weekly Trending of Hxml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hxml.xml b/data/weekly/hxml.xml index 04462a1f99dc..c547d46a9924 100644 --- a/data/weekly/hxml.xml +++ b/data/weekly/hxml.xml @@ -3,6 +3,6 @@ GitHub Hxml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hxml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/hy.json b/data/weekly/hy.json index fb59f95bb41a..365ea6f19fdb 100644 --- a/data/weekly/hy.json +++ b/data/weekly/hy.json @@ -2,6 +2,6 @@ "title": "GitHub Hy Languages Weekly Trending", "description": "Weekly Trending of Hy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hy.xml b/data/weekly/hy.xml index 9241e9be1cdc..1e515a2bde24 100644 --- a/data/weekly/hy.xml +++ b/data/weekly/hy.xml @@ -3,6 +3,6 @@ GitHub Hy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/hyphy.json b/data/weekly/hyphy.json index 8e4ac464df31..a2293e6bc701 100644 --- a/data/weekly/hyphy.json +++ b/data/weekly/hyphy.json @@ -2,6 +2,6 @@ "title": "GitHub Hyphy Languages Weekly Trending", "description": "Weekly Trending of Hyphy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/hyphy.xml b/data/weekly/hyphy.xml index 48b97dc8ec03..2e4c6483aadf 100644 --- a/data/weekly/hyphy.xml +++ b/data/weekly/hyphy.xml @@ -3,6 +3,6 @@ GitHub Hyphy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Hyphy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/idl.json b/data/weekly/idl.json index 4be93bcafbd7..2b029eea6179 100644 --- a/data/weekly/idl.json +++ b/data/weekly/idl.json @@ -2,6 +2,6 @@ "title": "GitHub Idl Languages Weekly Trending", "description": "Weekly Trending of Idl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/idl.xml b/data/weekly/idl.xml index 42e2dee84de6..801c59f020c9 100644 --- a/data/weekly/idl.xml +++ b/data/weekly/idl.xml @@ -3,6 +3,6 @@ GitHub Idl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Idl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/idris.json b/data/weekly/idris.json index fcf22487229c..5fa4a3345c8a 100644 --- a/data/weekly/idris.json +++ b/data/weekly/idris.json @@ -2,6 +2,6 @@ "title": "GitHub Idris Languages Weekly Trending", "description": "Weekly Trending of Idris Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/idris.xml b/data/weekly/idris.xml index b036525d66a2..0ed10e63ad87 100644 --- a/data/weekly/idris.xml +++ b/data/weekly/idris.xml @@ -3,6 +3,6 @@ GitHub Idris Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Idris Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ignore-list.json b/data/weekly/ignore-list.json index dadad07cc330..261a00fa2186 100644 --- a/data/weekly/ignore-list.json +++ b/data/weekly/ignore-list.json @@ -2,6 +2,6 @@ "title": "GitHub Ignore-list Languages Weekly Trending", "description": "Weekly Trending of Ignore-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ignore-list.xml b/data/weekly/ignore-list.xml index afc2beeb9b3b..609ca4ba8044 100644 --- a/data/weekly/ignore-list.xml +++ b/data/weekly/ignore-list.xml @@ -3,6 +3,6 @@ GitHub Ignore-list Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ignore-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/igor-pro.json b/data/weekly/igor-pro.json index 719230aae7b4..2cd1cb64a990 100644 --- a/data/weekly/igor-pro.json +++ b/data/weekly/igor-pro.json @@ -2,6 +2,6 @@ "title": "GitHub Igor-pro Languages Weekly Trending", "description": "Weekly Trending of Igor-pro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/igor-pro.xml b/data/weekly/igor-pro.xml index 4fd5d250ff29..5ba000d13b17 100644 --- a/data/weekly/igor-pro.xml +++ b/data/weekly/igor-pro.xml @@ -3,6 +3,6 @@ GitHub Igor-pro Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Igor-pro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/imagej-macro.json b/data/weekly/imagej-macro.json index 9cf99b82e906..117a3ef29b58 100644 --- a/data/weekly/imagej-macro.json +++ b/data/weekly/imagej-macro.json @@ -2,6 +2,6 @@ "title": "GitHub Imagej-macro Languages Weekly Trending", "description": "Weekly Trending of Imagej-macro Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/imagej-macro.xml b/data/weekly/imagej-macro.xml index e62083830e22..c222aff914b1 100644 --- a/data/weekly/imagej-macro.xml +++ b/data/weekly/imagej-macro.xml @@ -3,6 +3,6 @@ GitHub Imagej-macro Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Imagej-macro Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/imba.json b/data/weekly/imba.json index 2e025c526315..52aaf516715d 100644 --- a/data/weekly/imba.json +++ b/data/weekly/imba.json @@ -2,6 +2,6 @@ "title": "GitHub Imba Languages Weekly Trending", "description": "Weekly Trending of Imba Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/imba.xml b/data/weekly/imba.xml index 1432f855d5b9..1e69c937bd73 100644 --- a/data/weekly/imba.xml +++ b/data/weekly/imba.xml @@ -3,6 +3,6 @@ GitHub Imba Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Imba Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/inform-7.json b/data/weekly/inform-7.json index c598c44f8933..54d6c3c3eef8 100644 --- a/data/weekly/inform-7.json +++ b/data/weekly/inform-7.json @@ -2,6 +2,6 @@ "title": "GitHub Inform-7 Languages Weekly Trending", "description": "Weekly Trending of Inform-7 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/inform-7.xml b/data/weekly/inform-7.xml index 36b7da2f72e6..c4a58747e4fa 100644 --- a/data/weekly/inform-7.xml +++ b/data/weekly/inform-7.xml @@ -3,6 +3,6 @@ GitHub Inform-7 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Inform-7 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ini.json b/data/weekly/ini.json index b5101b16b6be..53d271ee1774 100644 --- a/data/weekly/ini.json +++ b/data/weekly/ini.json @@ -2,6 +2,6 @@ "title": "GitHub Ini Languages Weekly Trending", "description": "Weekly Trending of Ini Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ini.xml b/data/weekly/ini.xml index 387543e89c7f..aedc88d3b5d4 100644 --- a/data/weekly/ini.xml +++ b/data/weekly/ini.xml @@ -3,6 +3,6 @@ GitHub Ini Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ini Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ink.json b/data/weekly/ink.json index 1a908d28be80..7cc5340d16b2 100644 --- a/data/weekly/ink.json +++ b/data/weekly/ink.json @@ -2,6 +2,6 @@ "title": "GitHub Ink Languages Weekly Trending", "description": "Weekly Trending of Ink Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ink.xml b/data/weekly/ink.xml index 5a5192823e4b..29c70387c4b1 100644 --- a/data/weekly/ink.xml +++ b/data/weekly/ink.xml @@ -3,6 +3,6 @@ GitHub Ink Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ink Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/inno-setup.json b/data/weekly/inno-setup.json index 8839594dc060..30b71b3ed5e1 100644 --- a/data/weekly/inno-setup.json +++ b/data/weekly/inno-setup.json @@ -2,44 +2,6 @@ "title": "GitHub Inno-setup Languages Weekly Trending", "description": "Weekly Trending of Inno-setup Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [ - { - "title": "git-for-windows/build-extra", - "url": "https://github.com/git-for-windows/build-extra", - "description": "Additional files and scripts to help build Git for Windows on MSYS2.", - "language": "Inno Setup", - "languageColor": "#264b99", - "stars": "636", - "forks": "612", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/127790?s=40&v=4", - "name": "dscho", - "url": "https://github.com/dscho" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6178234?s=40&v=4", - "name": "rimrul", - "url": "https://github.com/rimrul" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17739158?s=40&v=4", - "name": "dennisameling", - "url": "https://github.com/dennisameling" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/349154?s=40&v=4", - "name": "sschuberth", - "url": "https://github.com/sschuberth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6895593?s=40&v=4", - "name": "fourpastmidnight", - "url": "https://github.com/fourpastmidnight" - } - ] - } - ] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [] } \ No newline at end of file diff --git a/data/weekly/inno-setup.xml b/data/weekly/inno-setup.xml index 6b0ac304dfdd..f6401dc835b2 100644 --- a/data/weekly/inno-setup.xml +++ b/data/weekly/inno-setup.xml @@ -3,44 +3,6 @@ GitHub Inno-setup Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Inno-setup Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - git-for-windows/build-extra - https://github.com/git-for-windows/build-extra - Additional files and scripts to help build Git for Windows on MSYS2. - https://github.com/git-for-windows/build-extra - Inno Setup - #264b99 - 636 - 612 - 0 - - - https://avatars.githubusercontent.com/u/127790?s=40&v=4 - dscho - https://github.com/dscho - - - https://avatars.githubusercontent.com/u/6178234?s=40&v=4 - rimrul - https://github.com/rimrul - - - https://avatars.githubusercontent.com/u/17739158?s=40&v=4 - dennisameling - https://github.com/dennisameling - - - https://avatars.githubusercontent.com/u/349154?s=40&v=4 - sschuberth - https://github.com/sschuberth - - - https://avatars.githubusercontent.com/u/6895593?s=40&v=4 - fourpastmidnight - https://github.com/fourpastmidnight - - - + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/io.json b/data/weekly/io.json index 18feecb0d27b..a7ac9ba0e818 100644 --- a/data/weekly/io.json +++ b/data/weekly/io.json @@ -2,7 +2,7 @@ "title": "GitHub Io Languages Weekly Trending", "description": "Weekly Trending of Io Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ethereum/execution-apis", diff --git a/data/weekly/io.xml b/data/weekly/io.xml index 1f21bea6473c..f8e24f8e1ace 100644 --- a/data/weekly/io.xml +++ b/data/weekly/io.xml @@ -3,7 +3,7 @@ GitHub Io Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Io Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ethereum/execution-apis https://github.com/ethereum/execution-apis diff --git a/data/weekly/ioke.json b/data/weekly/ioke.json index 76133794f8a5..b084df7bc5b9 100644 --- a/data/weekly/ioke.json +++ b/data/weekly/ioke.json @@ -2,6 +2,6 @@ "title": "GitHub Ioke Languages Weekly Trending", "description": "Weekly Trending of Ioke Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ioke.xml b/data/weekly/ioke.xml index 8ba6ca56a683..59fac339750f 100644 --- a/data/weekly/ioke.xml +++ b/data/weekly/ioke.xml @@ -3,6 +3,6 @@ GitHub Ioke Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ioke Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/irc-log.json b/data/weekly/irc-log.json index 3dd8a6a1afeb..d01656818945 100644 --- a/data/weekly/irc-log.json +++ b/data/weekly/irc-log.json @@ -2,6 +2,6 @@ "title": "GitHub Irc-log Languages Weekly Trending", "description": "Weekly Trending of Irc-log Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/irc-log.xml b/data/weekly/irc-log.xml index b9f140b0f56d..9e38b07d756b 100644 --- a/data/weekly/irc-log.xml +++ b/data/weekly/irc-log.xml @@ -3,6 +3,6 @@ GitHub Irc-log Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Irc-log Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/isabelle-root.json b/data/weekly/isabelle-root.json index 82c1fb659162..ec20132103ce 100644 --- a/data/weekly/isabelle-root.json +++ b/data/weekly/isabelle-root.json @@ -2,6 +2,6 @@ "title": "GitHub Isabelle-root Languages Weekly Trending", "description": "Weekly Trending of Isabelle-root Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/isabelle-root.xml b/data/weekly/isabelle-root.xml index c4c4a69d4344..0ddd1e7e6950 100644 --- a/data/weekly/isabelle-root.xml +++ b/data/weekly/isabelle-root.xml @@ -3,6 +3,6 @@ GitHub Isabelle-root Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Isabelle-root Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/isabelle.json b/data/weekly/isabelle.json index d8ccc1966928..d09916913454 100644 --- a/data/weekly/isabelle.json +++ b/data/weekly/isabelle.json @@ -2,6 +2,6 @@ "title": "GitHub Isabelle Languages Weekly Trending", "description": "Weekly Trending of Isabelle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/isabelle.xml b/data/weekly/isabelle.xml index c0b637f9cd03..c12cbd5a6256 100644 --- a/data/weekly/isabelle.xml +++ b/data/weekly/isabelle.xml @@ -3,6 +3,6 @@ GitHub Isabelle Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Isabelle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/j.json b/data/weekly/j.json index abaf1f7de470..1d9e1af5a451 100644 --- a/data/weekly/j.json +++ b/data/weekly/j.json @@ -2,6 +2,6 @@ "title": "GitHub J Languages Weekly Trending", "description": "Weekly Trending of J Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/j.xml b/data/weekly/j.xml index 1c14944b911a..d323fe64c664 100644 --- a/data/weekly/j.xml +++ b/data/weekly/j.xml @@ -3,6 +3,6 @@ GitHub J Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of J Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/janet.json b/data/weekly/janet.json index a6ba52bc1b7c..c26c03535be2 100644 --- a/data/weekly/janet.json +++ b/data/weekly/janet.json @@ -2,7 +2,7 @@ "title": "GitHub Janet Languages Weekly Trending", "description": "Weekly Trending of Janet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nvim-treesitter/nvim-treesitter-context", diff --git a/data/weekly/janet.xml b/data/weekly/janet.xml index ddd78f235ce4..dd474f08d962 100644 --- a/data/weekly/janet.xml +++ b/data/weekly/janet.xml @@ -3,7 +3,7 @@ GitHub Janet Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Janet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nvim-treesitter/nvim-treesitter-context https://github.com/nvim-treesitter/nvim-treesitter-context diff --git a/data/weekly/jar-manifest.json b/data/weekly/jar-manifest.json index 7c227dbc0754..3fcfe0efe5b2 100644 --- a/data/weekly/jar-manifest.json +++ b/data/weekly/jar-manifest.json @@ -2,6 +2,6 @@ "title": "GitHub Jar-manifest Languages Weekly Trending", "description": "Weekly Trending of Jar-manifest Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jar-manifest.xml b/data/weekly/jar-manifest.xml index eb27aac01e7e..7ef74995fa9f 100644 --- a/data/weekly/jar-manifest.xml +++ b/data/weekly/jar-manifest.xml @@ -3,6 +3,6 @@ GitHub Jar-manifest Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jar-manifest Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jasmin.json b/data/weekly/jasmin.json index a06efd8d4f15..ec5c750bb59b 100644 --- a/data/weekly/jasmin.json +++ b/data/weekly/jasmin.json @@ -2,6 +2,6 @@ "title": "GitHub Jasmin Languages Weekly Trending", "description": "Weekly Trending of Jasmin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jasmin.xml b/data/weekly/jasmin.xml index 4c991a36d939..a9bfa9ef69f1 100644 --- a/data/weekly/jasmin.xml +++ b/data/weekly/jasmin.xml @@ -3,6 +3,6 @@ GitHub Jasmin Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jasmin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/java-properties.json b/data/weekly/java-properties.json index 20d5afab9951..a979542bc59b 100644 --- a/data/weekly/java-properties.json +++ b/data/weekly/java-properties.json @@ -2,6 +2,6 @@ "title": "GitHub Java-properties Languages Weekly Trending", "description": "Weekly Trending of Java-properties Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/java-properties.xml b/data/weekly/java-properties.xml index 9938f8c3f803..f8449427ea0a 100644 --- a/data/weekly/java-properties.xml +++ b/data/weekly/java-properties.xml @@ -3,6 +3,6 @@ GitHub Java-properties Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Java-properties Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/java-server-pages.json b/data/weekly/java-server-pages.json index 773be23b2e73..a21a570b9687 100644 --- a/data/weekly/java-server-pages.json +++ b/data/weekly/java-server-pages.json @@ -2,6 +2,6 @@ "title": "GitHub Java-server-pages Languages Weekly Trending", "description": "Weekly Trending of Java-server-pages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/java-server-pages.xml b/data/weekly/java-server-pages.xml index 710b0f6cc093..68147a8dcde2 100644 --- a/data/weekly/java-server-pages.xml +++ b/data/weekly/java-server-pages.xml @@ -3,6 +3,6 @@ GitHub Java-server-pages Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Java-server-pages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/java.json b/data/weekly/java.json index 3e44ab05827d..4d990429ea10 100644 --- a/data/weekly/java.json +++ b/data/weekly/java.json @@ -2,7 +2,7 @@ "title": "GitHub Java Languages Weekly Trending", "description": "Weekly Trending of Java Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "krahets/hello-algo", @@ -10,8 +10,8 @@ "description": "《Hello 算法》:动画图解、一键运行的数据结构与算法教程。支持 Python, Java, C++, C, C#, JS, Go, Swift, Rust, Ruby, Kotlin, TS, Dart 代码。简体版和繁体版同步更新,English version ongoing", "language": "Java", "languageColor": "#b07219", - "stars": "100,066", - "forks": "12,583", + "stars": "100,154", + "forks": "12,589", "addStars": "1,366", "contributors": [ { @@ -47,8 +47,8 @@ "description": "Spring Boot helps you to create Spring-powered, production-grade applications and services with absolute minimum fuss.", "language": "Java", "languageColor": "#b07219", - "stars": "75,344", - "forks": "40,717", + "stars": "75,347", + "forks": "40,719", "addStars": "130", "contributors": [ { @@ -84,7 +84,7 @@ "description": "A distributed task scheduling framework.(分布式任务调度平台XXL-JOB)", "language": "Java", "languageColor": "#b07219", - "stars": "27,749", + "stars": "27,753", "forks": "10,951", "addStars": "75", "contributors": [ @@ -115,6 +115,43 @@ } ] }, + { + "title": "brettwooldridge/HikariCP", + "url": "https://github.com/brettwooldridge/HikariCP", + "description": "光 HikariCP・A solid, high-performance, JDBC connection pool at last.", + "language": "Java", + "languageColor": "#b07219", + "stars": "20,040", + "forks": "2,943", + "addStars": "32", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1538661?s=40&v=4", + "name": "brettwooldridge", + "url": "https://github.com/brettwooldridge" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9585644?s=40&v=4", + "name": "nitincchauhan", + "url": "https://github.com/nitincchauhan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/995620?s=40&v=4", + "name": "lfbayer", + "url": "https://github.com/lfbayer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/126021?s=40&v=4", + "name": "ash2k", + "url": "https://github.com/ash2k" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/143040?s=40&v=4", + "name": "quaff", + "url": "https://github.com/quaff" + } + ] + }, { "title": "langchain4j/langchain4j", "url": "https://github.com/langchain4j/langchain4j", @@ -122,7 +159,7 @@ "language": "Java", "languageColor": "#b07219", "stars": "4,928", - "forks": "980", + "forks": "983", "addStars": "56", "contributors": [ { @@ -153,39 +190,108 @@ ] }, { - "title": "brettwooldridge/HikariCP", - "url": "https://github.com/brettwooldridge/HikariCP", - "description": "光 HikariCP・A solid, high-performance, JDBC connection pool at last.", + "title": "apache/hudi", + "url": "https://github.com/apache/hudi", + "description": "Upserts, Deletes And Incremental Processing on Big Data.", "language": "Java", "languageColor": "#b07219", - "stars": "20,038", - "forks": "2,944", - "addStars": "32", + "stars": "5,461", + "forks": "2,428", + "addStars": "27", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1538661?s=40&v=4", - "name": "brettwooldridge", - "url": "https://github.com/brettwooldridge" + "avatar": "https://avatars.githubusercontent.com/u/2497195?s=40&v=4", + "name": "yihua", + "url": "https://github.com/yihua" }, { - "avatar": "https://avatars.githubusercontent.com/u/9585644?s=40&v=4", - "name": "nitincchauhan", - "url": "https://github.com/nitincchauhan" + "avatar": "https://avatars.githubusercontent.com/u/513218?s=40&v=4", + "name": "nsivabalan", + "url": "https://github.com/nsivabalan" }, { - "avatar": "https://avatars.githubusercontent.com/u/995620?s=40&v=4", - "name": "lfbayer", - "url": "https://github.com/lfbayer" + "avatar": "https://avatars.githubusercontent.com/u/7644508?s=40&v=4", + "name": "danny0405", + "url": "https://github.com/danny0405" }, { - "avatar": "https://avatars.githubusercontent.com/u/126021?s=40&v=4", - "name": "ash2k", - "url": "https://github.com/ash2k" + "avatar": "https://avatars.githubusercontent.com/u/16440354?s=40&v=4", + "name": "codope", + "url": "https://github.com/codope" }, { - "avatar": "https://avatars.githubusercontent.com/u/143040?s=40&v=4", - "name": "quaff", - "url": "https://github.com/quaff" + "avatar": "https://avatars.githubusercontent.com/u/2701446?s=40&v=4", + "name": "xushiyan", + "url": "https://github.com/xushiyan" + } + ] + }, + { + "title": "apache/hertzbeat", + "url": "https://github.com/apache/hertzbeat", + "description": "Apache HertzBeat(incubating) is a real-time monitoring system with agentless, performance cluster, prometheus-compatible, custom monitoring and status page building capabilities.", + "language": "Java", + "languageColor": "#b07219", + "stars": "5,756", + "forks": "1,000", + "addStars": "33", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/24788200?s=40&v=4", + "name": "tomsun28", + "url": "https://github.com/tomsun28" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30048352?s=40&v=4", + "name": "zqr10159", + "url": "https://github.com/zqr10159" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/77964041?s=40&v=4", + "name": "yuluo-yx", + "url": "https://github.com/yuluo-yx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25810623?s=40&v=4", + "name": "Aias00", + "url": "https://github.com/Aias00" + } + ] + }, + { + "title": "gunnarmorling/1brc", + "url": "https://github.com/gunnarmorling/1brc", + "description": "1️⃣🐝🏎️ The One Billion Row Challenge -- A fun exploration of how quickly 1B rows from a text file can be aggregated with Java", + "language": "Java", + "languageColor": "#b07219", + "stars": "6,447", + "forks": "1,913", + "addStars": "110", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/28612?s=40&v=4", + "name": "gunnarmorling", + "url": "https://github.com/gunnarmorling" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/91577?s=40&v=4", + "name": "hundredwatt", + "url": "https://github.com/hundredwatt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/697976?s=40&v=4", + "name": "AlexanderYastrebov", + "url": "https://github.com/AlexanderYastrebov" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/72259616?s=40&v=4", + "name": "artsiomkorzun", + "url": "https://github.com/artsiomkorzun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/221014?s=40&v=4", + "name": "ebarlas", + "url": "https://github.com/ebarlas" } ] }, @@ -195,7 +301,7 @@ "description": "👶🏻 신입 개발자 전공 지식 & 기술 면접 백과사전 📖", "language": "Java", "languageColor": "#b07219", - "stars": "14,823", + "stars": "14,827", "forks": "3,404", "addStars": "83", "contributors": [ @@ -226,45 +332,13 @@ } ] }, - { - "title": "apache/hertzbeat", - "url": "https://github.com/apache/hertzbeat", - "description": "Apache HertzBeat(incubating) is a real-time monitoring system with agentless, performance cluster, prometheus-compatible, custom monitoring and status page building capabilities.", - "language": "Java", - "languageColor": "#b07219", - "stars": "5,753", - "forks": "1,000", - "addStars": "33", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/24788200?s=40&v=4", - "name": "tomsun28", - "url": "https://github.com/tomsun28" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30048352?s=40&v=4", - "name": "zqr10159", - "url": "https://github.com/zqr10159" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/77964041?s=40&v=4", - "name": "yuluo-yx", - "url": "https://github.com/yuluo-yx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25810623?s=40&v=4", - "name": "Aias00", - "url": "https://github.com/Aias00" - } - ] - }, { "title": "StarRocks/starrocks", "url": "https://github.com/StarRocks/starrocks", "description": "The world's fastest open query engine for sub-second analytics both on and off the data lakehouse. With the flexibility to support nearly any scenario, StarRocks provides best-in-class performance for multi-dimensional analytics, real-time analytics, and ad-hoc queries. A Linux Foundation project.", "language": "Java", "languageColor": "#b07219", - "stars": "9,154", + "stars": "9,164", "forks": "1,822", "addStars": "140", "contributors": [ @@ -295,43 +369,6 @@ } ] }, - { - "title": "apache/hudi", - "url": "https://github.com/apache/hudi", - "description": "Upserts, Deletes And Incremental Processing on Big Data.", - "language": "Java", - "languageColor": "#b07219", - "stars": "5,459", - "forks": "2,428", - "addStars": "27", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2497195?s=40&v=4", - "name": "yihua", - "url": "https://github.com/yihua" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/513218?s=40&v=4", - "name": "nsivabalan", - "url": "https://github.com/nsivabalan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7644508?s=40&v=4", - "name": "danny0405", - "url": "https://github.com/danny0405" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16440354?s=40&v=4", - "name": "codope", - "url": "https://github.com/codope" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2701446?s=40&v=4", - "name": "xushiyan", - "url": "https://github.com/xushiyan" - } - ] - }, { "title": "liquibase/liquibase", "url": "https://github.com/liquibase/liquibase", @@ -339,7 +376,7 @@ "language": "Java", "languageColor": "#b07219", "stars": "4,762", - "forks": "1,867", + "forks": "1,868", "addStars": "21", "contributors": [ { @@ -365,443 +402,458 @@ ] }, { - "title": "jeecgboot/JimuReport", - "url": "https://github.com/jeecgboot/JimuReport", - "description": "「数据可视化:报表、仪表盘、大屏」积木报表是一款类Excel操作风格,在线拖拽设计的报表工具和和数据可视化产品。功能涵盖: 报表设计、打印设计、图形报表、仪表盘门户设计、大屏设计等,完全免费!秉承“简单、易用、专业”的产品理念,极大的降低报表开发难度、缩短开发周期、解决各类报表难题。", + "title": "awsdocs/aws-doc-sdk-examples", + "url": "https://github.com/awsdocs/aws-doc-sdk-examples", + "description": "Welcome to the AWS Code Examples Repository. This repo contains code examples used in the AWS documentation, AWS SDK Developer Guides, and more. For more information, see the Readme.md file below.", "language": "Java", "languageColor": "#b07219", - "stars": "6,501", - "forks": "1,657", - "addStars": "29", + "stars": "9,629", + "forks": "5,653", + "addStars": "33", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3162115?s=40&v=4", - "name": "zhangdaiscott", - "url": "https://github.com/zhangdaiscott" + "avatar": "https://avatars.githubusercontent.com/u/57190223?s=40&v=4", + "name": "scmacdon", + "url": "https://github.com/scmacdon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16107690?s=40&v=4", + "name": "Doug-AWS", + "url": "https://github.com/Doug-AWS" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40031786?s=40&v=4", + "name": "brmur", + "url": "https://github.com/brmur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8946787?s=40&v=4", + "name": "jschwarzwalder", + "url": "https://github.com/jschwarzwalder" }, { - "avatar": "https://avatars.githubusercontent.com/u/1081377?s=40&v=4", - "name": "learningpro", - "url": "https://github.com/learningpro" + "avatar": "https://avatars.githubusercontent.com/u/57545972?s=40&v=4", + "name": "Laren-AWS", + "url": "https://github.com/Laren-AWS" } ] }, { - "title": "openjdk/jdk", - "url": "https://github.com/openjdk/jdk", - "description": "JDK main-line development https://openjdk.org/projects/jdk", + "title": "apache/doris", + "url": "https://github.com/apache/doris", + "description": "Apache Doris is an easy-to-use, high performance and unified analytics database.", "language": "Java", "languageColor": "#b07219", - "stars": "19,906", - "forks": "5,556", - "addStars": "65", + "stars": "12,781", + "forks": "3,292", + "addStars": "72", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/61436102?s=40&v=4", - "name": "jonathan-gibbons", - "url": "https://github.com/jonathan-gibbons" + "avatar": "https://avatars.githubusercontent.com/u/2899462?s=40&v=4", + "name": "morningman", + "url": "https://github.com/morningman" }, { - "avatar": "https://avatars.githubusercontent.com/u/1858943?s=40&v=4", - "name": "shipilev", - "url": "https://github.com/shipilev" + "avatar": "https://avatars.githubusercontent.com/u/37700562?s=40&v=4", + "name": "Gabriel39", + "url": "https://github.com/Gabriel39" }, { - "avatar": "https://avatars.githubusercontent.com/u/30127347?s=40&v=4", - "name": "prrace", - "url": "https://github.com/prrace" + "avatar": "https://avatars.githubusercontent.com/u/7939630?s=40&v=4", + "name": "BiteTheDDDDt", + "url": "https://github.com/BiteTheDDDDt" }, { - "avatar": "https://avatars.githubusercontent.com/u/31372256?s=40&v=4", - "name": "jddarcy", - "url": "https://github.com/jddarcy" + "avatar": "https://avatars.githubusercontent.com/u/101034200?s=40&v=4", + "name": "morrySnow", + "url": "https://github.com/morrySnow" }, { - "avatar": "https://avatars.githubusercontent.com/u/61806773?s=40&v=4", - "name": "coleenp", - "url": "https://github.com/coleenp" + "avatar": "https://avatars.githubusercontent.com/u/10553413?s=40&v=4", + "name": "HappenLee", + "url": "https://github.com/HappenLee" } ] }, { - "title": "provectus/kafka-ui", - "url": "https://github.com/provectus/kafka-ui", - "description": "Open-Source Web UI for Apache Kafka Management", + "title": "apache/tomcat", + "url": "https://github.com/apache/tomcat", + "description": "Apache Tomcat", "language": "Java", "languageColor": "#b07219", - "stars": "9,866", - "forks": "1,193", - "addStars": "53", + "stars": "7,586", + "forks": "5,036", + "addStars": "18", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1494347?s=40&v=4", - "name": "Haarolean", - "url": "https://github.com/Haarolean" + "avatar": "https://avatars.githubusercontent.com/u/4690029?s=40&v=4", + "name": "markt-asf", + "url": "https://github.com/markt-asf" }, { - "avatar": "https://avatars.githubusercontent.com/u/365855?s=40&v=4", - "name": "workshur", - "url": "https://github.com/workshur" + "avatar": "https://avatars.githubusercontent.com/u/324250?s=40&v=4", + "name": "rmaucher", + "url": "https://github.com/rmaucher" }, { - "avatar": "https://avatars.githubusercontent.com/u/702205?s=40&v=4", - "name": "iliax", - "url": "https://github.com/iliax" + "avatar": "https://avatars.githubusercontent.com/u/607429?s=40&v=4", + "name": "fhanik", + "url": "https://github.com/fhanik" }, { - "avatar": "https://avatars.githubusercontent.com/u/1096273?s=40&v=4", - "name": "germanosin", - "url": "https://github.com/germanosin" + "avatar": "https://avatars.githubusercontent.com/u/696661?s=40&v=4", + "name": "violetagg", + "url": "https://github.com/violetagg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1997314?s=40&v=4", + "name": "FSchumacher", + "url": "https://github.com/FSchumacher" } ] }, { - "title": "apache/iceberg", - "url": "https://github.com/apache/iceberg", - "description": "Apache Iceberg", + "title": "material-components/material-components-android", + "url": "https://github.com/material-components/material-components-android", + "description": "Modular and customizable Material Design UI components for Android", "language": "Java", "languageColor": "#b07219", - "stars": "6,501", - "forks": "2,246", - "addStars": "40", + "stars": "16,414", + "forks": "3,077", + "addStars": "36", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/87915?s=40&v=4", - "name": "rdblue", - "url": "https://github.com/rdblue" + "avatar": "https://avatars.githubusercontent.com/u/1420597?s=40&v=4", + "name": "dsn5ft", + "url": "https://github.com/dsn5ft" }, { - "avatar": "https://avatars.githubusercontent.com/u/6235869?s=40&v=4", - "name": "aokolnychyi", - "url": "https://github.com/aokolnychyi" + "avatar": "https://avatars.githubusercontent.com/u/8545955?s=40&v=4", + "name": "pekingme", + "url": "https://github.com/pekingme" }, { - "avatar": "https://avatars.githubusercontent.com/u/1134248?s=40&v=4", - "name": "Fokko", - "url": "https://github.com/Fokko" + "avatar": "https://avatars.githubusercontent.com/u/38727469?s=40&v=4", + "name": "ymarian", + "url": "https://github.com/ymarian" }, { - "avatar": "https://avatars.githubusercontent.com/u/271029?s=40&v=4", - "name": "nastra", - "url": "https://github.com/nastra" + "avatar": "https://avatars.githubusercontent.com/u/9288695?s=40&v=4", + "name": "leticiarossi", + "url": "https://github.com/leticiarossi" } ] }, { - "title": "osmandapp/OsmAnd", - "url": "https://github.com/osmandapp/OsmAnd", - "description": "OsmAnd", + "title": "Azure/azure-sdk-for-java", + "url": "https://github.com/Azure/azure-sdk-for-java", + "description": "This repository is for active development of the Azure SDK for Java. For consumers of the SDK we recommend visiting our public developer docs at https://docs.microsoft.com/java/azure/ or our versioned developer docs at https://azure.github.io/azure-sdk-for-java.", "language": "Java", "languageColor": "#b07219", - "stars": "4,717", - "forks": "1,027", - "addStars": "33", + "stars": "2,355", + "forks": "1,997", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1042025?s=40&v=4", - "name": "vshcherb", - "url": "https://github.com/vshcherb" + "avatar": "https://avatars.githubusercontent.com/u/53356347?s=40&v=4", + "name": "azure-sdk", + "url": "https://github.com/azure-sdk" }, { - "avatar": "https://avatars.githubusercontent.com/u/19220417?s=40&v=4", - "name": "Chumva", - "url": "https://github.com/Chumva" + "avatar": "https://avatars.githubusercontent.com/u/2320445?s=40&v=4", + "name": "jianghaolu", + "url": "https://github.com/jianghaolu" }, { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" + "avatar": "https://avatars.githubusercontent.com/u/48699787?s=40&v=4", + "name": "alzimmermsft", + "url": "https://github.com/alzimmermsft" }, { - "avatar": "https://avatars.githubusercontent.com/u/12088580?s=40&v=4", - "name": "franco999", - "url": "https://github.com/franco999" + "avatar": "https://avatars.githubusercontent.com/u/1471612?s=40&v=4", + "name": "anuchandy", + "url": "https://github.com/anuchandy" }, { - "avatar": "https://avatars.githubusercontent.com/u/845735?s=40&v=4", - "name": "sonora", - "url": "https://github.com/sonora" + "avatar": "https://avatars.githubusercontent.com/u/53292327?s=40&v=4", + "name": "weidongxu-microsoft", + "url": "https://github.com/weidongxu-microsoft" } ] }, { - "title": "apache/tomcat", - "url": "https://github.com/apache/tomcat", - "description": "Apache Tomcat", + "title": "bazelbuild/bazel", + "url": "https://github.com/bazelbuild/bazel", + "description": "a fast, scalable, multi-language and extensible build system", "language": "Java", "languageColor": "#b07219", - "stars": "7,585", - "forks": "5,035", - "addStars": "18", + "stars": "23,288", + "forks": "4,088", + "addStars": "51", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4690029?s=40&v=4", - "name": "markt-asf", - "url": "https://github.com/markt-asf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/324250?s=40&v=4", - "name": "rmaucher", - "url": "https://github.com/rmaucher" + "avatar": "https://avatars.githubusercontent.com/u/3524818?s=40&v=4", + "name": "lberki", + "url": "https://github.com/lberki" }, { - "avatar": "https://avatars.githubusercontent.com/u/607429?s=40&v=4", - "name": "fhanik", - "url": "https://github.com/fhanik" + "avatar": "https://avatars.githubusercontent.com/u/326527?s=40&v=4", + "name": "katre", + "url": "https://github.com/katre" }, { - "avatar": "https://avatars.githubusercontent.com/u/696661?s=40&v=4", - "name": "violetagg", - "url": "https://github.com/violetagg" + "avatar": "https://avatars.githubusercontent.com/u/10134896?s=40&v=4", + "name": "janakdr", + "url": "https://github.com/janakdr" }, { - "avatar": "https://avatars.githubusercontent.com/u/1997314?s=40&v=4", - "name": "FSchumacher", - "url": "https://github.com/FSchumacher" + "avatar": "https://avatars.githubusercontent.com/u/478458?s=40&v=4", + "name": "cushon", + "url": "https://github.com/cushon" } ] }, { - "title": "apache/doris", - "url": "https://github.com/apache/doris", - "description": "Apache Doris is an easy-to-use, high performance and unified analytics database.", + "title": "netty/netty", + "url": "https://github.com/netty/netty", + "description": "Netty project - an event-driven asynchronous network application framework", "language": "Java", "languageColor": "#b07219", - "stars": "12,780", - "forks": "3,292", - "addStars": "72", + "stars": "33,510", + "forks": "15,949", + "addStars": "43", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2899462?s=40&v=4", - "name": "morningman", - "url": "https://github.com/morningman" + "avatar": "https://avatars.githubusercontent.com/u/173918?s=40&v=4", + "name": "trustin", + "url": "https://github.com/trustin" }, { - "avatar": "https://avatars.githubusercontent.com/u/37700562?s=40&v=4", - "name": "Gabriel39", - "url": "https://github.com/Gabriel39" + "avatar": "https://avatars.githubusercontent.com/u/439362?s=40&v=4", + "name": "normanmaurer", + "url": "https://github.com/normanmaurer" }, { - "avatar": "https://avatars.githubusercontent.com/u/7939630?s=40&v=4", - "name": "BiteTheDDDDt", - "url": "https://github.com/BiteTheDDDDt" + "avatar": "https://avatars.githubusercontent.com/u/7562868?s=40&v=4", + "name": "Scottmitch", + "url": "https://github.com/Scottmitch" }, { - "avatar": "https://avatars.githubusercontent.com/u/101034200?s=40&v=4", - "name": "morrySnow", - "url": "https://github.com/morrySnow" + "avatar": "https://avatars.githubusercontent.com/u/7993?s=40&v=4", + "name": "chrisvest", + "url": "https://github.com/chrisvest" }, { - "avatar": "https://avatars.githubusercontent.com/u/10553413?s=40&v=4", - "name": "HappenLee", - "url": "https://github.com/HappenLee" + "avatar": "https://avatars.githubusercontent.com/u/78738768?s=40&v=4", + "name": "netty-project-bot", + "url": "https://github.com/netty-project-bot" } ] }, { - "title": "bazelbuild/bazel", - "url": "https://github.com/bazelbuild/bazel", - "description": "a fast, scalable, multi-language and extensible build system", + "title": "vespa-engine/vespa", + "url": "https://github.com/vespa-engine/vespa", + "description": "AI + Data, online. https://vespa.ai", "language": "Java", "languageColor": "#b07219", - "stars": "23,286", - "forks": "4,086", - "addStars": "51", + "stars": "5,848", + "forks": "605", + "addStars": "37", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3524818?s=40&v=4", - "name": "lberki", - "url": "https://github.com/lberki" + "avatar": "https://avatars.githubusercontent.com/u/19857788?s=40&v=4", + "name": "baldersheim", + "url": "https://github.com/baldersheim" }, { - "avatar": "https://avatars.githubusercontent.com/u/326527?s=40&v=4", - "name": "katre", - "url": "https://github.com/katre" + "avatar": "https://avatars.githubusercontent.com/u/7009557?s=40&v=4", + "name": "jonmv", + "url": "https://github.com/jonmv" }, { - "avatar": "https://avatars.githubusercontent.com/u/10134896?s=40&v=4", - "name": "janakdr", - "url": "https://github.com/janakdr" + "avatar": "https://avatars.githubusercontent.com/u/798335?s=40&v=4", + "name": "bjorncs", + "url": "https://github.com/bjorncs" }, { - "avatar": "https://avatars.githubusercontent.com/u/478458?s=40&v=4", - "name": "cushon", - "url": "https://github.com/cushon" + "avatar": "https://avatars.githubusercontent.com/u/174496?s=40&v=4", + "name": "mpolden", + "url": "https://github.com/mpolden" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19730911?s=40&v=4", + "name": "arnej27959", + "url": "https://github.com/arnej27959" } ] }, { - "title": "NationalSecurityAgency/ghidra", - "url": "https://github.com/NationalSecurityAgency/ghidra", - "description": "Ghidra is a software reverse engineering (SRE) framework", + "title": "openjdk/jdk", + "url": "https://github.com/openjdk/jdk", + "description": "JDK main-line development https://openjdk.org/projects/jdk", "language": "Java", "languageColor": "#b07219", - "stars": "52,072", - "forks": "5,901", - "addStars": "203", + "stars": "19,909", + "forks": "5,557", + "addStars": "65", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5378554?s=40&v=4", - "name": "ryanmkurtz", - "url": "https://github.com/ryanmkurtz" + "avatar": "https://avatars.githubusercontent.com/u/61436102?s=40&v=4", + "name": "jonathan-gibbons", + "url": "https://github.com/jonathan-gibbons" }, { - "avatar": "https://avatars.githubusercontent.com/u/48333017?s=40&v=4", - "name": "ghidra1", - "url": "https://github.com/ghidra1" + "avatar": "https://avatars.githubusercontent.com/u/1858943?s=40&v=4", + "name": "shipilev", + "url": "https://github.com/shipilev" }, { - "avatar": "https://avatars.githubusercontent.com/u/48328597?s=40&v=4", - "name": "dragonmacher", - "url": "https://github.com/dragonmacher" + "avatar": "https://avatars.githubusercontent.com/u/30127347?s=40&v=4", + "name": "prrace", + "url": "https://github.com/prrace" }, { - "avatar": "https://avatars.githubusercontent.com/u/46821332?s=40&v=4", - "name": "nsadeveloper789", - "url": "https://github.com/nsadeveloper789" + "avatar": "https://avatars.githubusercontent.com/u/31372256?s=40&v=4", + "name": "jddarcy", + "url": "https://github.com/jddarcy" }, { - "avatar": "https://avatars.githubusercontent.com/u/48068198?s=40&v=4", - "name": "caheckman", - "url": "https://github.com/caheckman" + "avatar": "https://avatars.githubusercontent.com/u/61806773?s=40&v=4", + "name": "coleenp", + "url": "https://github.com/coleenp" } ] }, { - "title": "material-components/material-components-android", - "url": "https://github.com/material-components/material-components-android", - "description": "Modular and customizable Material Design UI components for Android", + "title": "apache/iceberg", + "url": "https://github.com/apache/iceberg", + "description": "Apache Iceberg", "language": "Java", "languageColor": "#b07219", - "stars": "16,414", - "forks": "3,077", - "addStars": "36", + "stars": "6,503", + "forks": "2,246", + "addStars": "40", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1420597?s=40&v=4", - "name": "dsn5ft", - "url": "https://github.com/dsn5ft" + "avatar": "https://avatars.githubusercontent.com/u/87915?s=40&v=4", + "name": "rdblue", + "url": "https://github.com/rdblue" }, { - "avatar": "https://avatars.githubusercontent.com/u/8545955?s=40&v=4", - "name": "pekingme", - "url": "https://github.com/pekingme" + "avatar": "https://avatars.githubusercontent.com/u/6235869?s=40&v=4", + "name": "aokolnychyi", + "url": "https://github.com/aokolnychyi" }, { - "avatar": "https://avatars.githubusercontent.com/u/38727469?s=40&v=4", - "name": "ymarian", - "url": "https://github.com/ymarian" + "avatar": "https://avatars.githubusercontent.com/u/1134248?s=40&v=4", + "name": "Fokko", + "url": "https://github.com/Fokko" }, { - "avatar": "https://avatars.githubusercontent.com/u/9288695?s=40&v=4", - "name": "leticiarossi", - "url": "https://github.com/leticiarossi" + "avatar": "https://avatars.githubusercontent.com/u/271029?s=40&v=4", + "name": "nastra", + "url": "https://github.com/nastra" } ] }, { - "title": "jenkinsci/jenkins", - "url": "https://github.com/jenkinsci/jenkins", - "description": "Jenkins automation server", + "title": "apache/hadoop", + "url": "https://github.com/apache/hadoop", + "description": "Apache Hadoop", "language": "Java", "languageColor": "#b07219", - "stars": "23,286", - "forks": "8,803", - "addStars": "37", + "stars": "14,793", + "forks": "8,874", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/50003?s=40&v=4", - "name": "kohsuke", - "url": "https://github.com/kohsuke" + "avatar": "https://avatars.githubusercontent.com/u/3403122?s=40&v=4", + "name": "aajisaka", + "url": "https://github.com/aajisaka" }, { - "avatar": "https://avatars.githubusercontent.com/u/154109?s=40&v=4", - "name": "jglick", - "url": "https://github.com/jglick" + "avatar": "https://avatars.githubusercontent.com/u/907380?s=40&v=4", + "name": "szetszwo", + "url": "https://github.com/szetszwo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1831569?s=40&v=4", - "name": "daniel-beck", - "url": "https://github.com/daniel-beck" + "avatar": "https://avatars.githubusercontent.com/u/384796?s=40&v=4", + "name": "vinoduec", + "url": "https://github.com/vinoduec" }, { - "avatar": "https://avatars.githubusercontent.com/u/3000480?s=40&v=4", - "name": "oleg-nenashev", - "url": "https://github.com/oleg-nenashev" + "avatar": "https://avatars.githubusercontent.com/u/2480225?s=40&v=4", + "name": "arp7", + "url": "https://github.com/arp7" } ] }, { - "title": "awsdocs/aws-doc-sdk-examples", - "url": "https://github.com/awsdocs/aws-doc-sdk-examples", - "description": "Welcome to the AWS Code Examples Repository. This repo contains code examples used in the AWS documentation, AWS SDK Developer Guides, and more. For more information, see the Readme.md file below.", + "title": "provectus/kafka-ui", + "url": "https://github.com/provectus/kafka-ui", + "description": "Open-Source Web UI for Apache Kafka Management", "language": "Java", "languageColor": "#b07219", - "stars": "9,629", - "forks": "5,654", - "addStars": "33", + "stars": "9,866", + "forks": "1,193", + "addStars": "53", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/57190223?s=40&v=4", - "name": "scmacdon", - "url": "https://github.com/scmacdon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16107690?s=40&v=4", - "name": "Doug-AWS", - "url": "https://github.com/Doug-AWS" + "avatar": "https://avatars.githubusercontent.com/u/1494347?s=40&v=4", + "name": "Haarolean", + "url": "https://github.com/Haarolean" }, { - "avatar": "https://avatars.githubusercontent.com/u/40031786?s=40&v=4", - "name": "brmur", - "url": "https://github.com/brmur" + "avatar": "https://avatars.githubusercontent.com/u/365855?s=40&v=4", + "name": "workshur", + "url": "https://github.com/workshur" }, { - "avatar": "https://avatars.githubusercontent.com/u/8946787?s=40&v=4", - "name": "jschwarzwalder", - "url": "https://github.com/jschwarzwalder" + "avatar": "https://avatars.githubusercontent.com/u/702205?s=40&v=4", + "name": "iliax", + "url": "https://github.com/iliax" }, { - "avatar": "https://avatars.githubusercontent.com/u/57545972?s=40&v=4", - "name": "Laren-AWS", - "url": "https://github.com/Laren-AWS" + "avatar": "https://avatars.githubusercontent.com/u/1096273?s=40&v=4", + "name": "germanosin", + "url": "https://github.com/germanosin" } ] }, { - "title": "Azure/azure-sdk-for-java", - "url": "https://github.com/Azure/azure-sdk-for-java", - "description": "This repository is for active development of the Azure SDK for Java. For consumers of the SDK we recommend visiting our public developer docs at https://docs.microsoft.com/java/azure/ or our versioned developer docs at https://azure.github.io/azure-sdk-for-java.", + "title": "apache/kafka", + "url": "https://github.com/apache/kafka", + "description": "Mirror of Apache Kafka", "language": "Java", "languageColor": "#b07219", - "stars": "2,355", - "forks": "1,997", - "addStars": "4", + "stars": "28,914", + "forks": "13,991", + "addStars": "68", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/53356347?s=40&v=4", - "name": "azure-sdk", - "url": "https://github.com/azure-sdk" + "avatar": "https://avatars.githubusercontent.com/u/24747?s=40&v=4", + "name": "ijuma", + "url": "https://github.com/ijuma" }, { - "avatar": "https://avatars.githubusercontent.com/u/2320445?s=40&v=4", - "name": "jianghaolu", - "url": "https://github.com/jianghaolu" + "avatar": "https://avatars.githubusercontent.com/u/8959638?s=40&v=4", + "name": "mjsax", + "url": "https://github.com/mjsax" }, { - "avatar": "https://avatars.githubusercontent.com/u/48699787?s=40&v=4", - "name": "alzimmermsft", - "url": "https://github.com/alzimmermsft" + "avatar": "https://avatars.githubusercontent.com/u/10729597?s=40&v=4", + "name": "guozhangwang", + "url": "https://github.com/guozhangwang" }, { - "avatar": "https://avatars.githubusercontent.com/u/1471612?s=40&v=4", - "name": "anuchandy", - "url": "https://github.com/anuchandy" + "avatar": "https://avatars.githubusercontent.com/u/1095624?s=40&v=4", + "name": "cmccabe", + "url": "https://github.com/cmccabe" }, { - "avatar": "https://avatars.githubusercontent.com/u/53292327?s=40&v=4", - "name": "weidongxu-microsoft", - "url": "https://github.com/weidongxu-microsoft" + "avatar": "https://avatars.githubusercontent.com/u/13164074?s=40&v=4", + "name": "rajinisivaram", + "url": "https://github.com/rajinisivaram" } ] } diff --git a/data/weekly/java.xml b/data/weekly/java.xml index 4b8329ab3c74..2e419181a631 100644 --- a/data/weekly/java.xml +++ b/data/weekly/java.xml @@ -3,7 +3,7 @@ GitHub Java Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Java Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT krahets/hello-algo https://github.com/krahets/hello-algo @@ -11,8 +11,8 @@ https://github.com/krahets/hello-algo Java #b07219 - 100,066 - 12,583 + 100,154 + 12,589 1,366 @@ -49,8 +49,8 @@ https://github.com/spring-projects/spring-boot Java #b07219 - 75,344 - 40,717 + 75,347 + 40,719 130 @@ -87,7 +87,7 @@ https://github.com/xuxueli/xxl-job Java #b07219 - 27,749 + 27,753 10,951 75 @@ -118,6 +118,44 @@ + + brettwooldridge/HikariCP + https://github.com/brettwooldridge/HikariCP + 光 HikariCP・A solid, high-performance, JDBC connection pool at last. + https://github.com/brettwooldridge/HikariCP + Java + #b07219 + 20,040 + 2,943 + 32 + + + https://avatars.githubusercontent.com/u/1538661?s=40&v=4 + brettwooldridge + https://github.com/brettwooldridge + + + https://avatars.githubusercontent.com/u/9585644?s=40&v=4 + nitincchauhan + https://github.com/nitincchauhan + + + https://avatars.githubusercontent.com/u/995620?s=40&v=4 + lfbayer + https://github.com/lfbayer + + + https://avatars.githubusercontent.com/u/126021?s=40&v=4 + ash2k + https://github.com/ash2k + + + https://avatars.githubusercontent.com/u/143040?s=40&v=4 + quaff + https://github.com/quaff + + + langchain4j/langchain4j https://github.com/langchain4j/langchain4j @@ -126,7 +164,7 @@ Java #b07219 4,928 - 980 + 983 56 @@ -157,40 +195,111 @@ - brettwooldridge/HikariCP - https://github.com/brettwooldridge/HikariCP - 光 HikariCP・A solid, high-performance, JDBC connection pool at last. - https://github.com/brettwooldridge/HikariCP + apache/hudi + https://github.com/apache/hudi + Upserts, Deletes And Incremental Processing on Big Data. + https://github.com/apache/hudi Java #b07219 - 20,038 - 2,944 - 32 + 5,461 + 2,428 + 27 - https://avatars.githubusercontent.com/u/1538661?s=40&v=4 - brettwooldridge - https://github.com/brettwooldridge + https://avatars.githubusercontent.com/u/2497195?s=40&v=4 + yihua + https://github.com/yihua - https://avatars.githubusercontent.com/u/9585644?s=40&v=4 - nitincchauhan - https://github.com/nitincchauhan + https://avatars.githubusercontent.com/u/513218?s=40&v=4 + nsivabalan + https://github.com/nsivabalan - https://avatars.githubusercontent.com/u/995620?s=40&v=4 - lfbayer - https://github.com/lfbayer + https://avatars.githubusercontent.com/u/7644508?s=40&v=4 + danny0405 + https://github.com/danny0405 - https://avatars.githubusercontent.com/u/126021?s=40&v=4 - ash2k - https://github.com/ash2k + https://avatars.githubusercontent.com/u/16440354?s=40&v=4 + codope + https://github.com/codope - https://avatars.githubusercontent.com/u/143040?s=40&v=4 - quaff - https://github.com/quaff + https://avatars.githubusercontent.com/u/2701446?s=40&v=4 + xushiyan + https://github.com/xushiyan + + + + + apache/hertzbeat + https://github.com/apache/hertzbeat + Apache HertzBeat(incubating) is a real-time monitoring system with agentless, performance cluster, prometheus-compatible, custom monitoring and status page building capabilities. + https://github.com/apache/hertzbeat + Java + #b07219 + 5,756 + 1,000 + 33 + + + https://avatars.githubusercontent.com/u/24788200?s=40&v=4 + tomsun28 + https://github.com/tomsun28 + + + https://avatars.githubusercontent.com/u/30048352?s=40&v=4 + zqr10159 + https://github.com/zqr10159 + + + https://avatars.githubusercontent.com/u/77964041?s=40&v=4 + yuluo-yx + https://github.com/yuluo-yx + + + https://avatars.githubusercontent.com/u/25810623?s=40&v=4 + Aias00 + https://github.com/Aias00 + + + + + gunnarmorling/1brc + https://github.com/gunnarmorling/1brc + 1️⃣🐝🏎️ The One Billion Row Challenge -- A fun exploration of how quickly 1B rows from a text file can be aggregated with Java + https://github.com/gunnarmorling/1brc + Java + #b07219 + 6,447 + 1,913 + 110 + + + https://avatars.githubusercontent.com/u/28612?s=40&v=4 + gunnarmorling + https://github.com/gunnarmorling + + + https://avatars.githubusercontent.com/u/91577?s=40&v=4 + hundredwatt + https://github.com/hundredwatt + + + https://avatars.githubusercontent.com/u/697976?s=40&v=4 + AlexanderYastrebov + https://github.com/AlexanderYastrebov + + + https://avatars.githubusercontent.com/u/72259616?s=40&v=4 + artsiomkorzun + https://github.com/artsiomkorzun + + + https://avatars.githubusercontent.com/u/221014?s=40&v=4 + ebarlas + https://github.com/ebarlas @@ -201,7 +310,7 @@ https://github.com/gyoogle/tech-interview-for-developer Java #b07219 - 14,823 + 14,827 3,404 83 @@ -232,39 +341,6 @@ - - apache/hertzbeat - https://github.com/apache/hertzbeat - Apache HertzBeat(incubating) is a real-time monitoring system with agentless, performance cluster, prometheus-compatible, custom monitoring and status page building capabilities. - https://github.com/apache/hertzbeat - Java - #b07219 - 5,753 - 1,000 - 33 - - - https://avatars.githubusercontent.com/u/24788200?s=40&v=4 - tomsun28 - https://github.com/tomsun28 - - - https://avatars.githubusercontent.com/u/30048352?s=40&v=4 - zqr10159 - https://github.com/zqr10159 - - - https://avatars.githubusercontent.com/u/77964041?s=40&v=4 - yuluo-yx - https://github.com/yuluo-yx - - - https://avatars.githubusercontent.com/u/25810623?s=40&v=4 - Aias00 - https://github.com/Aias00 - - - StarRocks/starrocks https://github.com/StarRocks/starrocks @@ -272,7 +348,7 @@ https://github.com/StarRocks/starrocks Java #b07219 - 9,154 + 9,164 1,822 140 @@ -303,44 +379,6 @@ - - apache/hudi - https://github.com/apache/hudi - Upserts, Deletes And Incremental Processing on Big Data. - https://github.com/apache/hudi - Java - #b07219 - 5,459 - 2,428 - 27 - - - https://avatars.githubusercontent.com/u/2497195?s=40&v=4 - yihua - https://github.com/yihua - - - https://avatars.githubusercontent.com/u/513218?s=40&v=4 - nsivabalan - https://github.com/nsivabalan - - - https://avatars.githubusercontent.com/u/7644508?s=40&v=4 - danny0405 - https://github.com/danny0405 - - - https://avatars.githubusercontent.com/u/16440354?s=40&v=4 - codope - https://github.com/codope - - - https://avatars.githubusercontent.com/u/2701446?s=40&v=4 - xushiyan - https://github.com/xushiyan - - - liquibase/liquibase https://github.com/liquibase/liquibase @@ -349,7 +387,7 @@ Java #b07219 4,762 - 1,867 + 1,868 21 @@ -375,456 +413,471 @@ - jeecgboot/JimuReport - https://github.com/jeecgboot/JimuReport - 「数据可视化:报表、仪表盘、大屏」积木报表是一款类Excel操作风格,在线拖拽设计的报表工具和和数据可视化产品。功能涵盖: 报表设计、打印设计、图形报表、仪表盘门户设计、大屏设计等,完全免费!秉承“简单、易用、专业”的产品理念,极大的降低报表开发难度、缩短开发周期、解决各类报表难题。 - https://github.com/jeecgboot/JimuReport + awsdocs/aws-doc-sdk-examples + https://github.com/awsdocs/aws-doc-sdk-examples + Welcome to the AWS Code Examples Repository. This repo contains code examples used in the AWS documentation, AWS SDK Developer Guides, and more. For more information, see the Readme.md file below. + https://github.com/awsdocs/aws-doc-sdk-examples Java #b07219 - 6,501 - 1,657 - 29 + 9,629 + 5,653 + 33 - https://avatars.githubusercontent.com/u/3162115?s=40&v=4 - zhangdaiscott - https://github.com/zhangdaiscott + https://avatars.githubusercontent.com/u/57190223?s=40&v=4 + scmacdon + https://github.com/scmacdon + + + https://avatars.githubusercontent.com/u/16107690?s=40&v=4 + Doug-AWS + https://github.com/Doug-AWS + + + https://avatars.githubusercontent.com/u/40031786?s=40&v=4 + brmur + https://github.com/brmur + + + https://avatars.githubusercontent.com/u/8946787?s=40&v=4 + jschwarzwalder + https://github.com/jschwarzwalder - https://avatars.githubusercontent.com/u/1081377?s=40&v=4 - learningpro - https://github.com/learningpro + https://avatars.githubusercontent.com/u/57545972?s=40&v=4 + Laren-AWS + https://github.com/Laren-AWS - openjdk/jdk - https://github.com/openjdk/jdk - JDK main-line development https://openjdk.org/projects/jdk - https://github.com/openjdk/jdk + apache/doris + https://github.com/apache/doris + Apache Doris is an easy-to-use, high performance and unified analytics database. + https://github.com/apache/doris Java #b07219 - 19,906 - 5,556 - 65 + 12,781 + 3,292 + 72 - https://avatars.githubusercontent.com/u/61436102?s=40&v=4 - jonathan-gibbons - https://github.com/jonathan-gibbons + https://avatars.githubusercontent.com/u/2899462?s=40&v=4 + morningman + https://github.com/morningman - https://avatars.githubusercontent.com/u/1858943?s=40&v=4 - shipilev - https://github.com/shipilev + https://avatars.githubusercontent.com/u/37700562?s=40&v=4 + Gabriel39 + https://github.com/Gabriel39 - https://avatars.githubusercontent.com/u/30127347?s=40&v=4 - prrace - https://github.com/prrace + https://avatars.githubusercontent.com/u/7939630?s=40&v=4 + BiteTheDDDDt + https://github.com/BiteTheDDDDt - https://avatars.githubusercontent.com/u/31372256?s=40&v=4 - jddarcy - https://github.com/jddarcy + https://avatars.githubusercontent.com/u/101034200?s=40&v=4 + morrySnow + https://github.com/morrySnow - https://avatars.githubusercontent.com/u/61806773?s=40&v=4 - coleenp - https://github.com/coleenp + https://avatars.githubusercontent.com/u/10553413?s=40&v=4 + HappenLee + https://github.com/HappenLee - provectus/kafka-ui - https://github.com/provectus/kafka-ui - Open-Source Web UI for Apache Kafka Management - https://github.com/provectus/kafka-ui + apache/tomcat + https://github.com/apache/tomcat + Apache Tomcat + https://github.com/apache/tomcat Java #b07219 - 9,866 - 1,193 - 53 + 7,586 + 5,036 + 18 - https://avatars.githubusercontent.com/u/1494347?s=40&v=4 - Haarolean - https://github.com/Haarolean + https://avatars.githubusercontent.com/u/4690029?s=40&v=4 + markt-asf + https://github.com/markt-asf - https://avatars.githubusercontent.com/u/365855?s=40&v=4 - workshur - https://github.com/workshur + https://avatars.githubusercontent.com/u/324250?s=40&v=4 + rmaucher + https://github.com/rmaucher - https://avatars.githubusercontent.com/u/702205?s=40&v=4 - iliax - https://github.com/iliax + https://avatars.githubusercontent.com/u/607429?s=40&v=4 + fhanik + https://github.com/fhanik - https://avatars.githubusercontent.com/u/1096273?s=40&v=4 - germanosin - https://github.com/germanosin + https://avatars.githubusercontent.com/u/696661?s=40&v=4 + violetagg + https://github.com/violetagg + + + https://avatars.githubusercontent.com/u/1997314?s=40&v=4 + FSchumacher + https://github.com/FSchumacher - apache/iceberg - https://github.com/apache/iceberg - Apache Iceberg - https://github.com/apache/iceberg + material-components/material-components-android + https://github.com/material-components/material-components-android + Modular and customizable Material Design UI components for Android + https://github.com/material-components/material-components-android Java #b07219 - 6,501 - 2,246 - 40 + 16,414 + 3,077 + 36 - https://avatars.githubusercontent.com/u/87915?s=40&v=4 - rdblue - https://github.com/rdblue + https://avatars.githubusercontent.com/u/1420597?s=40&v=4 + dsn5ft + https://github.com/dsn5ft - https://avatars.githubusercontent.com/u/6235869?s=40&v=4 - aokolnychyi - https://github.com/aokolnychyi + https://avatars.githubusercontent.com/u/8545955?s=40&v=4 + pekingme + https://github.com/pekingme - https://avatars.githubusercontent.com/u/1134248?s=40&v=4 - Fokko - https://github.com/Fokko + https://avatars.githubusercontent.com/u/38727469?s=40&v=4 + ymarian + https://github.com/ymarian - https://avatars.githubusercontent.com/u/271029?s=40&v=4 - nastra - https://github.com/nastra + https://avatars.githubusercontent.com/u/9288695?s=40&v=4 + leticiarossi + https://github.com/leticiarossi - osmandapp/OsmAnd - https://github.com/osmandapp/OsmAnd - OsmAnd - https://github.com/osmandapp/OsmAnd + Azure/azure-sdk-for-java + https://github.com/Azure/azure-sdk-for-java + This repository is for active development of the Azure SDK for Java. For consumers of the SDK we recommend visiting our public developer docs at https://docs.microsoft.com/java/azure/ or our versioned developer docs at https://azure.github.io/azure-sdk-for-java. + https://github.com/Azure/azure-sdk-for-java Java #b07219 - 4,717 - 1,027 - 33 + 2,355 + 1,997 + 4 - https://avatars.githubusercontent.com/u/1042025?s=40&v=4 - vshcherb - https://github.com/vshcherb + https://avatars.githubusercontent.com/u/53356347?s=40&v=4 + azure-sdk + https://github.com/azure-sdk - https://avatars.githubusercontent.com/u/19220417?s=40&v=4 - Chumva - https://github.com/Chumva + https://avatars.githubusercontent.com/u/2320445?s=40&v=4 + jianghaolu + https://github.com/jianghaolu - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate + https://avatars.githubusercontent.com/u/48699787?s=40&v=4 + alzimmermsft + https://github.com/alzimmermsft - https://avatars.githubusercontent.com/u/12088580?s=40&v=4 - franco999 - https://github.com/franco999 + https://avatars.githubusercontent.com/u/1471612?s=40&v=4 + anuchandy + https://github.com/anuchandy - https://avatars.githubusercontent.com/u/845735?s=40&v=4 - sonora - https://github.com/sonora + https://avatars.githubusercontent.com/u/53292327?s=40&v=4 + weidongxu-microsoft + https://github.com/weidongxu-microsoft - apache/tomcat - https://github.com/apache/tomcat - Apache Tomcat - https://github.com/apache/tomcat + bazelbuild/bazel + https://github.com/bazelbuild/bazel + a fast, scalable, multi-language and extensible build system + https://github.com/bazelbuild/bazel Java #b07219 - 7,585 - 5,035 - 18 + 23,288 + 4,088 + 51 - https://avatars.githubusercontent.com/u/4690029?s=40&v=4 - markt-asf - https://github.com/markt-asf - - - https://avatars.githubusercontent.com/u/324250?s=40&v=4 - rmaucher - https://github.com/rmaucher + https://avatars.githubusercontent.com/u/3524818?s=40&v=4 + lberki + https://github.com/lberki - https://avatars.githubusercontent.com/u/607429?s=40&v=4 - fhanik - https://github.com/fhanik + https://avatars.githubusercontent.com/u/326527?s=40&v=4 + katre + https://github.com/katre - https://avatars.githubusercontent.com/u/696661?s=40&v=4 - violetagg - https://github.com/violetagg + https://avatars.githubusercontent.com/u/10134896?s=40&v=4 + janakdr + https://github.com/janakdr - https://avatars.githubusercontent.com/u/1997314?s=40&v=4 - FSchumacher - https://github.com/FSchumacher + https://avatars.githubusercontent.com/u/478458?s=40&v=4 + cushon + https://github.com/cushon - apache/doris - https://github.com/apache/doris - Apache Doris is an easy-to-use, high performance and unified analytics database. - https://github.com/apache/doris + netty/netty + https://github.com/netty/netty + Netty project - an event-driven asynchronous network application framework + https://github.com/netty/netty Java #b07219 - 12,780 - 3,292 - 72 + 33,510 + 15,949 + 43 - https://avatars.githubusercontent.com/u/2899462?s=40&v=4 - morningman - https://github.com/morningman + https://avatars.githubusercontent.com/u/173918?s=40&v=4 + trustin + https://github.com/trustin - https://avatars.githubusercontent.com/u/37700562?s=40&v=4 - Gabriel39 - https://github.com/Gabriel39 + https://avatars.githubusercontent.com/u/439362?s=40&v=4 + normanmaurer + https://github.com/normanmaurer - https://avatars.githubusercontent.com/u/7939630?s=40&v=4 - BiteTheDDDDt - https://github.com/BiteTheDDDDt + https://avatars.githubusercontent.com/u/7562868?s=40&v=4 + Scottmitch + https://github.com/Scottmitch - https://avatars.githubusercontent.com/u/101034200?s=40&v=4 - morrySnow - https://github.com/morrySnow + https://avatars.githubusercontent.com/u/7993?s=40&v=4 + chrisvest + https://github.com/chrisvest - https://avatars.githubusercontent.com/u/10553413?s=40&v=4 - HappenLee - https://github.com/HappenLee + https://avatars.githubusercontent.com/u/78738768?s=40&v=4 + netty-project-bot + https://github.com/netty-project-bot - bazelbuild/bazel - https://github.com/bazelbuild/bazel - a fast, scalable, multi-language and extensible build system - https://github.com/bazelbuild/bazel + vespa-engine/vespa + https://github.com/vespa-engine/vespa + AI + Data, online. https://vespa.ai + https://github.com/vespa-engine/vespa Java #b07219 - 23,286 - 4,086 - 51 + 5,848 + 605 + 37 - https://avatars.githubusercontent.com/u/3524818?s=40&v=4 - lberki - https://github.com/lberki + https://avatars.githubusercontent.com/u/19857788?s=40&v=4 + baldersheim + https://github.com/baldersheim - https://avatars.githubusercontent.com/u/326527?s=40&v=4 - katre - https://github.com/katre + https://avatars.githubusercontent.com/u/7009557?s=40&v=4 + jonmv + https://github.com/jonmv - https://avatars.githubusercontent.com/u/10134896?s=40&v=4 - janakdr - https://github.com/janakdr + https://avatars.githubusercontent.com/u/798335?s=40&v=4 + bjorncs + https://github.com/bjorncs - https://avatars.githubusercontent.com/u/478458?s=40&v=4 - cushon - https://github.com/cushon + https://avatars.githubusercontent.com/u/174496?s=40&v=4 + mpolden + https://github.com/mpolden + + + https://avatars.githubusercontent.com/u/19730911?s=40&v=4 + arnej27959 + https://github.com/arnej27959 - NationalSecurityAgency/ghidra - https://github.com/NationalSecurityAgency/ghidra - Ghidra is a software reverse engineering (SRE) framework - https://github.com/NationalSecurityAgency/ghidra + openjdk/jdk + https://github.com/openjdk/jdk + JDK main-line development https://openjdk.org/projects/jdk + https://github.com/openjdk/jdk Java #b07219 - 52,072 - 5,901 - 203 + 19,909 + 5,557 + 65 - https://avatars.githubusercontent.com/u/5378554?s=40&v=4 - ryanmkurtz - https://github.com/ryanmkurtz + https://avatars.githubusercontent.com/u/61436102?s=40&v=4 + jonathan-gibbons + https://github.com/jonathan-gibbons - https://avatars.githubusercontent.com/u/48333017?s=40&v=4 - ghidra1 - https://github.com/ghidra1 + https://avatars.githubusercontent.com/u/1858943?s=40&v=4 + shipilev + https://github.com/shipilev - https://avatars.githubusercontent.com/u/48328597?s=40&v=4 - dragonmacher - https://github.com/dragonmacher + https://avatars.githubusercontent.com/u/30127347?s=40&v=4 + prrace + https://github.com/prrace - https://avatars.githubusercontent.com/u/46821332?s=40&v=4 - nsadeveloper789 - https://github.com/nsadeveloper789 + https://avatars.githubusercontent.com/u/31372256?s=40&v=4 + jddarcy + https://github.com/jddarcy - https://avatars.githubusercontent.com/u/48068198?s=40&v=4 - caheckman - https://github.com/caheckman + https://avatars.githubusercontent.com/u/61806773?s=40&v=4 + coleenp + https://github.com/coleenp - material-components/material-components-android - https://github.com/material-components/material-components-android - Modular and customizable Material Design UI components for Android - https://github.com/material-components/material-components-android + apache/iceberg + https://github.com/apache/iceberg + Apache Iceberg + https://github.com/apache/iceberg Java #b07219 - 16,414 - 3,077 - 36 + 6,503 + 2,246 + 40 - https://avatars.githubusercontent.com/u/1420597?s=40&v=4 - dsn5ft - https://github.com/dsn5ft + https://avatars.githubusercontent.com/u/87915?s=40&v=4 + rdblue + https://github.com/rdblue - https://avatars.githubusercontent.com/u/8545955?s=40&v=4 - pekingme - https://github.com/pekingme + https://avatars.githubusercontent.com/u/6235869?s=40&v=4 + aokolnychyi + https://github.com/aokolnychyi - https://avatars.githubusercontent.com/u/38727469?s=40&v=4 - ymarian - https://github.com/ymarian + https://avatars.githubusercontent.com/u/1134248?s=40&v=4 + Fokko + https://github.com/Fokko - https://avatars.githubusercontent.com/u/9288695?s=40&v=4 - leticiarossi - https://github.com/leticiarossi + https://avatars.githubusercontent.com/u/271029?s=40&v=4 + nastra + https://github.com/nastra - jenkinsci/jenkins - https://github.com/jenkinsci/jenkins - Jenkins automation server - https://github.com/jenkinsci/jenkins + apache/hadoop + https://github.com/apache/hadoop + Apache Hadoop + https://github.com/apache/hadoop Java #b07219 - 23,286 - 8,803 - 37 + 14,793 + 8,874 + 16 - https://avatars.githubusercontent.com/u/50003?s=40&v=4 - kohsuke - https://github.com/kohsuke + https://avatars.githubusercontent.com/u/3403122?s=40&v=4 + aajisaka + https://github.com/aajisaka - https://avatars.githubusercontent.com/u/154109?s=40&v=4 - jglick - https://github.com/jglick + https://avatars.githubusercontent.com/u/907380?s=40&v=4 + szetszwo + https://github.com/szetszwo - https://avatars.githubusercontent.com/u/1831569?s=40&v=4 - daniel-beck - https://github.com/daniel-beck + https://avatars.githubusercontent.com/u/384796?s=40&v=4 + vinoduec + https://github.com/vinoduec - https://avatars.githubusercontent.com/u/3000480?s=40&v=4 - oleg-nenashev - https://github.com/oleg-nenashev + https://avatars.githubusercontent.com/u/2480225?s=40&v=4 + arp7 + https://github.com/arp7 - awsdocs/aws-doc-sdk-examples - https://github.com/awsdocs/aws-doc-sdk-examples - Welcome to the AWS Code Examples Repository. This repo contains code examples used in the AWS documentation, AWS SDK Developer Guides, and more. For more information, see the Readme.md file below. - https://github.com/awsdocs/aws-doc-sdk-examples + provectus/kafka-ui + https://github.com/provectus/kafka-ui + Open-Source Web UI for Apache Kafka Management + https://github.com/provectus/kafka-ui Java #b07219 - 9,629 - 5,654 - 33 + 9,866 + 1,193 + 53 - https://avatars.githubusercontent.com/u/57190223?s=40&v=4 - scmacdon - https://github.com/scmacdon - - - https://avatars.githubusercontent.com/u/16107690?s=40&v=4 - Doug-AWS - https://github.com/Doug-AWS + https://avatars.githubusercontent.com/u/1494347?s=40&v=4 + Haarolean + https://github.com/Haarolean - https://avatars.githubusercontent.com/u/40031786?s=40&v=4 - brmur - https://github.com/brmur + https://avatars.githubusercontent.com/u/365855?s=40&v=4 + workshur + https://github.com/workshur - https://avatars.githubusercontent.com/u/8946787?s=40&v=4 - jschwarzwalder - https://github.com/jschwarzwalder + https://avatars.githubusercontent.com/u/702205?s=40&v=4 + iliax + https://github.com/iliax - https://avatars.githubusercontent.com/u/57545972?s=40&v=4 - Laren-AWS - https://github.com/Laren-AWS + https://avatars.githubusercontent.com/u/1096273?s=40&v=4 + germanosin + https://github.com/germanosin - Azure/azure-sdk-for-java - https://github.com/Azure/azure-sdk-for-java - This repository is for active development of the Azure SDK for Java. For consumers of the SDK we recommend visiting our public developer docs at https://docs.microsoft.com/java/azure/ or our versioned developer docs at https://azure.github.io/azure-sdk-for-java. - https://github.com/Azure/azure-sdk-for-java + apache/kafka + https://github.com/apache/kafka + Mirror of Apache Kafka + https://github.com/apache/kafka Java #b07219 - 2,355 - 1,997 - 4 + 28,914 + 13,991 + 68 - https://avatars.githubusercontent.com/u/53356347?s=40&v=4 - azure-sdk - https://github.com/azure-sdk + https://avatars.githubusercontent.com/u/24747?s=40&v=4 + ijuma + https://github.com/ijuma - https://avatars.githubusercontent.com/u/2320445?s=40&v=4 - jianghaolu - https://github.com/jianghaolu + https://avatars.githubusercontent.com/u/8959638?s=40&v=4 + mjsax + https://github.com/mjsax - https://avatars.githubusercontent.com/u/48699787?s=40&v=4 - alzimmermsft - https://github.com/alzimmermsft + https://avatars.githubusercontent.com/u/10729597?s=40&v=4 + guozhangwang + https://github.com/guozhangwang - https://avatars.githubusercontent.com/u/1471612?s=40&v=4 - anuchandy - https://github.com/anuchandy + https://avatars.githubusercontent.com/u/1095624?s=40&v=4 + cmccabe + https://github.com/cmccabe - https://avatars.githubusercontent.com/u/53292327?s=40&v=4 - weidongxu-microsoft - https://github.com/weidongxu-microsoft + https://avatars.githubusercontent.com/u/13164074?s=40&v=4 + rajinisivaram + https://github.com/rajinisivaram diff --git a/data/weekly/javascript+erb.json b/data/weekly/javascript+erb.json index 0e4aabe6b552..0ce13b3de092 100644 --- a/data/weekly/javascript+erb.json +++ b/data/weekly/javascript+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Javascript+erb Languages Weekly Trending", "description": "Weekly Trending of Javascript+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/javascript+erb.xml b/data/weekly/javascript+erb.xml index 6d0c36c0df1a..aaeffd389800 100644 --- a/data/weekly/javascript+erb.xml +++ b/data/weekly/javascript+erb.xml @@ -3,6 +3,6 @@ GitHub Javascript+erb Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Javascript+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/javascript.json b/data/weekly/javascript.json index e9c188beb75a..fc6c1d474cc2 100644 --- a/data/weekly/javascript.json +++ b/data/weekly/javascript.json @@ -2,7 +2,7 @@ "title": "GitHub Javascript Languages Weekly Trending", "description": "Weekly Trending of Javascript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "langflow-ai/langflow", @@ -10,8 +10,8 @@ "description": "Langflow is a low-code app builder for RAG and multi-agent AI applications. It’s Python-based and agnostic to any model, API, or database.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "35,454", - "forks": "4,223", + "stars": "35,496", + "forks": "4,226", "addStars": "1,063", "contributors": [ { @@ -47,7 +47,7 @@ "description": "⭐️ Companies that don't have a broken hiring process", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "46,511", + "stars": "46,523", "forks": "3,628", "addStars": "767", "contributors": [ @@ -79,7 +79,7 @@ "description": "The iconic SVG, font, and CSS toolkit", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "74,090", + "stars": "74,111", "forks": "12,202", "addStars": "403", "contributors": [ @@ -116,8 +116,8 @@ "description": "Meshery, the cloud native manager", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "6,266", - "forks": "1,902", + "stars": "6,269", + "forks": "1,901", "addStars": "155", "contributors": [ { @@ -148,71 +148,39 @@ ] }, { - "title": "github/docs", - "url": "https://github.com/github/docs", - "description": "The open-source repo for docs.github.com", + "title": "mrdoob/three.js", + "url": "https://github.com/mrdoob/three.js", + "description": "JavaScript 3D Library.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "16,403", - "forks": "59,986", - "addStars": "47", + "stars": "102,917", + "forks": "35,392", + "addStars": "167", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/63058869?s=40&v=4", - "name": "Octomerger", - "url": "https://github.com/Octomerger" + "avatar": "https://avatars.githubusercontent.com/u/97088?s=40&v=4", + "name": "mrdoob", + "url": "https://github.com/mrdoob" }, { - "avatar": "https://avatars.githubusercontent.com/u/77750099?s=40&v=4", - "name": "docs-bot", - "url": "https://github.com/docs-bot" + "avatar": "https://avatars.githubusercontent.com/u/12612165?s=40&v=4", + "name": "Mugen87", + "url": "https://github.com/Mugen87" }, { - "avatar": "https://avatars.githubusercontent.com/u/26739?s=40&v=4", - "name": "peterbe", - "url": "https://github.com/peterbe" + "avatar": "https://avatars.githubusercontent.com/u/438022?s=40&v=4", + "name": "alteredq", + "url": "https://github.com/alteredq" }, { - "avatar": "https://avatars.githubusercontent.com/u/42146119?s=40&v=4", - "name": "mchammer01", - "url": "https://github.com/mchammer01" + "avatar": "https://avatars.githubusercontent.com/u/502810?s=40&v=4", + "name": "sunag", + "url": "https://github.com/sunag" }, { - "avatar": "https://avatars.githubusercontent.com/u/821071?s=40&v=4", - "name": "sarahs", - "url": "https://github.com/sarahs" - } - ] - }, - { - "title": "NginxProxyManager/nginx-proxy-manager", - "url": "https://github.com/NginxProxyManager/nginx-proxy-manager", - "description": "Docker container for managing Nginx proxy hosts with a simple, powerful interface", - "language": "JavaScript", - "languageColor": "#f1e05a", - "stars": "23,306", - "forks": "2,700", - "addStars": "228", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1518257?s=40&v=4", - "name": "jc21", - "url": "https://github.com/jc21" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26956711?s=40&v=4", - "name": "chaptergy", - "url": "https://github.com/chaptergy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35218?s=40&v=4", - "name": "Indemnity83", - "url": "https://github.com/Indemnity83" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1791123?s=40&v=4", - "name": "jlesage", - "url": "https://github.com/jlesage" + "avatar": "https://avatars.githubusercontent.com/u/1000017?s=40&v=4", + "name": "WestLangley", + "url": "https://github.com/WestLangley" } ] }, @@ -222,7 +190,7 @@ "description": "A modern ebook manager and reader with sync and backup capacities for Windows, macOS, Linux and Web", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "19,237", + "stars": "19,249", "forks": "1,485", "addStars": "489", "contributors": [ @@ -259,8 +227,8 @@ "description": "Source Code for Sigma Web Development Course", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "6,317", - "forks": "1,948", + "stars": "6,319", + "forks": "1,946", "addStars": "77", "contributors": [ { @@ -276,24 +244,34 @@ ] }, { - "title": "nerfies/nerfies.github.io", - "url": "https://github.com/nerfies/nerfies.github.io", - "description": "", + "title": "metowolf/vCards", + "url": "https://github.com/metowolf/vCards", + "description": "📡️ vCards 中国黄页 - 优化 iOS/Android 来电、信息界面体验", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "2,608", - "forks": "926", - "addStars": "33", + "stars": "4,852", + "forks": "227", + "addStars": "159", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/297680?s=40&v=4", - "name": "keunhong", - "url": "https://github.com/keunhong" + "avatar": "https://avatars.githubusercontent.com/u/2666735?s=40&v=4", + "name": "metowolf", + "url": "https://github.com/metowolf" }, { - "avatar": "https://avatars.githubusercontent.com/u/11951630?s=40&v=4", - "name": "mseneshen", - "url": "https://github.com/mseneshen" + "avatar": "https://avatars.githubusercontent.com/u/25719408?s=40&v=4", + "name": "y0ngb1n", + "url": "https://github.com/y0ngb1n" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17932593?s=40&v=4", + "name": "lucka-me", + "url": "https://github.com/lucka-me" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32415727?s=40&v=4", + "name": "Ivysauro", + "url": "https://github.com/Ivysauro" } ] }, @@ -303,7 +281,7 @@ "description": "A cross-platform, customizable science fiction terminal emulator with advanced monitoring & touchscreen support.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "40,830", + "stars": "40,835", "forks": "2,582", "addStars": "89", "contributors": [ @@ -324,50 +302,13 @@ } ] }, - { - "title": "alyssaxuu/screenity", - "url": "https://github.com/alyssaxuu/screenity", - "description": "The free and privacy-friendly screen recorder with no limits 🎥", - "language": "JavaScript", - "languageColor": "#f1e05a", - "stars": "12,904", - "forks": "1,011", - "addStars": "272", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7581348?s=40&v=4", - "name": "alyssaxuu", - "url": "https://github.com/alyssaxuu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16905768?s=40&v=4", - "name": "harad", - "url": "https://github.com/harad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28757161?s=40&v=4", - "name": "erickvils", - "url": "https://github.com/erickvils" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54495980?s=40&v=4", - "name": "pranjalagg", - "url": "https://github.com/pranjalagg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/404331?s=40&v=4", - "name": "jordigg", - "url": "https://github.com/jordigg" - } - ] - }, { "title": "npm/cli", "url": "https://github.com/npm/cli", "description": "the package manager for JavaScript", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "8,515", + "stars": "8,516", "forks": "3,193", "addStars": "29", "contributors": [ @@ -398,13 +339,87 @@ } ] }, + { + "title": "Asabeneh/30-Days-Of-JavaScript", + "url": "https://github.com/Asabeneh/30-Days-Of-JavaScript", + "description": "30 days of JavaScript programming challenge is a step-by-step guide to learn JavaScript programming language in 30 days. This challenge may take more than 100 days, please just follow your own pace. These videos may help too: https://www.youtube.com/channel/UC7PNRuno1rzYPb1xLa4yktw", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "43,363", + "forks": "10,053", + "addStars": "90", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9008063?s=40&v=4", + "name": "Asabeneh", + "url": "https://github.com/Asabeneh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15266097?s=40&v=4", + "name": "EmmanuelArenas", + "url": "https://github.com/EmmanuelArenas" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7670185?s=40&v=4", + "name": "npnjuguna", + "url": "https://github.com/npnjuguna" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/24936165?s=40&v=4", + "name": "yigittosun", + "url": "https://github.com/yigittosun" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/103402889?s=40&v=4", + "name": "Dikendev", + "url": "https://github.com/Dikendev" + } + ] + }, + { + "title": "alyssaxuu/screenity", + "url": "https://github.com/alyssaxuu/screenity", + "description": "The free and privacy-friendly screen recorder with no limits 🎥", + "language": "JavaScript", + "languageColor": "#f1e05a", + "stars": "12,907", + "forks": "1,012", + "addStars": "272", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7581348?s=40&v=4", + "name": "alyssaxuu", + "url": "https://github.com/alyssaxuu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16905768?s=40&v=4", + "name": "harad", + "url": "https://github.com/harad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/28757161?s=40&v=4", + "name": "erickvils", + "url": "https://github.com/erickvils" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54495980?s=40&v=4", + "name": "pranjalagg", + "url": "https://github.com/pranjalagg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/404331?s=40&v=4", + "name": "jordigg", + "url": "https://github.com/jordigg" + } + ] + }, { "title": "usebruno/bruno", "url": "https://github.com/usebruno/bruno", "description": "Opensource IDE For Exploring and Testing Api's (lightweight alternative to postman/insomnia)", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "27,711", + "stars": "27,727", "forks": "1,279", "addStars": "334", "contributors": [ @@ -436,98 +451,88 @@ ] }, { - "title": "stevenjoezhang/live2d-widget", - "url": "https://github.com/stevenjoezhang/live2d-widget", - "description": "把萌萌哒的看板娘抱回家 (ノ≧∇≦)ノ | Live2D widget for web platform", + "title": "nerfies/nerfies.github.io", + "url": "https://github.com/nerfies/nerfies.github.io", + "description": "", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "8,983", - "forks": "2,419", - "addStars": "67", + "stars": "2,608", + "forks": "927", + "addStars": "33", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16272760?s=40&v=4", - "name": "stevenjoezhang", - "url": "https://github.com/stevenjoezhang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10329893?s=40&v=4", - "name": "ShadowWaIker", - "url": "https://github.com/ShadowWaIker" + "avatar": "https://avatars.githubusercontent.com/u/297680?s=40&v=4", + "name": "keunhong", + "url": "https://github.com/keunhong" }, { - "avatar": "https://avatars.githubusercontent.com/u/19180725?s=40&v=4", - "name": "leirock", - "url": "https://github.com/leirock" + "avatar": "https://avatars.githubusercontent.com/u/11951630?s=40&v=4", + "name": "mseneshen", + "url": "https://github.com/mseneshen" } ] }, { - "title": "wangrongding/wechat-bot", - "url": "https://github.com/wangrongding/wechat-bot", - "description": "🤖一个基于 WeChaty 结合 OpenAi ChatGPT / Kimi / 讯飞等Ai服务实现的微信机器人 ,可以用来帮助你自动回复微信消息,或者管理微信群/好友,检测僵尸粉等...", + "title": "leonardomso/33-js-concepts", + "url": "https://github.com/leonardomso/33-js-concepts", + "description": "📜 33 JavaScript concepts every developer should know.", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "5,485", - "forks": "765", - "addStars": "62", + "stars": "63,917", + "forks": "8,950", + "addStars": "122", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42437658?s=40&v=4", - "name": "wangrongding", - "url": "https://github.com/wangrongding" + "avatar": "https://avatars.githubusercontent.com/u/8030067?s=40&v=4", + "name": "leonardomso", + "url": "https://github.com/leonardomso" }, { - "avatar": "https://avatars.githubusercontent.com/u/105091166?s=40&v=4", - "name": "lengsukq", - "url": "https://github.com/lengsukq" + "avatar": "https://avatars.githubusercontent.com/u/23511412?s=40&v=4", + "name": "ilker0", + "url": "https://github.com/ilker0" }, { - "avatar": "https://avatars.githubusercontent.com/u/35028647?s=40&v=4", - "name": "Benature", - "url": "https://github.com/Benature" + "avatar": "https://avatars.githubusercontent.com/u/12052430?s=40&v=4", + "name": "AdrianSkar", + "url": "https://github.com/AdrianSkar" }, { - "avatar": "https://avatars.githubusercontent.com/u/54298540?s=40&v=4", - "name": "moyueheng", - "url": "https://github.com/moyueheng" + "avatar": "https://avatars.githubusercontent.com/u/7304008?s=40&v=4", + "name": "aniketkudale", + "url": "https://github.com/aniketkudale" }, { - "avatar": "https://avatars.githubusercontent.com/u/711987?s=40&v=4", - "name": "MarchLiu", - "url": "https://github.com/MarchLiu" + "avatar": "https://avatars.githubusercontent.com/u/24376900?s=40&v=4", + "name": "Jadhielv", + "url": "https://github.com/Jadhielv" } ] }, { - "title": "advplyr/audiobookshelf", - "url": "https://github.com/advplyr/audiobookshelf", - "description": "Self-hosted audiobook and podcast server", + "title": "stevenjoezhang/live2d-widget", + "url": "https://github.com/stevenjoezhang/live2d-widget", + "description": "把萌萌哒的看板娘抱回家 (ノ≧∇≦)ノ | Live2D widget for web platform", "language": "JavaScript", "languageColor": "#f1e05a", - "stars": "6,847", - "forks": "483", - "addStars": "62", + "stars": "8,985", + "forks": "2,418", + "addStars": "67", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/67830747?s=40&v=4", - "name": "advplyr", - "url": "https://github.com/advplyr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22557398?s=40&v=4", - "name": "mikiher", - "url": "https://github.com/mikiher" + "avatar": "https://avatars.githubusercontent.com/u/16272760?s=40&v=4", + "name": "stevenjoezhang", + "url": "https://github.com/stevenjoezhang" }, { - "avatar": "https://avatars.githubusercontent.com/u/5686638?s=40&v=4", - "name": "nichwall", - "url": "https://github.com/nichwall" + "avatar": "https://avatars.githubusercontent.com/u/10329893?s=40&v=4", + "name": "ShadowWaIker", + "url": "https://github.com/ShadowWaIker" }, { - "avatar": "https://avatars.githubusercontent.com/u/23657968?s=40&v=4", - "name": "springsunx", - "url": "https://github.com/springsunx" + "avatar": "https://avatars.githubusercontent.com/u/19180725?s=40&v=4", + "name": "leirock", + "url": "https://github.com/leirock" } ] } diff --git a/data/weekly/javascript.xml b/data/weekly/javascript.xml index 5e6776e61c09..22f46fcdcbdb 100644 --- a/data/weekly/javascript.xml +++ b/data/weekly/javascript.xml @@ -3,7 +3,7 @@ GitHub Javascript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Javascript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT langflow-ai/langflow https://github.com/langflow-ai/langflow @@ -11,8 +11,8 @@ https://github.com/langflow-ai/langflow JavaScript #f1e05a - 35,454 - 4,223 + 35,496 + 4,226 1,063 @@ -49,7 +49,7 @@ https://github.com/poteto/hiring-without-whiteboards JavaScript #f1e05a - 46,511 + 46,523 3,628 767 @@ -82,7 +82,7 @@ https://github.com/FortAwesome/Font-Awesome JavaScript #f1e05a - 74,090 + 74,111 12,202 403 @@ -120,8 +120,8 @@ https://github.com/meshery/meshery JavaScript #f1e05a - 6,266 - 1,902 + 6,269 + 1,901 155 @@ -152,73 +152,40 @@ - github/docs - https://github.com/github/docs - The open-source repo for docs.github.com - https://github.com/github/docs + mrdoob/three.js + https://github.com/mrdoob/three.js + JavaScript 3D Library. + https://github.com/mrdoob/three.js JavaScript #f1e05a - 16,403 - 59,986 - 47 + 102,917 + 35,392 + 167 - https://avatars.githubusercontent.com/u/63058869?s=40&v=4 - Octomerger - https://github.com/Octomerger + https://avatars.githubusercontent.com/u/97088?s=40&v=4 + mrdoob + https://github.com/mrdoob - https://avatars.githubusercontent.com/u/77750099?s=40&v=4 - docs-bot - https://github.com/docs-bot + https://avatars.githubusercontent.com/u/12612165?s=40&v=4 + Mugen87 + https://github.com/Mugen87 - https://avatars.githubusercontent.com/u/26739?s=40&v=4 - peterbe - https://github.com/peterbe + https://avatars.githubusercontent.com/u/438022?s=40&v=4 + alteredq + https://github.com/alteredq - https://avatars.githubusercontent.com/u/42146119?s=40&v=4 - mchammer01 - https://github.com/mchammer01 + https://avatars.githubusercontent.com/u/502810?s=40&v=4 + sunag + https://github.com/sunag - https://avatars.githubusercontent.com/u/821071?s=40&v=4 - sarahs - https://github.com/sarahs - - - - - NginxProxyManager/nginx-proxy-manager - https://github.com/NginxProxyManager/nginx-proxy-manager - Docker container for managing Nginx proxy hosts with a simple, powerful interface - https://github.com/NginxProxyManager/nginx-proxy-manager - JavaScript - #f1e05a - 23,306 - 2,700 - 228 - - - https://avatars.githubusercontent.com/u/1518257?s=40&v=4 - jc21 - https://github.com/jc21 - - - https://avatars.githubusercontent.com/u/26956711?s=40&v=4 - chaptergy - https://github.com/chaptergy - - - https://avatars.githubusercontent.com/u/35218?s=40&v=4 - Indemnity83 - https://github.com/Indemnity83 - - - https://avatars.githubusercontent.com/u/1791123?s=40&v=4 - jlesage - https://github.com/jlesage + https://avatars.githubusercontent.com/u/1000017?s=40&v=4 + WestLangley + https://github.com/WestLangley @@ -229,7 +196,7 @@ https://github.com/koodo-reader/koodo-reader JavaScript #f1e05a - 19,237 + 19,249 1,485 489 @@ -267,8 +234,8 @@ https://github.com/CodeWithHarry/Sigma-Web-Dev-Course JavaScript #f1e05a - 6,317 - 1,948 + 6,319 + 1,946 77 @@ -284,25 +251,35 @@ - nerfies/nerfies.github.io - https://github.com/nerfies/nerfies.github.io - - https://github.com/nerfies/nerfies.github.io + metowolf/vCards + https://github.com/metowolf/vCards + 📡️ vCards 中国黄页 - 优化 iOS/Android 来电、信息界面体验 + https://github.com/metowolf/vCards JavaScript #f1e05a - 2,608 - 926 - 33 + 4,852 + 227 + 159 - https://avatars.githubusercontent.com/u/297680?s=40&v=4 - keunhong - https://github.com/keunhong + https://avatars.githubusercontent.com/u/2666735?s=40&v=4 + metowolf + https://github.com/metowolf - https://avatars.githubusercontent.com/u/11951630?s=40&v=4 - mseneshen - https://github.com/mseneshen + https://avatars.githubusercontent.com/u/25719408?s=40&v=4 + y0ngb1n + https://github.com/y0ngb1n + + + https://avatars.githubusercontent.com/u/17932593?s=40&v=4 + lucka-me + https://github.com/lucka-me + + + https://avatars.githubusercontent.com/u/32415727?s=40&v=4 + Ivysauro + https://github.com/Ivysauro @@ -313,7 +290,7 @@ https://github.com/GitSquared/edex-ui JavaScript #f1e05a - 40,830 + 40,835 2,582 89 @@ -334,44 +311,6 @@ - - alyssaxuu/screenity - https://github.com/alyssaxuu/screenity - The free and privacy-friendly screen recorder with no limits 🎥 - https://github.com/alyssaxuu/screenity - JavaScript - #f1e05a - 12,904 - 1,011 - 272 - - - https://avatars.githubusercontent.com/u/7581348?s=40&v=4 - alyssaxuu - https://github.com/alyssaxuu - - - https://avatars.githubusercontent.com/u/16905768?s=40&v=4 - harad - https://github.com/harad - - - https://avatars.githubusercontent.com/u/28757161?s=40&v=4 - erickvils - https://github.com/erickvils - - - https://avatars.githubusercontent.com/u/54495980?s=40&v=4 - pranjalagg - https://github.com/pranjalagg - - - https://avatars.githubusercontent.com/u/404331?s=40&v=4 - jordigg - https://github.com/jordigg - - - npm/cli https://github.com/npm/cli @@ -379,7 +318,7 @@ https://github.com/npm/cli JavaScript #f1e05a - 8,515 + 8,516 3,193 29 @@ -410,6 +349,82 @@ + + Asabeneh/30-Days-Of-JavaScript + https://github.com/Asabeneh/30-Days-Of-JavaScript + 30 days of JavaScript programming challenge is a step-by-step guide to learn JavaScript programming language in 30 days. This challenge may take more than 100 days, please just follow your own pace. These videos may help too: https://www.youtube.com/channel/UC7PNRuno1rzYPb1xLa4yktw + https://github.com/Asabeneh/30-Days-Of-JavaScript + JavaScript + #f1e05a + 43,363 + 10,053 + 90 + + + https://avatars.githubusercontent.com/u/9008063?s=40&v=4 + Asabeneh + https://github.com/Asabeneh + + + https://avatars.githubusercontent.com/u/15266097?s=40&v=4 + EmmanuelArenas + https://github.com/EmmanuelArenas + + + https://avatars.githubusercontent.com/u/7670185?s=40&v=4 + npnjuguna + https://github.com/npnjuguna + + + https://avatars.githubusercontent.com/u/24936165?s=40&v=4 + yigittosun + https://github.com/yigittosun + + + https://avatars.githubusercontent.com/u/103402889?s=40&v=4 + Dikendev + https://github.com/Dikendev + + + + + alyssaxuu/screenity + https://github.com/alyssaxuu/screenity + The free and privacy-friendly screen recorder with no limits 🎥 + https://github.com/alyssaxuu/screenity + JavaScript + #f1e05a + 12,907 + 1,012 + 272 + + + https://avatars.githubusercontent.com/u/7581348?s=40&v=4 + alyssaxuu + https://github.com/alyssaxuu + + + https://avatars.githubusercontent.com/u/16905768?s=40&v=4 + harad + https://github.com/harad + + + https://avatars.githubusercontent.com/u/28757161?s=40&v=4 + erickvils + https://github.com/erickvils + + + https://avatars.githubusercontent.com/u/54495980?s=40&v=4 + pranjalagg + https://github.com/pranjalagg + + + https://avatars.githubusercontent.com/u/404331?s=40&v=4 + jordigg + https://github.com/jordigg + + + usebruno/bruno https://github.com/usebruno/bruno @@ -417,7 +432,7 @@ https://github.com/usebruno/bruno JavaScript #f1e05a - 27,711 + 27,727 1,279 334 @@ -449,101 +464,91 @@ - stevenjoezhang/live2d-widget - https://github.com/stevenjoezhang/live2d-widget - 把萌萌哒的看板娘抱回家 (ノ≧∇≦)ノ | Live2D widget for web platform - https://github.com/stevenjoezhang/live2d-widget + nerfies/nerfies.github.io + https://github.com/nerfies/nerfies.github.io + + https://github.com/nerfies/nerfies.github.io JavaScript #f1e05a - 8,983 - 2,419 - 67 + 2,608 + 927 + 33 - https://avatars.githubusercontent.com/u/16272760?s=40&v=4 - stevenjoezhang - https://github.com/stevenjoezhang - - - https://avatars.githubusercontent.com/u/10329893?s=40&v=4 - ShadowWaIker - https://github.com/ShadowWaIker + https://avatars.githubusercontent.com/u/297680?s=40&v=4 + keunhong + https://github.com/keunhong - https://avatars.githubusercontent.com/u/19180725?s=40&v=4 - leirock - https://github.com/leirock + https://avatars.githubusercontent.com/u/11951630?s=40&v=4 + mseneshen + https://github.com/mseneshen - wangrongding/wechat-bot - https://github.com/wangrongding/wechat-bot - 🤖一个基于 WeChaty 结合 OpenAi ChatGPT / Kimi / 讯飞等Ai服务实现的微信机器人 ,可以用来帮助你自动回复微信消息,或者管理微信群/好友,检测僵尸粉等... - https://github.com/wangrongding/wechat-bot + leonardomso/33-js-concepts + https://github.com/leonardomso/33-js-concepts + 📜 33 JavaScript concepts every developer should know. + https://github.com/leonardomso/33-js-concepts JavaScript #f1e05a - 5,485 - 765 - 62 + 63,917 + 8,950 + 122 - https://avatars.githubusercontent.com/u/42437658?s=40&v=4 - wangrongding - https://github.com/wangrongding + https://avatars.githubusercontent.com/u/8030067?s=40&v=4 + leonardomso + https://github.com/leonardomso - https://avatars.githubusercontent.com/u/105091166?s=40&v=4 - lengsukq - https://github.com/lengsukq + https://avatars.githubusercontent.com/u/23511412?s=40&v=4 + ilker0 + https://github.com/ilker0 - https://avatars.githubusercontent.com/u/35028647?s=40&v=4 - Benature - https://github.com/Benature + https://avatars.githubusercontent.com/u/12052430?s=40&v=4 + AdrianSkar + https://github.com/AdrianSkar - https://avatars.githubusercontent.com/u/54298540?s=40&v=4 - moyueheng - https://github.com/moyueheng + https://avatars.githubusercontent.com/u/7304008?s=40&v=4 + aniketkudale + https://github.com/aniketkudale - https://avatars.githubusercontent.com/u/711987?s=40&v=4 - MarchLiu - https://github.com/MarchLiu + https://avatars.githubusercontent.com/u/24376900?s=40&v=4 + Jadhielv + https://github.com/Jadhielv - advplyr/audiobookshelf - https://github.com/advplyr/audiobookshelf - Self-hosted audiobook and podcast server - https://github.com/advplyr/audiobookshelf + stevenjoezhang/live2d-widget + https://github.com/stevenjoezhang/live2d-widget + 把萌萌哒的看板娘抱回家 (ノ≧∇≦)ノ | Live2D widget for web platform + https://github.com/stevenjoezhang/live2d-widget JavaScript #f1e05a - 6,847 - 483 - 62 + 8,985 + 2,418 + 67 - https://avatars.githubusercontent.com/u/67830747?s=40&v=4 - advplyr - https://github.com/advplyr - - - https://avatars.githubusercontent.com/u/22557398?s=40&v=4 - mikiher - https://github.com/mikiher + https://avatars.githubusercontent.com/u/16272760?s=40&v=4 + stevenjoezhang + https://github.com/stevenjoezhang - https://avatars.githubusercontent.com/u/5686638?s=40&v=4 - nichwall - https://github.com/nichwall + https://avatars.githubusercontent.com/u/10329893?s=40&v=4 + ShadowWaIker + https://github.com/ShadowWaIker - https://avatars.githubusercontent.com/u/23657968?s=40&v=4 - springsunx - https://github.com/springsunx + https://avatars.githubusercontent.com/u/19180725?s=40&v=4 + leirock + https://github.com/leirock diff --git a/data/weekly/jcl.json b/data/weekly/jcl.json index 3487f38d7b00..327c75b25aa6 100644 --- a/data/weekly/jcl.json +++ b/data/weekly/jcl.json @@ -2,6 +2,6 @@ "title": "GitHub Jcl Languages Weekly Trending", "description": "Weekly Trending of Jcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jcl.xml b/data/weekly/jcl.xml index 41c2eb04e3e3..d46dd8142e49 100644 --- a/data/weekly/jcl.xml +++ b/data/weekly/jcl.xml @@ -3,6 +3,6 @@ GitHub Jcl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jest-snapshot.json b/data/weekly/jest-snapshot.json index 764380d25c05..d6547dff2d8d 100644 --- a/data/weekly/jest-snapshot.json +++ b/data/weekly/jest-snapshot.json @@ -2,6 +2,6 @@ "title": "GitHub Jest-snapshot Languages Weekly Trending", "description": "Weekly Trending of Jest-snapshot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jest-snapshot.xml b/data/weekly/jest-snapshot.xml index 9eb0800131ae..f633c836bba8 100644 --- a/data/weekly/jest-snapshot.xml +++ b/data/weekly/jest-snapshot.xml @@ -3,6 +3,6 @@ GitHub Jest-snapshot Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jest-snapshot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jetbrains-mps.json b/data/weekly/jetbrains-mps.json index 51ce6eef725b..edd72ab66c90 100644 --- a/data/weekly/jetbrains-mps.json +++ b/data/weekly/jetbrains-mps.json @@ -2,6 +2,6 @@ "title": "GitHub Jetbrains-mps Languages Weekly Trending", "description": "Weekly Trending of Jetbrains-mps Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jetbrains-mps.xml b/data/weekly/jetbrains-mps.xml index 207e3ac09f4a..899b0db2c438 100644 --- a/data/weekly/jetbrains-mps.xml +++ b/data/weekly/jetbrains-mps.xml @@ -3,6 +3,6 @@ GitHub Jetbrains-mps Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jetbrains-mps Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jflex.json b/data/weekly/jflex.json index 49e76ddce8a7..192dd18008b3 100644 --- a/data/weekly/jflex.json +++ b/data/weekly/jflex.json @@ -2,6 +2,6 @@ "title": "GitHub Jflex Languages Weekly Trending", "description": "Weekly Trending of Jflex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jflex.xml b/data/weekly/jflex.xml index 9c51e843c079..60ef626754bc 100644 --- a/data/weekly/jflex.xml +++ b/data/weekly/jflex.xml @@ -3,6 +3,6 @@ GitHub Jflex Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jflex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jinja.json b/data/weekly/jinja.json index 8a54e92bf28d..289dfbe136dd 100644 --- a/data/weekly/jinja.json +++ b/data/weekly/jinja.json @@ -2,6 +2,6 @@ "title": "GitHub Jinja Languages Weekly Trending", "description": "Weekly Trending of Jinja Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jinja.xml b/data/weekly/jinja.xml index 945d2cc2c584..30eec553f476 100644 --- a/data/weekly/jinja.xml +++ b/data/weekly/jinja.xml @@ -3,6 +3,6 @@ GitHub Jinja Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jinja Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jison-lex.json b/data/weekly/jison-lex.json index 8718c4c5292d..f4b9e4d614c3 100644 --- a/data/weekly/jison-lex.json +++ b/data/weekly/jison-lex.json @@ -2,6 +2,6 @@ "title": "GitHub Jison-lex Languages Weekly Trending", "description": "Weekly Trending of Jison-lex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jison-lex.xml b/data/weekly/jison-lex.xml index b1d7d349bc16..25cdfaf7c543 100644 --- a/data/weekly/jison-lex.xml +++ b/data/weekly/jison-lex.xml @@ -3,6 +3,6 @@ GitHub Jison-lex Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jison-lex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jison.json b/data/weekly/jison.json index 773811cfbe81..eb844a3ec4fe 100644 --- a/data/weekly/jison.json +++ b/data/weekly/jison.json @@ -2,6 +2,6 @@ "title": "GitHub Jison Languages Weekly Trending", "description": "Weekly Trending of Jison Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jison.xml b/data/weekly/jison.xml index 58160982e152..0b67f3453b63 100644 --- a/data/weekly/jison.xml +++ b/data/weekly/jison.xml @@ -3,6 +3,6 @@ GitHub Jison Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jison Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jolie.json b/data/weekly/jolie.json index b1be4e6a1ba4..999e9bbd4cff 100644 --- a/data/weekly/jolie.json +++ b/data/weekly/jolie.json @@ -2,6 +2,6 @@ "title": "GitHub Jolie Languages Weekly Trending", "description": "Weekly Trending of Jolie Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jolie.xml b/data/weekly/jolie.xml index b8146f2f524a..d0dd1328015a 100644 --- a/data/weekly/jolie.xml +++ b/data/weekly/jolie.xml @@ -3,6 +3,6 @@ GitHub Jolie Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jolie Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jq.json b/data/weekly/jq.json index a970592e280e..3b72692beb20 100644 --- a/data/weekly/jq.json +++ b/data/weekly/jq.json @@ -2,6 +2,6 @@ "title": "GitHub Jq Languages Weekly Trending", "description": "Weekly Trending of Jq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jq.xml b/data/weekly/jq.xml index 81244df44352..965eab89c686 100644 --- a/data/weekly/jq.xml +++ b/data/weekly/jq.xml @@ -3,6 +3,6 @@ GitHub Jq Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/json-with-comments.json b/data/weekly/json-with-comments.json index 9c7480d93633..4adb6b769863 100644 --- a/data/weekly/json-with-comments.json +++ b/data/weekly/json-with-comments.json @@ -2,6 +2,6 @@ "title": "GitHub Json-with-comments Languages Weekly Trending", "description": "Weekly Trending of Json-with-comments Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/json-with-comments.xml b/data/weekly/json-with-comments.xml index b206df1b28c6..378242104d3d 100644 --- a/data/weekly/json-with-comments.xml +++ b/data/weekly/json-with-comments.xml @@ -3,6 +3,6 @@ GitHub Json-with-comments Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Json-with-comments Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/json.json b/data/weekly/json.json index a48820e494ee..4fd35efe2141 100644 --- a/data/weekly/json.json +++ b/data/weekly/json.json @@ -2,7 +2,7 @@ "title": "GitHub Json Languages Weekly Trending", "description": "Weekly Trending of Json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "mdn/browser-compat-data", @@ -10,7 +10,7 @@ "description": "This repository contains compatibility data for Web technologies as displayed on MDN", "language": "JSON", "languageColor": "#292929", - "stars": "5,005", + "stars": "5,006", "forks": "2,006", "addStars": "19", "contributors": [ diff --git a/data/weekly/json.xml b/data/weekly/json.xml index 5eadc332304d..99c9784f604c 100644 --- a/data/weekly/json.xml +++ b/data/weekly/json.xml @@ -3,7 +3,7 @@ GitHub Json Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT mdn/browser-compat-data https://github.com/mdn/browser-compat-data @@ -11,7 +11,7 @@ https://github.com/mdn/browser-compat-data JSON #292929 - 5,005 + 5,006 2,006 19 diff --git a/data/weekly/json5.json b/data/weekly/json5.json index 2a0f564c1433..341aa096a4a8 100644 --- a/data/weekly/json5.json +++ b/data/weekly/json5.json @@ -2,6 +2,6 @@ "title": "GitHub Json5 Languages Weekly Trending", "description": "Weekly Trending of Json5 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/json5.xml b/data/weekly/json5.xml index a8e89da9d54e..53d2634ce242 100644 --- a/data/weekly/json5.xml +++ b/data/weekly/json5.xml @@ -3,6 +3,6 @@ GitHub Json5 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Json5 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jsoniq.json b/data/weekly/jsoniq.json index 4d7da32cd6d9..86820e5bdf29 100644 --- a/data/weekly/jsoniq.json +++ b/data/weekly/jsoniq.json @@ -2,6 +2,6 @@ "title": "GitHub Jsoniq Languages Weekly Trending", "description": "Weekly Trending of Jsoniq Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jsoniq.xml b/data/weekly/jsoniq.xml index eba4f32d0119..2c9cb09d8403 100644 --- a/data/weekly/jsoniq.xml +++ b/data/weekly/jsoniq.xml @@ -3,6 +3,6 @@ GitHub Jsoniq Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jsoniq Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jsonld.json b/data/weekly/jsonld.json index 8f28d22e2655..b98f71971a2b 100644 --- a/data/weekly/jsonld.json +++ b/data/weekly/jsonld.json @@ -2,6 +2,6 @@ "title": "GitHub Jsonld Languages Weekly Trending", "description": "Weekly Trending of Jsonld Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/jsonld.xml b/data/weekly/jsonld.xml index 3f5d733319ca..6b81bbd92ae3 100644 --- a/data/weekly/jsonld.xml +++ b/data/weekly/jsonld.xml @@ -3,6 +3,6 @@ GitHub Jsonld Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jsonld Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/jsonnet.json b/data/weekly/jsonnet.json index 083d13c1be40..c3796d6ba600 100644 --- a/data/weekly/jsonnet.json +++ b/data/weekly/jsonnet.json @@ -2,15 +2,52 @@ "title": "GitHub Jsonnet Languages Weekly Trending", "description": "Weekly Trending of Jsonnet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "argoproj/argocd-example-apps", + "url": "https://github.com/argoproj/argocd-example-apps", + "description": "Example Apps to Demonstrate Argo CD", + "language": "Jsonnet", + "languageColor": "#0064bd", + "stars": "1,597", + "forks": "7,229", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12677113?s=40&v=4", + "name": "jessesuen", + "url": "https://github.com/jessesuen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1142830?s=40&v=4", + "name": "alexec", + "url": "https://github.com/alexec" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/426437?s=40&v=4", + "name": "alexmt", + "url": "https://github.com/alexmt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/410404?s=40&v=4", + "name": "limnick", + "url": "https://github.com/limnick" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1015125?s=40&v=4", + "name": "ajayk", + "url": "https://github.com/ajayk" + } + ] + }, { "title": "prometheus-operator/kube-prometheus", "url": "https://github.com/prometheus-operator/kube-prometheus", "description": "Use Prometheus to monitor Kubernetes and applications running on Kubernetes", "language": "Jsonnet", "languageColor": "#0064bd", - "stars": "6,788", + "stars": "6,789", "forks": "1,936", "addStars": "21", "contributors": [ @@ -41,43 +78,6 @@ } ] }, - { - "title": "argoproj/argocd-example-apps", - "url": "https://github.com/argoproj/argocd-example-apps", - "description": "Example Apps to Demonstrate Argo CD", - "language": "Jsonnet", - "languageColor": "#0064bd", - "stars": "1,595", - "forks": "7,228", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12677113?s=40&v=4", - "name": "jessesuen", - "url": "https://github.com/jessesuen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1142830?s=40&v=4", - "name": "alexec", - "url": "https://github.com/alexec" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/426437?s=40&v=4", - "name": "alexmt", - "url": "https://github.com/alexmt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/410404?s=40&v=4", - "name": "limnick", - "url": "https://github.com/limnick" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1015125?s=40&v=4", - "name": "ajayk", - "url": "https://github.com/ajayk" - } - ] - }, { "title": "google/jsonnet", "url": "https://github.com/google/jsonnet", @@ -121,8 +121,8 @@ "description": "A set of Grafana dashboards and Prometheus alerts for Kubernetes.", "language": "Jsonnet", "languageColor": "#0064bd", - "stars": "2,121", - "forks": "596", + "stars": "2,122", + "forks": "597", "addStars": "7", "contributors": [ { diff --git a/data/weekly/jsonnet.xml b/data/weekly/jsonnet.xml index b2192a4571a8..97546b356e95 100644 --- a/data/weekly/jsonnet.xml +++ b/data/weekly/jsonnet.xml @@ -3,7 +3,45 @@ GitHub Jsonnet Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Jsonnet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + argoproj/argocd-example-apps + https://github.com/argoproj/argocd-example-apps + Example Apps to Demonstrate Argo CD + https://github.com/argoproj/argocd-example-apps + Jsonnet + #0064bd + 1,597 + 7,229 + 5 + + + https://avatars.githubusercontent.com/u/12677113?s=40&v=4 + jessesuen + https://github.com/jessesuen + + + https://avatars.githubusercontent.com/u/1142830?s=40&v=4 + alexec + https://github.com/alexec + + + https://avatars.githubusercontent.com/u/426437?s=40&v=4 + alexmt + https://github.com/alexmt + + + https://avatars.githubusercontent.com/u/410404?s=40&v=4 + limnick + https://github.com/limnick + + + https://avatars.githubusercontent.com/u/1015125?s=40&v=4 + ajayk + https://github.com/ajayk + + + prometheus-operator/kube-prometheus https://github.com/prometheus-operator/kube-prometheus @@ -11,7 +49,7 @@ https://github.com/prometheus-operator/kube-prometheus Jsonnet #0064bd - 6,788 + 6,789 1,936 21 @@ -42,44 +80,6 @@ - - argoproj/argocd-example-apps - https://github.com/argoproj/argocd-example-apps - Example Apps to Demonstrate Argo CD - https://github.com/argoproj/argocd-example-apps - Jsonnet - #0064bd - 1,595 - 7,228 - 5 - - - https://avatars.githubusercontent.com/u/12677113?s=40&v=4 - jessesuen - https://github.com/jessesuen - - - https://avatars.githubusercontent.com/u/1142830?s=40&v=4 - alexec - https://github.com/alexec - - - https://avatars.githubusercontent.com/u/426437?s=40&v=4 - alexmt - https://github.com/alexmt - - - https://avatars.githubusercontent.com/u/410404?s=40&v=4 - limnick - https://github.com/limnick - - - https://avatars.githubusercontent.com/u/1015125?s=40&v=4 - ajayk - https://github.com/ajayk - - - google/jsonnet https://github.com/google/jsonnet @@ -125,8 +125,8 @@ https://github.com/kubernetes-monitoring/kubernetes-mixin Jsonnet #0064bd - 2,121 - 596 + 2,122 + 597 7 diff --git a/data/weekly/julia-repl.json b/data/weekly/julia-repl.json index 90d8589b37cc..0b0b7652ca42 100644 --- a/data/weekly/julia-repl.json +++ b/data/weekly/julia-repl.json @@ -2,6 +2,6 @@ "title": "GitHub Julia-repl Languages Weekly Trending", "description": "Weekly Trending of Julia-repl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/julia-repl.xml b/data/weekly/julia-repl.xml index a0a8da57f2be..b3cfb4732598 100644 --- a/data/weekly/julia-repl.xml +++ b/data/weekly/julia-repl.xml @@ -3,6 +3,6 @@ GitHub Julia-repl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Julia-repl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/julia.json b/data/weekly/julia.json index 2f8d9b382610..d146012727ae 100644 --- a/data/weekly/julia.json +++ b/data/weekly/julia.json @@ -2,7 +2,7 @@ "title": "GitHub Julia Languages Weekly Trending", "description": "Weekly Trending of Julia Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "JuliaLang/julia", @@ -10,8 +10,8 @@ "description": "The Julia Programming Language", "language": "Julia", "languageColor": "#a270ba", - "stars": "45,860", - "forks": "5,489", + "stars": "45,862", + "forks": "5,488", "addStars": "89", "contributors": [ { @@ -48,7 +48,7 @@ "language": "Julia", "languageColor": "#a270ba", "stars": "608", - "forks": "468", + "forks": "469", "addStars": "3", "contributors": [ { @@ -78,6 +78,43 @@ } ] }, + { + "title": "andreasKroepelin/polylux", + "url": "https://github.com/andreasKroepelin/polylux", + "description": "A package for creating slides in Typst", + "language": "Julia", + "languageColor": "#a270ba", + "stars": "1,190", + "forks": "56", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/42342396?s=40&v=4", + "name": "andreasKroepelin", + "url": "https://github.com/andreasKroepelin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/252042?s=40&v=4", + "name": "drupol", + "url": "https://github.com/drupol" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20501619?s=40&v=4", + "name": "MarkBlyth", + "url": "https://github.com/MarkBlyth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2191754?s=40&v=4", + "name": "Enivex", + "url": "https://github.com/Enivex" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23620506?s=40&v=4", + "name": "ntjess", + "url": "https://github.com/ntjess" + } + ] + }, { "title": "JuliaPlots/Plots.jl", "url": "https://github.com/JuliaPlots/Plots.jl", diff --git a/data/weekly/julia.xml b/data/weekly/julia.xml index d78cc7f14706..180edbae9009 100644 --- a/data/weekly/julia.xml +++ b/data/weekly/julia.xml @@ -3,7 +3,7 @@ GitHub Julia Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Julia Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT JuliaLang/julia https://github.com/JuliaLang/julia @@ -11,8 +11,8 @@ https://github.com/JuliaLang/julia Julia #a270ba - 45,860 - 5,489 + 45,862 + 5,488 89 @@ -50,7 +50,7 @@ Julia #a270ba 608 - 468 + 469 3 @@ -80,6 +80,44 @@ + + andreasKroepelin/polylux + https://github.com/andreasKroepelin/polylux + A package for creating slides in Typst + https://github.com/andreasKroepelin/polylux + Julia + #a270ba + 1,190 + 56 + 9 + + + https://avatars.githubusercontent.com/u/42342396?s=40&v=4 + andreasKroepelin + https://github.com/andreasKroepelin + + + https://avatars.githubusercontent.com/u/252042?s=40&v=4 + drupol + https://github.com/drupol + + + https://avatars.githubusercontent.com/u/20501619?s=40&v=4 + MarkBlyth + https://github.com/MarkBlyth + + + https://avatars.githubusercontent.com/u/2191754?s=40&v=4 + Enivex + https://github.com/Enivex + + + https://avatars.githubusercontent.com/u/23620506?s=40&v=4 + ntjess + https://github.com/ntjess + + + JuliaPlots/Plots.jl https://github.com/JuliaPlots/Plots.jl diff --git a/data/weekly/just.json b/data/weekly/just.json index 41565846ec3b..367fabe98731 100644 --- a/data/weekly/just.json +++ b/data/weekly/just.json @@ -2,7 +2,7 @@ "title": "GitHub Just Languages Weekly Trending", "description": "Weekly Trending of Just Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ethereum-optimism/specs", @@ -47,7 +47,7 @@ "description": "Next generation Cosmic desktop environment", "language": "Just", "languageColor": "#384d54", - "stars": "3,199", + "stars": "3,200", "forks": "86", "addStars": "40", "contributors": [ diff --git a/data/weekly/just.xml b/data/weekly/just.xml index 87a300e9ee35..79321898ad69 100644 --- a/data/weekly/just.xml +++ b/data/weekly/just.xml @@ -3,7 +3,7 @@ GitHub Just Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Just Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ethereum-optimism/specs https://github.com/ethereum-optimism/specs @@ -49,7 +49,7 @@ https://github.com/pop-os/cosmic-epoch Just #384d54 - 3,199 + 3,200 86 40 diff --git a/data/weekly/kaitai-struct.json b/data/weekly/kaitai-struct.json index 394da85cd3f8..d251c2b7889a 100644 --- a/data/weekly/kaitai-struct.json +++ b/data/weekly/kaitai-struct.json @@ -2,6 +2,6 @@ "title": "GitHub Kaitai-struct Languages Weekly Trending", "description": "Weekly Trending of Kaitai-struct Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kaitai-struct.xml b/data/weekly/kaitai-struct.xml index da8bab05158b..07cbf311d022 100644 --- a/data/weekly/kaitai-struct.xml +++ b/data/weekly/kaitai-struct.xml @@ -3,6 +3,6 @@ GitHub Kaitai-struct Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kaitai-struct Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kakounescript.json b/data/weekly/kakounescript.json index 08a449b3e602..66da74932630 100644 --- a/data/weekly/kakounescript.json +++ b/data/weekly/kakounescript.json @@ -2,6 +2,6 @@ "title": "GitHub Kakounescript Languages Weekly Trending", "description": "Weekly Trending of Kakounescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kakounescript.xml b/data/weekly/kakounescript.xml index 5cf38c3616f9..7a94bda9a5cc 100644 --- a/data/weekly/kakounescript.xml +++ b/data/weekly/kakounescript.xml @@ -3,6 +3,6 @@ GitHub Kakounescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kakounescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kerboscript.json b/data/weekly/kerboscript.json index a904c748310a..2ba30254093e 100644 --- a/data/weekly/kerboscript.json +++ b/data/weekly/kerboscript.json @@ -2,6 +2,6 @@ "title": "GitHub Kerboscript Languages Weekly Trending", "description": "Weekly Trending of Kerboscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kerboscript.xml b/data/weekly/kerboscript.xml index 93774ccdb32e..e839bcb4487c 100644 --- a/data/weekly/kerboscript.xml +++ b/data/weekly/kerboscript.xml @@ -3,6 +3,6 @@ GitHub Kerboscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kerboscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kicad-layout.json b/data/weekly/kicad-layout.json index 191d08da74d8..f55070bd99cf 100644 --- a/data/weekly/kicad-layout.json +++ b/data/weekly/kicad-layout.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-layout Languages Weekly Trending", "description": "Weekly Trending of Kicad-layout Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kicad-layout.xml b/data/weekly/kicad-layout.xml index da2a7f9786f5..6727d181f931 100644 --- a/data/weekly/kicad-layout.xml +++ b/data/weekly/kicad-layout.xml @@ -3,6 +3,6 @@ GitHub Kicad-layout Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kicad-layout Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kicad-legacy-layout.json b/data/weekly/kicad-legacy-layout.json index 2e7479bd6d7b..12186bac9cb6 100644 --- a/data/weekly/kicad-legacy-layout.json +++ b/data/weekly/kicad-legacy-layout.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-legacy-layout Languages Weekly Trending", "description": "Weekly Trending of Kicad-legacy-layout Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kicad-legacy-layout.xml b/data/weekly/kicad-legacy-layout.xml index 96a949252785..bb792daefe65 100644 --- a/data/weekly/kicad-legacy-layout.xml +++ b/data/weekly/kicad-legacy-layout.xml @@ -3,6 +3,6 @@ GitHub Kicad-legacy-layout Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kicad-legacy-layout Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kicad-schematic.json b/data/weekly/kicad-schematic.json index fa59f6068321..b1a111d26957 100644 --- a/data/weekly/kicad-schematic.json +++ b/data/weekly/kicad-schematic.json @@ -2,6 +2,6 @@ "title": "GitHub Kicad-schematic Languages Weekly Trending", "description": "Weekly Trending of Kicad-schematic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kicad-schematic.xml b/data/weekly/kicad-schematic.xml index 2fa2373cbdba..4f4ea6e8e293 100644 --- a/data/weekly/kicad-schematic.xml +++ b/data/weekly/kicad-schematic.xml @@ -3,6 +3,6 @@ GitHub Kicad-schematic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kicad-schematic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kickstart.json b/data/weekly/kickstart.json index f5ebb2b59963..1ef66637c26e 100644 --- a/data/weekly/kickstart.json +++ b/data/weekly/kickstart.json @@ -2,6 +2,6 @@ "title": "GitHub Kickstart Languages Weekly Trending", "description": "Weekly Trending of Kickstart Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kickstart.xml b/data/weekly/kickstart.xml index f4b3ed191cad..05d0f2ce3c53 100644 --- a/data/weekly/kickstart.xml +++ b/data/weekly/kickstart.xml @@ -3,6 +3,6 @@ GitHub Kickstart Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kickstart Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kit.json b/data/weekly/kit.json index eb3a5071fa5a..c7f0c187f15c 100644 --- a/data/weekly/kit.json +++ b/data/weekly/kit.json @@ -2,6 +2,6 @@ "title": "GitHub Kit Languages Weekly Trending", "description": "Weekly Trending of Kit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kit.xml b/data/weekly/kit.xml index d46a1634308b..672bdc4f11bc 100644 --- a/data/weekly/kit.xml +++ b/data/weekly/kit.xml @@ -3,6 +3,6 @@ GitHub Kit Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kotlin.json b/data/weekly/kotlin.json index ae81da63abfe..1f11a001fa62 100644 --- a/data/weekly/kotlin.json +++ b/data/weekly/kotlin.json @@ -2,7 +2,7 @@ "title": "GitHub Kotlin Languages Weekly Trending", "description": "Weekly Trending of Kotlin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "android/nowinandroid", @@ -10,7 +10,7 @@ "description": "A fully functional Android app built entirely with Kotlin and Jetpack Compose", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "17,306", + "stars": "17,310", "forks": "3,147", "addStars": "322", "contributors": [ @@ -42,39 +42,76 @@ ] }, { - "title": "amir1376/ab-download-manager", - "url": "https://github.com/amir1376/ab-download-manager", - "description": "A Download Manager that speeds up your downloads", + "title": "ReVanced/revanced-patches", + "url": "https://github.com/ReVanced/revanced-patches", + "description": "🧩 Patches for ReVanced", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "3,234", - "forks": "161", - "addStars": "288", + "stars": "2,546", + "forks": "294", + "addStars": "65", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/38394888?s=40&v=4", - "name": "amir1376", - "url": "https://github.com/amir1376" + "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", + "name": "oSumAtrIX", + "url": "https://github.com/oSumAtrIX" }, { - "avatar": "https://avatars.githubusercontent.com/u/106905365?s=40&v=4", - "name": "dagimg-dot", - "url": "https://github.com/dagimg-dot" + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/56180050?s=40&v=4", - "name": "sitiom", - "url": "https://github.com/sitiom" + "avatar": "https://avatars.githubusercontent.com/u/118716522?s=40&v=4", + "name": "LisoUseInAIKyrios", + "url": "https://github.com/LisoUseInAIKyrios" }, { - "avatar": "https://avatars.githubusercontent.com/u/32303768?s=40&v=4", - "name": "peanut996", - "url": "https://github.com/peanut996" + "avatar": "https://avatars.githubusercontent.com/u/107796137?s=40&v=4", + "name": "johnconner122", + "url": "https://github.com/johnconner122" }, { - "avatar": "https://avatars.githubusercontent.com/u/60595427?s=40&v=4", - "name": "AliMehraji", - "url": "https://github.com/AliMehraji" + "avatar": "https://avatars.githubusercontent.com/u/119133492?s=40&v=4", + "name": "revanced-bot", + "url": "https://github.com/revanced-bot" + } + ] + }, + { + "title": "JetBrains/kotlin", + "url": "https://github.com/JetBrains/kotlin", + "description": "The Kotlin Programming Language.", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "49,447", + "forks": "5,783", + "addStars": "71", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/292714?s=40&v=4", + "name": "udalov", + "url": "https://github.com/udalov" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1127631?s=40&v=4", + "name": "mglukhikh", + "url": "https://github.com/mglukhikh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/908958?s=40&v=4", + "name": "goodwinnk", + "url": "https://github.com/goodwinnk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14974409?s=40&v=4", + "name": "demiurg906", + "url": "https://github.com/demiurg906" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/863207?s=40&v=4", + "name": "dzharkov", + "url": "https://github.com/dzharkov" } ] }, @@ -84,7 +121,7 @@ "description": "Official Jetpack Compose samples.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "20,337", + "stars": "20,342", "forks": "4,840", "addStars": "78", "contributors": [ @@ -121,7 +158,7 @@ "description": "Square’s meticulous HTTP client for the JVM, Android, and GraalVM.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "45,905", + "stars": "45,906", "forks": "9,163", "addStars": "36", "contributors": [ @@ -147,80 +184,6 @@ } ] }, - { - "title": "ReVanced/revanced-patches", - "url": "https://github.com/ReVanced/revanced-patches", - "description": "🧩 Patches for ReVanced", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "2,545", - "forks": "294", - "addStars": "65", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13122796?s=40&v=4", - "name": "oSumAtrIX", - "url": "https://github.com/oSumAtrIX" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/118716522?s=40&v=4", - "name": "LisoUseInAIKyrios", - "url": "https://github.com/LisoUseInAIKyrios" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/107796137?s=40&v=4", - "name": "johnconner122", - "url": "https://github.com/johnconner122" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/119133492?s=40&v=4", - "name": "revanced-bot", - "url": "https://github.com/revanced-bot" - } - ] - }, - { - "title": "JetBrains/kotlin", - "url": "https://github.com/JetBrains/kotlin", - "description": "The Kotlin Programming Language.", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "49,445", - "forks": "5,781", - "addStars": "71", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/292714?s=40&v=4", - "name": "udalov", - "url": "https://github.com/udalov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1127631?s=40&v=4", - "name": "mglukhikh", - "url": "https://github.com/mglukhikh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/908958?s=40&v=4", - "name": "goodwinnk", - "url": "https://github.com/goodwinnk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14974409?s=40&v=4", - "name": "demiurg906", - "url": "https://github.com/demiurg906" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/863207?s=40&v=4", - "name": "dzharkov", - "url": "https://github.com/dzharkov" - } - ] - }, { "title": "mpv-android/mpv-android", "url": "https://github.com/mpv-android/mpv-android", @@ -259,29 +222,76 @@ ] }, { - "title": "gedoor/legado", - "url": "https://github.com/gedoor/legado", - "description": "Legado 3.0 Book Reader with powerful controls & full functions❤️阅读3.0, 阅读是一款可以自定义来源阅读网络内容的工具,为广大网络文学爱好者提供一种方便、快捷舒适的试读体验。", + "title": "amir1376/ab-download-manager", + "url": "https://github.com/amir1376/ab-download-manager", + "description": "A Download Manager that speeds up your downloads", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "30,116", - "forks": "3,944", - "addStars": "217", + "stars": "3,250", + "forks": "163", + "addStars": "288", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/22701807?s=40&v=4", - "name": "gedoor", - "url": "https://github.com/gedoor" + "avatar": "https://avatars.githubusercontent.com/u/38394888?s=40&v=4", + "name": "amir1376", + "url": "https://github.com/amir1376" }, { - "avatar": "https://avatars.githubusercontent.com/u/8674809?s=40&v=4", - "name": "821938089", - "url": "https://github.com/821938089" + "avatar": "https://avatars.githubusercontent.com/u/106905365?s=40&v=4", + "name": "dagimg-dot", + "url": "https://github.com/dagimg-dot" }, { - "avatar": "https://avatars.githubusercontent.com/u/82232510?s=40&v=4", - "name": "Xwite", - "url": "https://github.com/Xwite" + "avatar": "https://avatars.githubusercontent.com/u/56180050?s=40&v=4", + "name": "sitiom", + "url": "https://github.com/sitiom" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32303768?s=40&v=4", + "name": "peanut996", + "url": "https://github.com/peanut996" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/60595427?s=40&v=4", + "name": "AliMehraji", + "url": "https://github.com/AliMehraji" + } + ] + }, + { + "title": "signalapp/Signal-Android", + "url": "https://github.com/signalapp/Signal-Android", + "description": "A private messenger for Android.", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "25,673", + "forks": "6,167", + "addStars": "36", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/37311915?s=40&v=4", + "name": "greyson-signal", + "url": "https://github.com/greyson-signal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54450162?s=40&v=4", + "name": "alex-signal", + "url": "https://github.com/alex-signal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/512439?s=40&v=4", + "name": "moxie0", + "url": "https://github.com/moxie0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66265416?s=40&v=4", + "name": "cody-signal", + "url": "https://github.com/cody-signal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48254818?s=40&v=4", + "name": "alan-signal", + "url": "https://github.com/alan-signal" } ] }, @@ -322,75 +332,6 @@ } ] }, - { - "title": "tanujnotes/Olauncher", - "url": "https://github.com/tanujnotes/Olauncher", - "description": "Minimal AF Launcher for Android. Reduce your screen time. Daily wallpapers.", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "2,105", - "forks": "226", - "addStars": "19", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11060605?s=40&v=4", - "name": "tanujnotes", - "url": "https://github.com/tanujnotes" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82452881?s=40&v=4", - "name": "J-cart", - "url": "https://github.com/J-cart" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/78449553?s=40&v=4", - "name": "Caballero-Arepa", - "url": "https://github.com/Caballero-Arepa" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15873152?s=40&v=4", - "name": "paperclipstudio", - "url": "https://github.com/paperclipstudio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5739987?s=40&v=4", - "name": "picimako", - "url": "https://github.com/picimako" - } - ] - }, - { - "title": "libre-tube/LibreTube", - "url": "https://github.com/libre-tube/LibreTube", - "description": "An alternative frontend for YouTube, for Android.", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "8,782", - "forks": "446", - "addStars": "45", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/82752168?s=40&v=4", - "name": "Bnyro", - "url": "https://github.com/Bnyro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31027858?s=40&v=4", - "name": "Isira-Seneviratne", - "url": "https://github.com/Isira-Seneviratne" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/106192957?s=40&v=4", - "name": "Atrafon", - "url": "https://github.com/Atrafon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13156001?s=40&v=4", - "name": "rex07", - "url": "https://github.com/rex07" - } - ] - }, { "title": "Kunzisoft/KeePassDX", "url": "https://github.com/Kunzisoft/KeePassDX", @@ -428,43 +369,6 @@ } ] }, - { - "title": "VREMSoftwareDevelopment/WiFiAnalyzer", - "url": "https://github.com/VREMSoftwareDevelopment/WiFiAnalyzer", - "description": "Android application to analyze WiFi signals.", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "3,563", - "forks": "624", - "addStars": "21", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6217364?s=40&v=4", - "name": "VREMSoftwareDevelopment", - "url": "https://github.com/VREMSoftwareDevelopment" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36028424?s=40&v=4", - "name": "Tobaloidee", - "url": "https://github.com/Tobaloidee" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25266387?s=40&v=4", - "name": "Leptopoda", - "url": "https://github.com/Leptopoda" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6266037?s=40&v=4", - "name": "Findus23", - "url": "https://github.com/Findus23" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2053884?s=40&v=4", - "name": "GreenLunar", - "url": "https://github.com/GreenLunar" - } - ] - }, { "title": "ReVanced/revanced-cli", "url": "https://github.com/ReVanced/revanced-cli", @@ -472,7 +376,7 @@ "language": "Kotlin", "languageColor": "#A97BFF", "stars": "1,088", - "forks": "169", + "forks": "168", "addStars": "20", "contributors": [ { @@ -503,29 +407,61 @@ ] }, { - "title": "HighCapable/YukiHookAPI", - "url": "https://github.com/HighCapable/YukiHookAPI", - "description": "⛱️ An efficient Hook API and Xposed Module solution built in Kotlin.", + "title": "libre-tube/LibreTube", + "url": "https://github.com/libre-tube/LibreTube", + "description": "An alternative frontend for YouTube, for Android.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "1,446", - "forks": "108", - "addStars": "19", + "stars": "8,782", + "forks": "446", + "addStars": "45", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37344460?s=40&v=4", - "name": "fankes", - "url": "https://github.com/fankes" + "avatar": "https://avatars.githubusercontent.com/u/82752168?s=40&v=4", + "name": "Bnyro", + "url": "https://github.com/Bnyro" }, { - "avatar": "https://avatars.githubusercontent.com/u/56707259?s=40&v=4", - "name": "pwh-pwh", - "url": "https://github.com/pwh-pwh" + "avatar": "https://avatars.githubusercontent.com/u/31027858?s=40&v=4", + "name": "Isira-Seneviratne", + "url": "https://github.com/Isira-Seneviratne" }, { - "avatar": "https://avatars.githubusercontent.com/u/78157415?s=40&v=4", - "name": "kazutoiris", - "url": "https://github.com/kazutoiris" + "avatar": "https://avatars.githubusercontent.com/u/106192957?s=40&v=4", + "name": "Atrafon", + "url": "https://github.com/Atrafon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13156001?s=40&v=4", + "name": "rex07", + "url": "https://github.com/rex07" + } + ] + }, + { + "title": "gedoor/legado", + "url": "https://github.com/gedoor/legado", + "description": "Legado 3.0 Book Reader with powerful controls & full functions❤️阅读3.0, 阅读是一款可以自定义来源阅读网络内容的工具,为广大网络文学爱好者提供一种方便、快捷舒适的试读体验。", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "30,122", + "forks": "3,945", + "addStars": "217", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/22701807?s=40&v=4", + "name": "gedoor", + "url": "https://github.com/gedoor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8674809?s=40&v=4", + "name": "821938089", + "url": "https://github.com/821938089" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82232510?s=40&v=4", + "name": "Xwite", + "url": "https://github.com/Xwite" } ] }, @@ -535,7 +471,7 @@ "description": "Un cliente de YouTube Music con Material Design 3, para Android", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "281", + "stars": "282", "forks": "14", "addStars": "33", "contributors": [ @@ -562,145 +498,103 @@ ] }, { - "title": "CCBlueX/LiquidBounce", - "url": "https://github.com/CCBlueX/LiquidBounce", - "description": "A free mixin-based injection hacked client for Minecraft using the Fabric API", - "language": "Kotlin", - "languageColor": "#A97BFF", - "stars": "1,495", - "forks": "484", - "addStars": "12", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12410754?s=40&v=4", - "name": "1zun4", - "url": "https://github.com/1zun4" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22642852?s=40&v=4", - "name": "superblaubeere27", - "url": "https://github.com/superblaubeere27" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/70612656?s=40&v=4", - "name": "mems01", - "url": "https://github.com/mems01" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18741573?s=40&v=4", - "name": "SenkJu", - "url": "https://github.com/SenkJu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/109693935?s=40&v=4", - "name": "ccetl", - "url": "https://github.com/ccetl" - } - ] - }, - { - "title": "signalapp/Signal-Android", - "url": "https://github.com/signalapp/Signal-Android", - "description": "A private messenger for Android.", + "title": "android/architecture-samples", + "url": "https://github.com/android/architecture-samples", + "description": "A collection of samples to discuss and showcase different architectural tools and patterns for Android apps.", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "25,673", - "forks": "6,166", - "addStars": "36", + "stars": "44,519", + "forks": "11,659", + "addStars": "32", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37311915?s=40&v=4", - "name": "greyson-signal", - "url": "https://github.com/greyson-signal" + "avatar": "https://avatars.githubusercontent.com/u/609125?s=40&v=4", + "name": "JoseAlcerreca", + "url": "https://github.com/JoseAlcerreca" }, { - "avatar": "https://avatars.githubusercontent.com/u/54450162?s=40&v=4", - "name": "alex-signal", - "url": "https://github.com/alex-signal" + "avatar": "https://avatars.githubusercontent.com/u/13559113?s=40&v=4", + "name": "slinzner", + "url": "https://github.com/slinzner" }, { - "avatar": "https://avatars.githubusercontent.com/u/512439?s=40&v=4", - "name": "moxie0", - "url": "https://github.com/moxie0" + "avatar": "https://avatars.githubusercontent.com/u/873212?s=40&v=4", + "name": "dturner", + "url": "https://github.com/dturner" }, { - "avatar": "https://avatars.githubusercontent.com/u/66265416?s=40&v=4", - "name": "cody-signal", - "url": "https://github.com/cody-signal" + "avatar": "https://avatars.githubusercontent.com/u/2998890?s=40&v=4", + "name": "florina-muntenescu", + "url": "https://github.com/florina-muntenescu" }, { - "avatar": "https://avatars.githubusercontent.com/u/48254818?s=40&v=4", - "name": "alan-signal", - "url": "https://github.com/alan-signal" + "avatar": "https://avatars.githubusercontent.com/u/531613?s=40&v=4", + "name": "malmstein", + "url": "https://github.com/malmstein" } ] }, { - "title": "android/camera-samples", - "url": "https://github.com/android/camera-samples", - "description": "Multiple samples showing the best practices in camera APIs on Android.", + "title": "T8RIN/ImageToolbox", + "url": "https://github.com/T8RIN/ImageToolbox", + "description": "🖼️ Image Toolbox is a powerful app for advanced image manipulation. It offers dozens of features, from basic tools like crop and draw to filters, OCR, and a wide range of image processing options", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "5,036", - "forks": "2,346", - "addStars": "14", + "stars": "4,084", + "forks": "195", + "addStars": "56", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/41451293?s=40&v=4", - "name": "owahltinez", - "url": "https://github.com/owahltinez" + "avatar": "https://avatars.githubusercontent.com/u/52178347?s=40&v=4", + "name": "T8RIN", + "url": "https://github.com/T8RIN" }, { - "avatar": "https://avatars.githubusercontent.com/u/6404236?s=40&v=4", - "name": "donovanfm", - "url": "https://github.com/donovanfm" + "avatar": "https://avatars.githubusercontent.com/u/68811535?s=40&v=4", + "name": "Emperormummy", + "url": "https://github.com/Emperormummy" }, { - "avatar": "https://avatars.githubusercontent.com/u/10564521?s=40&v=4", - "name": "ggfan", - "url": "https://github.com/ggfan" + "avatar": "https://avatars.githubusercontent.com/u/130994216?s=40&v=4", + "name": "xventivs", + "url": "https://github.com/xventivs" }, { - "avatar": "https://avatars.githubusercontent.com/u/4574498?s=40&v=4", - "name": "jsaund", - "url": "https://github.com/jsaund" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/130181130?s=40&v=4", - "name": "borgerag", - "url": "https://github.com/borgerag" + "avatar": "https://avatars.githubusercontent.com/u/133746295?s=40&v=4", + "name": "souffly007", + "url": "https://github.com/souffly007" } ] }, { - "title": "jellyfin/jellyfin-android", - "url": "https://github.com/jellyfin/jellyfin-android", - "description": "Android Client for Jellyfin", + "title": "tasks/tasks", + "url": "https://github.com/tasks/tasks", + "description": "Bringing Astrid Tasks back from the dead", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "1,579", - "forks": "252", - "addStars": "23", + "stars": "3,704", + "forks": "508", + "addStars": "29", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5903699?s=40&v=4", - "name": "Maxr1998", - "url": "https://github.com/Maxr1998" + "avatar": "https://avatars.githubusercontent.com/u/220908?s=40&v=4", + "name": "abaker", + "url": "https://github.com/abaker" }, { - "avatar": "https://avatars.githubusercontent.com/u/2305178?s=40&v=4", - "name": "nielsvanvelzen", - "url": "https://github.com/nielsvanvelzen" + "avatar": "https://avatars.githubusercontent.com/u/622224?s=40&v=4", + "name": "sbosley", + "url": "https://github.com/sbosley" }, { - "avatar": "https://avatars.githubusercontent.com/u/4700006?s=40&v=4", - "name": "WWWesten", - "url": "https://github.com/WWWesten" + "avatar": "https://avatars.githubusercontent.com/u/26360935?s=40&v=4", + "name": "FlorianLeChat", + "url": "https://github.com/FlorianLeChat" }, { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" + "avatar": "https://avatars.githubusercontent.com/u/335391?s=40&v=4", + "name": "StingerAJ", + "url": "https://github.com/StingerAJ" } ] }, @@ -710,7 +604,7 @@ "description": "A Collection on all Jetpack compose UI elements, Layouts, Widgets and Demo screens to see it's potential", "language": "Kotlin", "languageColor": "#A97BFF", - "stars": "6,323", + "stars": "6,324", "forks": "807", "addStars": "17", "contributors": [ @@ -740,6 +634,107 @@ "url": "https://github.com/iamjosephmj" } ] + }, + { + "title": "JetBrains/compose-multiplatform", + "url": "https://github.com/JetBrains/compose-multiplatform", + "description": "Compose Multiplatform, a modern UI framework for Kotlin that makes building performant and beautiful user interfaces easy and enjoyable.", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "16,326", + "forks": "1,181", + "addStars": "46", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5963351?s=40&v=4", + "name": "igordmn", + "url": "https://github.com/igordmn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25667761?s=40&v=4", + "name": "Schahen", + "url": "https://github.com/Schahen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/654232?s=40&v=4", + "name": "AlexeyTsvetkov", + "url": "https://github.com/AlexeyTsvetkov" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7372778?s=40&v=4", + "name": "eymar", + "url": "https://github.com/eymar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2600522?s=40&v=4", + "name": "olonho", + "url": "https://github.com/olonho" + } + ] + }, + { + "title": "tanujnotes/Olauncher", + "url": "https://github.com/tanujnotes/Olauncher", + "description": "Minimal AF Launcher for Android. Reduce your screen time. Daily wallpapers.", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "2,105", + "forks": "226", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11060605?s=40&v=4", + "name": "tanujnotes", + "url": "https://github.com/tanujnotes" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/82452881?s=40&v=4", + "name": "J-cart", + "url": "https://github.com/J-cart" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/78449553?s=40&v=4", + "name": "Caballero-Arepa", + "url": "https://github.com/Caballero-Arepa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15873152?s=40&v=4", + "name": "paperclipstudio", + "url": "https://github.com/paperclipstudio" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5739987?s=40&v=4", + "name": "picimako", + "url": "https://github.com/picimako" + } + ] + }, + { + "title": "HighCapable/YukiHookAPI", + "url": "https://github.com/HighCapable/YukiHookAPI", + "description": "⛱️ An efficient Hook API and Xposed Module solution built in Kotlin.", + "language": "Kotlin", + "languageColor": "#A97BFF", + "stars": "1,448", + "forks": "108", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/37344460?s=40&v=4", + "name": "fankes", + "url": "https://github.com/fankes" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/56707259?s=40&v=4", + "name": "pwh-pwh", + "url": "https://github.com/pwh-pwh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/78157415?s=40&v=4", + "name": "kazutoiris", + "url": "https://github.com/kazutoiris" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/kotlin.xml b/data/weekly/kotlin.xml index d01162e8cab3..182d0fb0578f 100644 --- a/data/weekly/kotlin.xml +++ b/data/weekly/kotlin.xml @@ -3,7 +3,7 @@ GitHub Kotlin Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kotlin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT android/nowinandroid https://github.com/android/nowinandroid @@ -11,7 +11,7 @@ https://github.com/android/nowinandroid Kotlin #A97BFF - 17,306 + 17,310 3,147 322 @@ -43,40 +43,78 @@ - amir1376/ab-download-manager - https://github.com/amir1376/ab-download-manager - A Download Manager that speeds up your downloads - https://github.com/amir1376/ab-download-manager + ReVanced/revanced-patches + https://github.com/ReVanced/revanced-patches + 🧩 Patches for ReVanced + https://github.com/ReVanced/revanced-patches Kotlin #A97BFF - 3,234 - 161 - 288 + 2,546 + 294 + 65 - https://avatars.githubusercontent.com/u/38394888?s=40&v=4 - amir1376 - https://github.com/amir1376 + https://avatars.githubusercontent.com/u/13122796?s=40&v=4 + oSumAtrIX + https://github.com/oSumAtrIX - https://avatars.githubusercontent.com/u/106905365?s=40&v=4 - dagimg-dot - https://github.com/dagimg-dot + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot - https://avatars.githubusercontent.com/u/56180050?s=40&v=4 - sitiom - https://github.com/sitiom + https://avatars.githubusercontent.com/u/118716522?s=40&v=4 + LisoUseInAIKyrios + https://github.com/LisoUseInAIKyrios - https://avatars.githubusercontent.com/u/32303768?s=40&v=4 - peanut996 - https://github.com/peanut996 + https://avatars.githubusercontent.com/u/107796137?s=40&v=4 + johnconner122 + https://github.com/johnconner122 - https://avatars.githubusercontent.com/u/60595427?s=40&v=4 - AliMehraji - https://github.com/AliMehraji + https://avatars.githubusercontent.com/u/119133492?s=40&v=4 + revanced-bot + https://github.com/revanced-bot + + + + + JetBrains/kotlin + https://github.com/JetBrains/kotlin + The Kotlin Programming Language. + https://github.com/JetBrains/kotlin + Kotlin + #A97BFF + 49,447 + 5,783 + 71 + + + https://avatars.githubusercontent.com/u/292714?s=40&v=4 + udalov + https://github.com/udalov + + + https://avatars.githubusercontent.com/u/1127631?s=40&v=4 + mglukhikh + https://github.com/mglukhikh + + + https://avatars.githubusercontent.com/u/908958?s=40&v=4 + goodwinnk + https://github.com/goodwinnk + + + https://avatars.githubusercontent.com/u/14974409?s=40&v=4 + demiurg906 + https://github.com/demiurg906 + + + https://avatars.githubusercontent.com/u/863207?s=40&v=4 + dzharkov + https://github.com/dzharkov @@ -87,7 +125,7 @@ https://github.com/android/compose-samples Kotlin #A97BFF - 20,337 + 20,342 4,840 78 @@ -125,7 +163,7 @@ https://github.com/square/okhttp Kotlin #A97BFF - 45,905 + 45,906 9,163 36 @@ -151,82 +189,6 @@ - - ReVanced/revanced-patches - https://github.com/ReVanced/revanced-patches - 🧩 Patches for ReVanced - https://github.com/ReVanced/revanced-patches - Kotlin - #A97BFF - 2,545 - 294 - 65 - - - https://avatars.githubusercontent.com/u/13122796?s=40&v=4 - oSumAtrIX - https://github.com/oSumAtrIX - - - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot - - - https://avatars.githubusercontent.com/u/118716522?s=40&v=4 - LisoUseInAIKyrios - https://github.com/LisoUseInAIKyrios - - - https://avatars.githubusercontent.com/u/107796137?s=40&v=4 - johnconner122 - https://github.com/johnconner122 - - - https://avatars.githubusercontent.com/u/119133492?s=40&v=4 - revanced-bot - https://github.com/revanced-bot - - - - - JetBrains/kotlin - https://github.com/JetBrains/kotlin - The Kotlin Programming Language. - https://github.com/JetBrains/kotlin - Kotlin - #A97BFF - 49,445 - 5,781 - 71 - - - https://avatars.githubusercontent.com/u/292714?s=40&v=4 - udalov - https://github.com/udalov - - - https://avatars.githubusercontent.com/u/1127631?s=40&v=4 - mglukhikh - https://github.com/mglukhikh - - - https://avatars.githubusercontent.com/u/908958?s=40&v=4 - goodwinnk - https://github.com/goodwinnk - - - https://avatars.githubusercontent.com/u/14974409?s=40&v=4 - demiurg906 - https://github.com/demiurg906 - - - https://avatars.githubusercontent.com/u/863207?s=40&v=4 - dzharkov - https://github.com/dzharkov - - - mpv-android/mpv-android https://github.com/mpv-android/mpv-android @@ -266,30 +228,78 @@ - gedoor/legado - https://github.com/gedoor/legado - Legado 3.0 Book Reader with powerful controls & full functions❤️阅读3.0, 阅读是一款可以自定义来源阅读网络内容的工具,为广大网络文学爱好者提供一种方便、快捷舒适的试读体验。 - https://github.com/gedoor/legado + amir1376/ab-download-manager + https://github.com/amir1376/ab-download-manager + A Download Manager that speeds up your downloads + https://github.com/amir1376/ab-download-manager Kotlin #A97BFF - 30,116 - 3,944 - 217 + 3,250 + 163 + 288 - https://avatars.githubusercontent.com/u/22701807?s=40&v=4 - gedoor - https://github.com/gedoor + https://avatars.githubusercontent.com/u/38394888?s=40&v=4 + amir1376 + https://github.com/amir1376 - https://avatars.githubusercontent.com/u/8674809?s=40&v=4 - 821938089 - https://github.com/821938089 + https://avatars.githubusercontent.com/u/106905365?s=40&v=4 + dagimg-dot + https://github.com/dagimg-dot - https://avatars.githubusercontent.com/u/82232510?s=40&v=4 - Xwite - https://github.com/Xwite + https://avatars.githubusercontent.com/u/56180050?s=40&v=4 + sitiom + https://github.com/sitiom + + + https://avatars.githubusercontent.com/u/32303768?s=40&v=4 + peanut996 + https://github.com/peanut996 + + + https://avatars.githubusercontent.com/u/60595427?s=40&v=4 + AliMehraji + https://github.com/AliMehraji + + + + + signalapp/Signal-Android + https://github.com/signalapp/Signal-Android + A private messenger for Android. + https://github.com/signalapp/Signal-Android + Kotlin + #A97BFF + 25,673 + 6,167 + 36 + + + https://avatars.githubusercontent.com/u/37311915?s=40&v=4 + greyson-signal + https://github.com/greyson-signal + + + https://avatars.githubusercontent.com/u/54450162?s=40&v=4 + alex-signal + https://github.com/alex-signal + + + https://avatars.githubusercontent.com/u/512439?s=40&v=4 + moxie0 + https://github.com/moxie0 + + + https://avatars.githubusercontent.com/u/66265416?s=40&v=4 + cody-signal + https://github.com/cody-signal + + + https://avatars.githubusercontent.com/u/48254818?s=40&v=4 + alan-signal + https://github.com/alan-signal @@ -331,77 +341,6 @@ - - tanujnotes/Olauncher - https://github.com/tanujnotes/Olauncher - Minimal AF Launcher for Android. Reduce your screen time. Daily wallpapers. - https://github.com/tanujnotes/Olauncher - Kotlin - #A97BFF - 2,105 - 226 - 19 - - - https://avatars.githubusercontent.com/u/11060605?s=40&v=4 - tanujnotes - https://github.com/tanujnotes - - - https://avatars.githubusercontent.com/u/82452881?s=40&v=4 - J-cart - https://github.com/J-cart - - - https://avatars.githubusercontent.com/u/78449553?s=40&v=4 - Caballero-Arepa - https://github.com/Caballero-Arepa - - - https://avatars.githubusercontent.com/u/15873152?s=40&v=4 - paperclipstudio - https://github.com/paperclipstudio - - - https://avatars.githubusercontent.com/u/5739987?s=40&v=4 - picimako - https://github.com/picimako - - - - - libre-tube/LibreTube - https://github.com/libre-tube/LibreTube - An alternative frontend for YouTube, for Android. - https://github.com/libre-tube/LibreTube - Kotlin - #A97BFF - 8,782 - 446 - 45 - - - https://avatars.githubusercontent.com/u/82752168?s=40&v=4 - Bnyro - https://github.com/Bnyro - - - https://avatars.githubusercontent.com/u/31027858?s=40&v=4 - Isira-Seneviratne - https://github.com/Isira-Seneviratne - - - https://avatars.githubusercontent.com/u/106192957?s=40&v=4 - Atrafon - https://github.com/Atrafon - - - https://avatars.githubusercontent.com/u/13156001?s=40&v=4 - rex07 - https://github.com/rex07 - - - Kunzisoft/KeePassDX https://github.com/Kunzisoft/KeePassDX @@ -440,44 +379,6 @@ - - VREMSoftwareDevelopment/WiFiAnalyzer - https://github.com/VREMSoftwareDevelopment/WiFiAnalyzer - Android application to analyze WiFi signals. - https://github.com/VREMSoftwareDevelopment/WiFiAnalyzer - Kotlin - #A97BFF - 3,563 - 624 - 21 - - - https://avatars.githubusercontent.com/u/6217364?s=40&v=4 - VREMSoftwareDevelopment - https://github.com/VREMSoftwareDevelopment - - - https://avatars.githubusercontent.com/u/36028424?s=40&v=4 - Tobaloidee - https://github.com/Tobaloidee - - - https://avatars.githubusercontent.com/u/25266387?s=40&v=4 - Leptopoda - https://github.com/Leptopoda - - - https://avatars.githubusercontent.com/u/6266037?s=40&v=4 - Findus23 - https://github.com/Findus23 - - - https://avatars.githubusercontent.com/u/2053884?s=40&v=4 - GreenLunar - https://github.com/GreenLunar - - - ReVanced/revanced-cli https://github.com/ReVanced/revanced-cli @@ -486,7 +387,7 @@ Kotlin #A97BFF 1,088 - 169 + 168 20 @@ -517,30 +418,63 @@ - HighCapable/YukiHookAPI - https://github.com/HighCapable/YukiHookAPI - ⛱️ An efficient Hook API and Xposed Module solution built in Kotlin. - https://github.com/HighCapable/YukiHookAPI + libre-tube/LibreTube + https://github.com/libre-tube/LibreTube + An alternative frontend for YouTube, for Android. + https://github.com/libre-tube/LibreTube Kotlin #A97BFF - 1,446 - 108 - 19 + 8,782 + 446 + 45 - https://avatars.githubusercontent.com/u/37344460?s=40&v=4 - fankes - https://github.com/fankes + https://avatars.githubusercontent.com/u/82752168?s=40&v=4 + Bnyro + https://github.com/Bnyro - https://avatars.githubusercontent.com/u/56707259?s=40&v=4 - pwh-pwh - https://github.com/pwh-pwh + https://avatars.githubusercontent.com/u/31027858?s=40&v=4 + Isira-Seneviratne + https://github.com/Isira-Seneviratne - https://avatars.githubusercontent.com/u/78157415?s=40&v=4 - kazutoiris - https://github.com/kazutoiris + https://avatars.githubusercontent.com/u/106192957?s=40&v=4 + Atrafon + https://github.com/Atrafon + + + https://avatars.githubusercontent.com/u/13156001?s=40&v=4 + rex07 + https://github.com/rex07 + + + + + gedoor/legado + https://github.com/gedoor/legado + Legado 3.0 Book Reader with powerful controls & full functions❤️阅读3.0, 阅读是一款可以自定义来源阅读网络内容的工具,为广大网络文学爱好者提供一种方便、快捷舒适的试读体验。 + https://github.com/gedoor/legado + Kotlin + #A97BFF + 30,122 + 3,945 + 217 + + + https://avatars.githubusercontent.com/u/22701807?s=40&v=4 + gedoor + https://github.com/gedoor + + + https://avatars.githubusercontent.com/u/8674809?s=40&v=4 + 821938089 + https://github.com/821938089 + + + https://avatars.githubusercontent.com/u/82232510?s=40&v=4 + Xwite + https://github.com/Xwite @@ -551,7 +485,7 @@ https://github.com/Arturo254/OpenTune Kotlin #A97BFF - 281 + 282 14 33 @@ -578,149 +512,106 @@ - CCBlueX/LiquidBounce - https://github.com/CCBlueX/LiquidBounce - A free mixin-based injection hacked client for Minecraft using the Fabric API - https://github.com/CCBlueX/LiquidBounce - Kotlin - #A97BFF - 1,495 - 484 - 12 - - - https://avatars.githubusercontent.com/u/12410754?s=40&v=4 - 1zun4 - https://github.com/1zun4 - - - https://avatars.githubusercontent.com/u/22642852?s=40&v=4 - superblaubeere27 - https://github.com/superblaubeere27 - - - https://avatars.githubusercontent.com/u/70612656?s=40&v=4 - mems01 - https://github.com/mems01 - - - https://avatars.githubusercontent.com/u/18741573?s=40&v=4 - SenkJu - https://github.com/SenkJu - - - https://avatars.githubusercontent.com/u/109693935?s=40&v=4 - ccetl - https://github.com/ccetl - - - - - signalapp/Signal-Android - https://github.com/signalapp/Signal-Android - A private messenger for Android. - https://github.com/signalapp/Signal-Android + android/architecture-samples + https://github.com/android/architecture-samples + A collection of samples to discuss and showcase different architectural tools and patterns for Android apps. + https://github.com/android/architecture-samples Kotlin #A97BFF - 25,673 - 6,166 - 36 + 44,519 + 11,659 + 32 - https://avatars.githubusercontent.com/u/37311915?s=40&v=4 - greyson-signal - https://github.com/greyson-signal + https://avatars.githubusercontent.com/u/609125?s=40&v=4 + JoseAlcerreca + https://github.com/JoseAlcerreca - https://avatars.githubusercontent.com/u/54450162?s=40&v=4 - alex-signal - https://github.com/alex-signal + https://avatars.githubusercontent.com/u/13559113?s=40&v=4 + slinzner + https://github.com/slinzner - https://avatars.githubusercontent.com/u/512439?s=40&v=4 - moxie0 - https://github.com/moxie0 + https://avatars.githubusercontent.com/u/873212?s=40&v=4 + dturner + https://github.com/dturner - https://avatars.githubusercontent.com/u/66265416?s=40&v=4 - cody-signal - https://github.com/cody-signal + https://avatars.githubusercontent.com/u/2998890?s=40&v=4 + florina-muntenescu + https://github.com/florina-muntenescu - https://avatars.githubusercontent.com/u/48254818?s=40&v=4 - alan-signal - https://github.com/alan-signal + https://avatars.githubusercontent.com/u/531613?s=40&v=4 + malmstein + https://github.com/malmstein - android/camera-samples - https://github.com/android/camera-samples - Multiple samples showing the best practices in camera APIs on Android. - https://github.com/android/camera-samples + T8RIN/ImageToolbox + https://github.com/T8RIN/ImageToolbox + 🖼️ Image Toolbox is a powerful app for advanced image manipulation. It offers dozens of features, from basic tools like crop and draw to filters, OCR, and a wide range of image processing options + https://github.com/T8RIN/ImageToolbox Kotlin #A97BFF - 5,036 - 2,346 - 14 + 4,084 + 195 + 56 - https://avatars.githubusercontent.com/u/41451293?s=40&v=4 - owahltinez - https://github.com/owahltinez + https://avatars.githubusercontent.com/u/52178347?s=40&v=4 + T8RIN + https://github.com/T8RIN - https://avatars.githubusercontent.com/u/6404236?s=40&v=4 - donovanfm - https://github.com/donovanfm + https://avatars.githubusercontent.com/u/68811535?s=40&v=4 + Emperormummy + https://github.com/Emperormummy - https://avatars.githubusercontent.com/u/10564521?s=40&v=4 - ggfan - https://github.com/ggfan + https://avatars.githubusercontent.com/u/130994216?s=40&v=4 + xventivs + https://github.com/xventivs - https://avatars.githubusercontent.com/u/4574498?s=40&v=4 - jsaund - https://github.com/jsaund - - - https://avatars.githubusercontent.com/u/130181130?s=40&v=4 - borgerag - https://github.com/borgerag + https://avatars.githubusercontent.com/u/133746295?s=40&v=4 + souffly007 + https://github.com/souffly007 - jellyfin/jellyfin-android - https://github.com/jellyfin/jellyfin-android - Android Client for Jellyfin - https://github.com/jellyfin/jellyfin-android + tasks/tasks + https://github.com/tasks/tasks + Bringing Astrid Tasks back from the dead + https://github.com/tasks/tasks Kotlin #A97BFF - 1,579 - 252 - 23 + 3,704 + 508 + 29 - https://avatars.githubusercontent.com/u/5903699?s=40&v=4 - Maxr1998 - https://github.com/Maxr1998 + https://avatars.githubusercontent.com/u/220908?s=40&v=4 + abaker + https://github.com/abaker - https://avatars.githubusercontent.com/u/2305178?s=40&v=4 - nielsvanvelzen - https://github.com/nielsvanvelzen + https://avatars.githubusercontent.com/u/622224?s=40&v=4 + sbosley + https://github.com/sbosley - https://avatars.githubusercontent.com/u/4700006?s=40&v=4 - WWWesten - https://github.com/WWWesten + https://avatars.githubusercontent.com/u/26360935?s=40&v=4 + FlorianLeChat + https://github.com/FlorianLeChat - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate + https://avatars.githubusercontent.com/u/335391?s=40&v=4 + StingerAJ + https://github.com/StingerAJ @@ -731,7 +622,7 @@ https://github.com/Gurupreet/ComposeCookBook Kotlin #A97BFF - 6,323 + 6,324 807 17 @@ -762,5 +653,109 @@ + + JetBrains/compose-multiplatform + https://github.com/JetBrains/compose-multiplatform + Compose Multiplatform, a modern UI framework for Kotlin that makes building performant and beautiful user interfaces easy and enjoyable. + https://github.com/JetBrains/compose-multiplatform + Kotlin + #A97BFF + 16,326 + 1,181 + 46 + + + https://avatars.githubusercontent.com/u/5963351?s=40&v=4 + igordmn + https://github.com/igordmn + + + https://avatars.githubusercontent.com/u/25667761?s=40&v=4 + Schahen + https://github.com/Schahen + + + https://avatars.githubusercontent.com/u/654232?s=40&v=4 + AlexeyTsvetkov + https://github.com/AlexeyTsvetkov + + + https://avatars.githubusercontent.com/u/7372778?s=40&v=4 + eymar + https://github.com/eymar + + + https://avatars.githubusercontent.com/u/2600522?s=40&v=4 + olonho + https://github.com/olonho + + + + + tanujnotes/Olauncher + https://github.com/tanujnotes/Olauncher + Minimal AF Launcher for Android. Reduce your screen time. Daily wallpapers. + https://github.com/tanujnotes/Olauncher + Kotlin + #A97BFF + 2,105 + 226 + 19 + + + https://avatars.githubusercontent.com/u/11060605?s=40&v=4 + tanujnotes + https://github.com/tanujnotes + + + https://avatars.githubusercontent.com/u/82452881?s=40&v=4 + J-cart + https://github.com/J-cart + + + https://avatars.githubusercontent.com/u/78449553?s=40&v=4 + Caballero-Arepa + https://github.com/Caballero-Arepa + + + https://avatars.githubusercontent.com/u/15873152?s=40&v=4 + paperclipstudio + https://github.com/paperclipstudio + + + https://avatars.githubusercontent.com/u/5739987?s=40&v=4 + picimako + https://github.com/picimako + + + + + HighCapable/YukiHookAPI + https://github.com/HighCapable/YukiHookAPI + ⛱️ An efficient Hook API and Xposed Module solution built in Kotlin. + https://github.com/HighCapable/YukiHookAPI + Kotlin + #A97BFF + 1,448 + 108 + 19 + + + https://avatars.githubusercontent.com/u/37344460?s=40&v=4 + fankes + https://github.com/fankes + + + https://avatars.githubusercontent.com/u/56707259?s=40&v=4 + pwh-pwh + https://github.com/pwh-pwh + + + https://avatars.githubusercontent.com/u/78157415?s=40&v=4 + kazutoiris + https://github.com/kazutoiris + + + \ No newline at end of file diff --git a/data/weekly/krl.json b/data/weekly/krl.json index 95dc27f29499..cc2b9cff0f37 100644 --- a/data/weekly/krl.json +++ b/data/weekly/krl.json @@ -2,6 +2,6 @@ "title": "GitHub Krl Languages Weekly Trending", "description": "Weekly Trending of Krl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/krl.xml b/data/weekly/krl.xml index b3dab62316f0..95b56c636782 100644 --- a/data/weekly/krl.xml +++ b/data/weekly/krl.xml @@ -3,6 +3,6 @@ GitHub Krl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Krl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kusto.json b/data/weekly/kusto.json index 00b82c58ed57..0add8d93b8ab 100644 --- a/data/weekly/kusto.json +++ b/data/weekly/kusto.json @@ -2,6 +2,6 @@ "title": "GitHub Kusto Languages Weekly Trending", "description": "Weekly Trending of Kusto Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kusto.xml b/data/weekly/kusto.xml index cbd3a886eadf..340e1fc9eb28 100644 --- a/data/weekly/kusto.xml +++ b/data/weekly/kusto.xml @@ -3,6 +3,6 @@ GitHub Kusto Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kusto Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/kvlang.json b/data/weekly/kvlang.json index 8250e866f719..41fa737501a6 100644 --- a/data/weekly/kvlang.json +++ b/data/weekly/kvlang.json @@ -2,6 +2,6 @@ "title": "GitHub Kvlang Languages Weekly Trending", "description": "Weekly Trending of Kvlang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/kvlang.xml b/data/weekly/kvlang.xml index 0739dd17141d..f2c0f5ee0998 100644 --- a/data/weekly/kvlang.xml +++ b/data/weekly/kvlang.xml @@ -3,6 +3,6 @@ GitHub Kvlang Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Kvlang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/labview.json b/data/weekly/labview.json index 558b6821b659..6600e3a0e9af 100644 --- a/data/weekly/labview.json +++ b/data/weekly/labview.json @@ -2,6 +2,6 @@ "title": "GitHub Labview Languages Weekly Trending", "description": "Weekly Trending of Labview Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/labview.xml b/data/weekly/labview.xml index 42f1b14b6c9d..d747b32e184d 100644 --- a/data/weekly/labview.xml +++ b/data/weekly/labview.xml @@ -3,6 +3,6 @@ GitHub Labview Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Labview Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lark.json b/data/weekly/lark.json index 8fa73efd18cc..d5350fb2e7a0 100644 --- a/data/weekly/lark.json +++ b/data/weekly/lark.json @@ -2,6 +2,6 @@ "title": "GitHub Lark Languages Weekly Trending", "description": "Weekly Trending of Lark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lark.xml b/data/weekly/lark.xml index 000719f4667d..e4f6767ec6d2 100644 --- a/data/weekly/lark.xml +++ b/data/weekly/lark.xml @@ -3,6 +3,6 @@ GitHub Lark Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lasso.json b/data/weekly/lasso.json index e353afd0a7e0..dcd1e13320cc 100644 --- a/data/weekly/lasso.json +++ b/data/weekly/lasso.json @@ -2,6 +2,6 @@ "title": "GitHub Lasso Languages Weekly Trending", "description": "Weekly Trending of Lasso Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lasso.xml b/data/weekly/lasso.xml index 771201178676..44856a23da09 100644 --- a/data/weekly/lasso.xml +++ b/data/weekly/lasso.xml @@ -3,6 +3,6 @@ GitHub Lasso Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lasso Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/latte.json b/data/weekly/latte.json index 1fb23561d1c4..c487236c6025 100644 --- a/data/weekly/latte.json +++ b/data/weekly/latte.json @@ -2,6 +2,6 @@ "title": "GitHub Latte Languages Weekly Trending", "description": "Weekly Trending of Latte Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/latte.xml b/data/weekly/latte.xml index 829e7f83ffcf..0333af9d3b64 100644 --- a/data/weekly/latte.xml +++ b/data/weekly/latte.xml @@ -3,6 +3,6 @@ GitHub Latte Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Latte Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lean-4.json b/data/weekly/lean-4.json index d612749143ff..ebe8f6ec1477 100644 --- a/data/weekly/lean-4.json +++ b/data/weekly/lean-4.json @@ -2,6 +2,6 @@ "title": "GitHub Lean-4 Languages Weekly Trending", "description": "Weekly Trending of Lean-4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lean-4.xml b/data/weekly/lean-4.xml index 55535e7be432..05f6de49b69e 100644 --- a/data/weekly/lean-4.xml +++ b/data/weekly/lean-4.xml @@ -3,6 +3,6 @@ GitHub Lean-4 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lean-4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lean.json b/data/weekly/lean.json index 42d44f51de4c..1885b34e2ba7 100644 --- a/data/weekly/lean.json +++ b/data/weekly/lean.json @@ -2,7 +2,7 @@ "title": "GitHub Lean Languages Weekly Trending", "description": "Weekly Trending of Lean Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "leanprover/lean4", @@ -10,7 +10,7 @@ "description": "Lean 4 programming language and theorem prover", "language": "Lean", "languageColor": "#ccc", - "stars": "4,739", + "stars": "4,737", "forks": "427", "addStars": "26", "contributors": [ diff --git a/data/weekly/lean.xml b/data/weekly/lean.xml index 7d942cff3e09..d9f2a7e1226c 100644 --- a/data/weekly/lean.xml +++ b/data/weekly/lean.xml @@ -3,7 +3,7 @@ GitHub Lean Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lean Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT leanprover/lean4 https://github.com/leanprover/lean4 @@ -11,7 +11,7 @@ https://github.com/leanprover/lean4 Lean #ccc - 4,739 + 4,737 427 26 diff --git a/data/weekly/less.json b/data/weekly/less.json index 67e2597f8f74..225284f3ff51 100644 --- a/data/weekly/less.json +++ b/data/weekly/less.json @@ -2,7 +2,7 @@ "title": "GitHub Less Languages Weekly Trending", "description": "Weekly Trending of Less Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "jerrykuku/luci-theme-argon", @@ -10,7 +10,7 @@ "description": "Argon is a clean and tidy OpenWrt LuCI theme that allows users to customize their login interface with images or videos. It also supports automatic and manual switching between light and dark modes.", "language": "Less", "languageColor": "#1d365d", - "stars": "4,120", + "stars": "4,121", "forks": "803", "addStars": "23", "contributors": [ @@ -79,7 +79,7 @@ "description": "Open source neutral style icon system", "language": "Less", "languageColor": "#1d365d", - "stars": "6,942", + "stars": "6,944", "forks": "499", "addStars": "20", "contributors": [ diff --git a/data/weekly/less.xml b/data/weekly/less.xml index ce6f799281a3..0340f2dbbc9e 100644 --- a/data/weekly/less.xml +++ b/data/weekly/less.xml @@ -3,7 +3,7 @@ GitHub Less Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Less Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT jerrykuku/luci-theme-argon https://github.com/jerrykuku/luci-theme-argon @@ -11,7 +11,7 @@ https://github.com/jerrykuku/luci-theme-argon Less #1d365d - 4,120 + 4,121 803 23 @@ -82,7 +82,7 @@ https://github.com/Remix-Design/RemixIcon Less #1d365d - 6,942 + 6,944 499 20 diff --git a/data/weekly/lex.json b/data/weekly/lex.json index 80633a906de0..f7946bf83500 100644 --- a/data/weekly/lex.json +++ b/data/weekly/lex.json @@ -2,6 +2,6 @@ "title": "GitHub Lex Languages Weekly Trending", "description": "Weekly Trending of Lex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lex.xml b/data/weekly/lex.xml index 058d5765fab9..f66cc0a31d0b 100644 --- a/data/weekly/lex.xml +++ b/data/weekly/lex.xml @@ -3,6 +3,6 @@ GitHub Lex Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lfe.json b/data/weekly/lfe.json index ceeda6d92719..9747d6b37a69 100644 --- a/data/weekly/lfe.json +++ b/data/weekly/lfe.json @@ -2,6 +2,6 @@ "title": "GitHub Lfe Languages Weekly Trending", "description": "Weekly Trending of Lfe Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lfe.xml b/data/weekly/lfe.xml index e6ccca0e4252..8915b34e974c 100644 --- a/data/weekly/lfe.xml +++ b/data/weekly/lfe.xml @@ -3,6 +3,6 @@ GitHub Lfe Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lfe Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ligolang.json b/data/weekly/ligolang.json index d8af51e35057..bdee8b563b5a 100644 --- a/data/weekly/ligolang.json +++ b/data/weekly/ligolang.json @@ -2,6 +2,6 @@ "title": "GitHub Ligolang Languages Weekly Trending", "description": "Weekly Trending of Ligolang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ligolang.xml b/data/weekly/ligolang.xml index f887f4061e54..33e7b60c6465 100644 --- a/data/weekly/ligolang.xml +++ b/data/weekly/ligolang.xml @@ -3,6 +3,6 @@ GitHub Ligolang Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ligolang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lilypond.json b/data/weekly/lilypond.json index afb9eb6703ca..81412988627e 100644 --- a/data/weekly/lilypond.json +++ b/data/weekly/lilypond.json @@ -2,6 +2,6 @@ "title": "GitHub Lilypond Languages Weekly Trending", "description": "Weekly Trending of Lilypond Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lilypond.xml b/data/weekly/lilypond.xml index 8ef85db8df50..96aaabd4631d 100644 --- a/data/weekly/lilypond.xml +++ b/data/weekly/lilypond.xml @@ -3,6 +3,6 @@ GitHub Lilypond Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lilypond Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/limbo.json b/data/weekly/limbo.json index 76166975b654..9af7766ec04b 100644 --- a/data/weekly/limbo.json +++ b/data/weekly/limbo.json @@ -2,6 +2,6 @@ "title": "GitHub Limbo Languages Weekly Trending", "description": "Weekly Trending of Limbo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/limbo.xml b/data/weekly/limbo.xml index 99452e8ceae8..f7f082a1be3c 100644 --- a/data/weekly/limbo.xml +++ b/data/weekly/limbo.xml @@ -3,6 +3,6 @@ GitHub Limbo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Limbo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/linker-script.json b/data/weekly/linker-script.json index a7ca2995f1b1..3828cb315bd4 100644 --- a/data/weekly/linker-script.json +++ b/data/weekly/linker-script.json @@ -2,6 +2,6 @@ "title": "GitHub Linker-script Languages Weekly Trending", "description": "Weekly Trending of Linker-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/linker-script.xml b/data/weekly/linker-script.xml index 863bca14ea37..f49a224bdffb 100644 --- a/data/weekly/linker-script.xml +++ b/data/weekly/linker-script.xml @@ -3,6 +3,6 @@ GitHub Linker-script Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Linker-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/linux-kernel-module.json b/data/weekly/linux-kernel-module.json index 5481e9e7167d..6035f3f00d6f 100644 --- a/data/weekly/linux-kernel-module.json +++ b/data/weekly/linux-kernel-module.json @@ -2,6 +2,6 @@ "title": "GitHub Linux-kernel-module Languages Weekly Trending", "description": "Weekly Trending of Linux-kernel-module Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/linux-kernel-module.xml b/data/weekly/linux-kernel-module.xml index df7bb1639290..889d441d0260 100644 --- a/data/weekly/linux-kernel-module.xml +++ b/data/weekly/linux-kernel-module.xml @@ -3,6 +3,6 @@ GitHub Linux-kernel-module Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Linux-kernel-module Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/liquid.json b/data/weekly/liquid.json index 75d1b39a2fea..b3144b91141d 100644 --- a/data/weekly/liquid.json +++ b/data/weekly/liquid.json @@ -2,7 +2,7 @@ "title": "GitHub Liquid Languages Weekly Trending", "description": "Weekly Trending of Liquid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Shopify/dawn", @@ -11,7 +11,7 @@ "language": "Liquid", "languageColor": "#67b8de", "stars": "2,541", - "forks": "3,445", + "forks": "3,443", "addStars": "9", "contributors": [ { diff --git a/data/weekly/liquid.xml b/data/weekly/liquid.xml index 266240ef4ec3..44080e812130 100644 --- a/data/weekly/liquid.xml +++ b/data/weekly/liquid.xml @@ -3,7 +3,7 @@ GitHub Liquid Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Liquid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Shopify/dawn https://github.com/Shopify/dawn @@ -12,7 +12,7 @@ Liquid #67b8de 2,541 - 3,445 + 3,443 9 diff --git a/data/weekly/literate-agda.json b/data/weekly/literate-agda.json index ac33e99a9154..ce0f8a17a528 100644 --- a/data/weekly/literate-agda.json +++ b/data/weekly/literate-agda.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-agda Languages Weekly Trending", "description": "Weekly Trending of Literate-agda Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/literate-agda.xml b/data/weekly/literate-agda.xml index 93f57aa5b299..9f44043d4ecb 100644 --- a/data/weekly/literate-agda.xml +++ b/data/weekly/literate-agda.xml @@ -3,6 +3,6 @@ GitHub Literate-agda Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Literate-agda Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/literate-coffeescript.json b/data/weekly/literate-coffeescript.json index d58303047ef4..16dd3f9709f6 100644 --- a/data/weekly/literate-coffeescript.json +++ b/data/weekly/literate-coffeescript.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-coffeescript Languages Weekly Trending", "description": "Weekly Trending of Literate-coffeescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/literate-coffeescript.xml b/data/weekly/literate-coffeescript.xml index e207017b3444..3c92d0d3a44f 100644 --- a/data/weekly/literate-coffeescript.xml +++ b/data/weekly/literate-coffeescript.xml @@ -3,6 +3,6 @@ GitHub Literate-coffeescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Literate-coffeescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/literate-haskell.json b/data/weekly/literate-haskell.json index ce2b89faa794..582a53e3a1cf 100644 --- a/data/weekly/literate-haskell.json +++ b/data/weekly/literate-haskell.json @@ -2,6 +2,6 @@ "title": "GitHub Literate-haskell Languages Weekly Trending", "description": "Weekly Trending of Literate-haskell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/literate-haskell.xml b/data/weekly/literate-haskell.xml index 5538b87fbaa6..7289dbe457f3 100644 --- a/data/weekly/literate-haskell.xml +++ b/data/weekly/literate-haskell.xml @@ -3,6 +3,6 @@ GitHub Literate-haskell Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Literate-haskell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/livescript.json b/data/weekly/livescript.json index a6b85f116129..cb7c43cd749f 100644 --- a/data/weekly/livescript.json +++ b/data/weekly/livescript.json @@ -2,6 +2,6 @@ "title": "GitHub Livescript Languages Weekly Trending", "description": "Weekly Trending of Livescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/livescript.xml b/data/weekly/livescript.xml index aebbf486307b..af8f09aee19a 100644 --- a/data/weekly/livescript.xml +++ b/data/weekly/livescript.xml @@ -3,6 +3,6 @@ GitHub Livescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Livescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/llvm.json b/data/weekly/llvm.json index 861a589b7fb2..862c60610b85 100644 --- a/data/weekly/llvm.json +++ b/data/weekly/llvm.json @@ -2,7 +2,7 @@ "title": "GitHub Llvm Languages Weekly Trending", "description": "Weekly Trending of Llvm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "llvm/llvm-project", @@ -10,8 +10,8 @@ "description": "The LLVM Project is a collection of modular and reusable compiler and toolchain technologies.", "language": "LLVM", "languageColor": "#185619", - "stars": "29,256", - "forks": "12,086", + "stars": "29,263", + "forks": "12,092", "addStars": "134", "contributors": [ { @@ -41,43 +41,6 @@ } ] }, - { - "title": "intel/llvm", - "url": "https://github.com/intel/llvm", - "description": "Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects.", - "language": "LLVM", - "languageColor": "#185619", - "stars": "1,261", - "forks": "740", - "addStars": "6", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2175834?s=40&v=4", - "name": "RKSimon", - "url": "https://github.com/RKSimon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22566570?s=40&v=4", - "name": "topperc", - "url": "https://github.com/topperc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/416322?s=40&v=4", - "name": "MaskRay", - "url": "https://github.com/MaskRay" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/216080?s=40&v=4", - "name": "nikic", - "url": "https://github.com/nikic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", - "name": "arsenm", - "url": "https://github.com/arsenm" - } - ] - }, { "title": "oneapi-src/SYCLomatic", "url": "https://github.com/oneapi-src/SYCLomatic", @@ -116,39 +79,39 @@ ] }, { - "title": "KhronosGroup/SPIRV-LLVM-Translator", - "url": "https://github.com/KhronosGroup/SPIRV-LLVM-Translator", - "description": "A tool and a library for bi-directional translation between SPIR-V and LLVM IR", + "title": "intel/llvm", + "url": "https://github.com/intel/llvm", + "description": "Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects.", "language": "LLVM", "languageColor": "#185619", - "stars": "489", - "forks": "221", - "addStars": "1", + "stars": "1,261", + "forks": "740", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1358906?s=40&v=4", - "name": "svenvh", - "url": "https://github.com/svenvh" + "avatar": "https://avatars.githubusercontent.com/u/2175834?s=40&v=4", + "name": "RKSimon", + "url": "https://github.com/RKSimon" }, { - "avatar": "https://avatars.githubusercontent.com/u/18708689?s=40&v=4", - "name": "MrSidims", - "url": "https://github.com/MrSidims" + "avatar": "https://avatars.githubusercontent.com/u/22566570?s=40&v=4", + "name": "topperc", + "url": "https://github.com/topperc" }, { - "avatar": "https://avatars.githubusercontent.com/u/6615555?s=40&v=4", - "name": "AlexeySotkin", - "url": "https://github.com/AlexeySotkin" + "avatar": "https://avatars.githubusercontent.com/u/416322?s=40&v=4", + "name": "MaskRay", + "url": "https://github.com/MaskRay" }, { - "avatar": "https://avatars.githubusercontent.com/u/6145511?s=40&v=4", - "name": "yxsamliu", - "url": "https://github.com/yxsamliu" + "avatar": "https://avatars.githubusercontent.com/u/216080?s=40&v=4", + "name": "nikic", + "url": "https://github.com/nikic" }, { - "avatar": "https://avatars.githubusercontent.com/u/6417047?s=40&v=4", - "name": "AlexeySachkov", - "url": "https://github.com/AlexeySachkov" + "avatar": "https://avatars.githubusercontent.com/u/138339?s=40&v=4", + "name": "arsenm", + "url": "https://github.com/arsenm" } ] } diff --git a/data/weekly/llvm.xml b/data/weekly/llvm.xml index 7982b6ac97ae..827cbdaa6407 100644 --- a/data/weekly/llvm.xml +++ b/data/weekly/llvm.xml @@ -3,7 +3,7 @@ GitHub Llvm Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Llvm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT llvm/llvm-project https://github.com/llvm/llvm-project @@ -11,8 +11,8 @@ https://github.com/llvm/llvm-project LLVM #185619 - 29,256 - 12,086 + 29,263 + 12,092 134 @@ -42,44 +42,6 @@ - - intel/llvm - https://github.com/intel/llvm - Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects. - https://github.com/intel/llvm - LLVM - #185619 - 1,261 - 740 - 6 - - - https://avatars.githubusercontent.com/u/2175834?s=40&v=4 - RKSimon - https://github.com/RKSimon - - - https://avatars.githubusercontent.com/u/22566570?s=40&v=4 - topperc - https://github.com/topperc - - - https://avatars.githubusercontent.com/u/416322?s=40&v=4 - MaskRay - https://github.com/MaskRay - - - https://avatars.githubusercontent.com/u/216080?s=40&v=4 - nikic - https://github.com/nikic - - - https://avatars.githubusercontent.com/u/138339?s=40&v=4 - arsenm - https://github.com/arsenm - - - oneapi-src/SYCLomatic https://github.com/oneapi-src/SYCLomatic @@ -119,40 +81,40 @@ - KhronosGroup/SPIRV-LLVM-Translator - https://github.com/KhronosGroup/SPIRV-LLVM-Translator - A tool and a library for bi-directional translation between SPIR-V and LLVM IR - https://github.com/KhronosGroup/SPIRV-LLVM-Translator + intel/llvm + https://github.com/intel/llvm + Intel staging area for llvm.org contribution. Home for Intel LLVM-based projects. + https://github.com/intel/llvm LLVM #185619 - 489 - 221 - 1 + 1,261 + 740 + 6 - https://avatars.githubusercontent.com/u/1358906?s=40&v=4 - svenvh - https://github.com/svenvh + https://avatars.githubusercontent.com/u/2175834?s=40&v=4 + RKSimon + https://github.com/RKSimon - https://avatars.githubusercontent.com/u/18708689?s=40&v=4 - MrSidims - https://github.com/MrSidims + https://avatars.githubusercontent.com/u/22566570?s=40&v=4 + topperc + https://github.com/topperc - https://avatars.githubusercontent.com/u/6615555?s=40&v=4 - AlexeySotkin - https://github.com/AlexeySotkin + https://avatars.githubusercontent.com/u/416322?s=40&v=4 + MaskRay + https://github.com/MaskRay - https://avatars.githubusercontent.com/u/6145511?s=40&v=4 - yxsamliu - https://github.com/yxsamliu + https://avatars.githubusercontent.com/u/216080?s=40&v=4 + nikic + https://github.com/nikic - https://avatars.githubusercontent.com/u/6417047?s=40&v=4 - AlexeySachkov - https://github.com/AlexeySachkov + https://avatars.githubusercontent.com/u/138339?s=40&v=4 + arsenm + https://github.com/arsenm diff --git a/data/weekly/logos.json b/data/weekly/logos.json index 05ee9231a365..266d0a551c38 100644 --- a/data/weekly/logos.json +++ b/data/weekly/logos.json @@ -2,7 +2,7 @@ "title": "GitHub Logos Languages Weekly Trending", "description": "Weekly Trending of Logos Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "dayanch96/YTLite", @@ -11,7 +11,7 @@ "language": "Logos", "languageColor": "#ccc", "stars": "601", - "forks": "844", + "forks": "846", "addStars": "25", "contributors": [ { @@ -40,43 +40,6 @@ "url": "https://github.com/Deci8BelioS" } ] - }, - { - "title": "arichornlover/YouTubeRebornPlus", - "url": "https://github.com/arichornlover/YouTubeRebornPlus", - "description": "LillieH1000’s YouTube Reborn but with even more features!", - "language": "Logos", - "languageColor": "#ccc", - "stars": "540", - "forks": "502", - "addStars": "6", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/78001398?s=40&v=4", - "name": "arichornlover", - "url": "https://github.com/arichornlover" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52943116?s=40&v=4", - "name": "qnblackcat", - "url": "https://github.com/qnblackcat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38832025?s=40&v=4", - "name": "dayanch96", - "url": "https://github.com/dayanch96" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47618415?s=40&v=4", - "name": "SKEIDs", - "url": "https://github.com/SKEIDs" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/logos.xml b/data/weekly/logos.xml index 041a49d762d6..39b64e1641ce 100644 --- a/data/weekly/logos.xml +++ b/data/weekly/logos.xml @@ -3,7 +3,7 @@ GitHub Logos Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Logos Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT dayanch96/YTLite https://github.com/dayanch96/YTLite @@ -12,7 +12,7 @@ Logos #ccc 601 - 844 + 846 25 @@ -42,43 +42,5 @@ - - arichornlover/YouTubeRebornPlus - https://github.com/arichornlover/YouTubeRebornPlus - LillieH1000’s YouTube Reborn but with even more features! - https://github.com/arichornlover/YouTubeRebornPlus - Logos - #ccc - 540 - 502 - 6 - - - https://avatars.githubusercontent.com/u/78001398?s=40&v=4 - arichornlover - https://github.com/arichornlover - - - https://avatars.githubusercontent.com/u/52943116?s=40&v=4 - qnblackcat - https://github.com/qnblackcat - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - - https://avatars.githubusercontent.com/u/38832025?s=40&v=4 - dayanch96 - https://github.com/dayanch96 - - - https://avatars.githubusercontent.com/u/47618415?s=40&v=4 - SKEIDs - https://github.com/SKEIDs - - - \ No newline at end of file diff --git a/data/weekly/logtalk.json b/data/weekly/logtalk.json index 68469d5ecda5..f6ce782e0ff2 100644 --- a/data/weekly/logtalk.json +++ b/data/weekly/logtalk.json @@ -2,6 +2,6 @@ "title": "GitHub Logtalk Languages Weekly Trending", "description": "Weekly Trending of Logtalk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/logtalk.xml b/data/weekly/logtalk.xml index e5e62a9dfe38..602226b56a38 100644 --- a/data/weekly/logtalk.xml +++ b/data/weekly/logtalk.xml @@ -3,6 +3,6 @@ GitHub Logtalk Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Logtalk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lolcode.json b/data/weekly/lolcode.json index ff4234becbb9..51b96737e425 100644 --- a/data/weekly/lolcode.json +++ b/data/weekly/lolcode.json @@ -2,6 +2,6 @@ "title": "GitHub Lolcode Languages Weekly Trending", "description": "Weekly Trending of Lolcode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lolcode.xml b/data/weekly/lolcode.xml index e31c72599158..6159be1007f2 100644 --- a/data/weekly/lolcode.xml +++ b/data/weekly/lolcode.xml @@ -3,6 +3,6 @@ GitHub Lolcode Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lolcode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lookml.json b/data/weekly/lookml.json index e1a33ef496d2..f652be3c6c3e 100644 --- a/data/weekly/lookml.json +++ b/data/weekly/lookml.json @@ -2,6 +2,6 @@ "title": "GitHub Lookml Languages Weekly Trending", "description": "Weekly Trending of Lookml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lookml.xml b/data/weekly/lookml.xml index 61e359272eea..bbbbdac3dc90 100644 --- a/data/weekly/lookml.xml +++ b/data/weekly/lookml.xml @@ -3,6 +3,6 @@ GitHub Lookml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lookml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/loomscript.json b/data/weekly/loomscript.json index b6ddbd045923..cbe4cfa9c565 100644 --- a/data/weekly/loomscript.json +++ b/data/weekly/loomscript.json @@ -2,6 +2,6 @@ "title": "GitHub Loomscript Languages Weekly Trending", "description": "Weekly Trending of Loomscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/loomscript.xml b/data/weekly/loomscript.xml index 9da3b88edf06..441ee69ba35e 100644 --- a/data/weekly/loomscript.xml +++ b/data/weekly/loomscript.xml @@ -3,6 +3,6 @@ GitHub Loomscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Loomscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lsl.json b/data/weekly/lsl.json index 9c8f2e954206..aed5fb92a058 100644 --- a/data/weekly/lsl.json +++ b/data/weekly/lsl.json @@ -2,6 +2,6 @@ "title": "GitHub Lsl Languages Weekly Trending", "description": "Weekly Trending of Lsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/lsl.xml b/data/weekly/lsl.xml index 383ec40ee925..2d6f96642677 100644 --- a/data/weekly/lsl.xml +++ b/data/weekly/lsl.xml @@ -3,6 +3,6 @@ GitHub Lsl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ltspice-symbol.json b/data/weekly/ltspice-symbol.json index a56363ae9fda..d2871b339d14 100644 --- a/data/weekly/ltspice-symbol.json +++ b/data/weekly/ltspice-symbol.json @@ -2,6 +2,6 @@ "title": "GitHub Ltspice-symbol Languages Weekly Trending", "description": "Weekly Trending of Ltspice-symbol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ltspice-symbol.xml b/data/weekly/ltspice-symbol.xml index 87f3a8faf514..840f883093c8 100644 --- a/data/weekly/ltspice-symbol.xml +++ b/data/weekly/ltspice-symbol.xml @@ -3,6 +3,6 @@ GitHub Ltspice-symbol Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ltspice-symbol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/lua.json b/data/weekly/lua.json index 3acd7e4451df..0c4289b21d14 100644 --- a/data/weekly/lua.json +++ b/data/weekly/lua.json @@ -2,15 +2,47 @@ "title": "GitHub Lua Languages Weekly Trending", "description": "Weekly Trending of Lua Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "LazyVim/LazyVim", + "url": "https://github.com/LazyVim/LazyVim", + "description": "Neovim config for the lazy", + "language": "Lua", + "languageColor": "#000080", + "stars": "17,595", + "forks": "1,241", + "addStars": "192", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", + "name": "folke", + "url": "https://github.com/folke" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12776461?s=40&v=4", + "name": "dpetka2001", + "url": "https://github.com/dpetka2001" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29718261?s=40&v=4", + "name": "amaanq", + "url": "https://github.com/amaanq" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8222059?s=40&v=4", + "name": "rubiin", + "url": "https://github.com/rubiin" + } + ] + }, { "title": "yetone/avante.nvim", "url": "https://github.com/yetone/avante.nvim", "description": "Use your Neovim like using Cursor AI IDE!", "language": "Lua", "languageColor": "#000080", - "stars": "7,317", + "stars": "7,328", "forks": "273", "addStars": "217", "contributors": [ @@ -41,46 +73,14 @@ } ] }, - { - "title": "LazyVim/LazyVim", - "url": "https://github.com/LazyVim/LazyVim", - "description": "Neovim config for the lazy", - "language": "Lua", - "languageColor": "#000080", - "stars": "17,592", - "forks": "1,242", - "addStars": "192", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/292349?s=40&v=4", - "name": "folke", - "url": "https://github.com/folke" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12776461?s=40&v=4", - "name": "dpetka2001", - "url": "https://github.com/dpetka2001" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29718261?s=40&v=4", - "name": "amaanq", - "url": "https://github.com/amaanq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8222059?s=40&v=4", - "name": "rubiin", - "url": "https://github.com/rubiin" - } - ] - }, { "title": "iDvel/rime-ice", "url": "https://github.com/iDvel/rime-ice", "description": "Rime 配置:雾凇拼音 | 长期维护的简体词库", "language": "Lua", "languageColor": "#000080", - "stars": "9,887", - "forks": "656", + "stars": "9,898", + "forks": "658", "addStars": "212", "contributors": [ { @@ -148,7 +148,7 @@ "description": "", "language": "Lua", "languageColor": "#000080", - "stars": "7,253", + "stars": "7,258", "forks": "2,653", "addStars": "43", "contributors": [ @@ -179,13 +179,45 @@ } ] }, + { + "title": "stevearc/conform.nvim", + "url": "https://github.com/stevearc/conform.nvim", + "description": "Lightweight yet powerful formatter plugin for Neovim", + "language": "Lua", + "languageColor": "#000080", + "stars": "3,245", + "forks": "166", + "addStars": "37", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/506791?s=40&v=4", + "name": "stevearc", + "url": "https://github.com/stevearc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/56034786?s=40&v=4", + "name": "hougesen", + "url": "https://github.com/hougesen" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/73286100?s=40&v=4", + "name": "chrisgrieser", + "url": "https://github.com/chrisgrieser" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4702260?s=40&v=4", + "name": "WhoIsSethDaniel", + "url": "https://github.com/WhoIsSethDaniel" + } + ] + }, { "title": "gaboolic/rime-frost", "url": "https://github.com/gaboolic/rime-frost", "description": "白霜拼音:蒹葭苍苍,白露为霜。白霜拼音使用使用745396750字的高质量语料,进行分词,重新统计字频、词频,归一化,打造纯净、词频准确、智能的词库。白霜词库是目前rime方案下最好的开源词库,立志于打造不输于商业输入法的输入体验。", "language": "Lua", "languageColor": "#000080", - "stars": "1,180", + "stars": "1,184", "forks": "53", "addStars": "149", "contributors": [ @@ -254,103 +286,39 @@ ] }, { - "title": "stevearc/conform.nvim", - "url": "https://github.com/stevearc/conform.nvim", - "description": "Lightweight yet powerful formatter plugin for Neovim", - "language": "Lua", - "languageColor": "#000080", - "stars": "3,243", - "forks": "166", - "addStars": "37", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/506791?s=40&v=4", - "name": "stevearc", - "url": "https://github.com/stevearc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/56034786?s=40&v=4", - "name": "hougesen", - "url": "https://github.com/hougesen" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/73286100?s=40&v=4", - "name": "chrisgrieser", - "url": "https://github.com/chrisgrieser" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4702260?s=40&v=4", - "name": "WhoIsSethDaniel", - "url": "https://github.com/WhoIsSethDaniel" - } - ] - }, - { - "title": "neovim/nvim-lspconfig", - "url": "https://github.com/neovim/nvim-lspconfig", - "description": "Quickstart configs for Nvim LSP", - "language": "Lua", - "languageColor": "#000080", - "stars": "10,774", - "forks": "2,090", - "addStars": "30", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13316262?s=40&v=4", - "name": "mjlbach", - "url": "https://github.com/mjlbach" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4556097?s=40&v=4", - "name": "h-michael", - "url": "https://github.com/h-michael" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41671631?s=40&v=4", - "name": "glepnir", - "url": "https://github.com/glepnir" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", - "name": "justinmk", - "url": "https://github.com/justinmk" - } - ] - }, - { - "title": "xiaorouji/openwrt-passwall2", - "url": "https://github.com/xiaorouji/openwrt-passwall2", - "description": "", + "title": "lewis6991/gitsigns.nvim", + "url": "https://github.com/lewis6991/gitsigns.nvim", + "description": "Git integration for buffers", "language": "Lua", "languageColor": "#000080", - "stars": "1,378", - "forks": "445", - "addStars": "16", + "stars": "5,188", + "forks": "191", + "addStars": "40", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/60100640?s=40&v=4", - "name": "xiaorouji", - "url": "https://github.com/xiaorouji" + "avatar": "https://avatars.githubusercontent.com/u/7904185?s=40&v=4", + "name": "lewis6991", + "url": "https://github.com/lewis6991" }, { - "avatar": "https://avatars.githubusercontent.com/u/6050360?s=40&v=4", - "name": "smallprogram", - "url": "https://github.com/smallprogram" + "avatar": "https://avatars.githubusercontent.com/u/33953936?s=40&v=4", + "name": "dundargoc", + "url": "https://github.com/dundargoc" }, { - "avatar": "https://avatars.githubusercontent.com/u/10704839?s=40&v=4", - "name": "nftbty", - "url": "https://github.com/nftbty" + "avatar": "https://avatars.githubusercontent.com/u/15123086?s=40&v=4", + "name": "zapling", + "url": "https://github.com/zapling" }, { - "avatar": "https://avatars.githubusercontent.com/u/86697442?s=40&v=4", - "name": "lwb1978", - "url": "https://github.com/lwb1978" + "avatar": "https://avatars.githubusercontent.com/u/161548?s=40&v=4", + "name": "andersevenrud", + "url": "https://github.com/andersevenrud" }, { - "avatar": "https://avatars.githubusercontent.com/u/8738520?s=40&v=4", - "name": "Gzxhwq", - "url": "https://github.com/Gzxhwq" + "avatar": "https://avatars.githubusercontent.com/u/191622?s=40&v=4", + "name": "meatcar", + "url": "https://github.com/meatcar" } ] }, @@ -360,7 +328,7 @@ "description": "An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices", "language": "Lua", "languageColor": "#000080", - "stars": "16,932", + "stars": "16,936", "forks": "1,269", "addStars": "74", "contributors": [ @@ -392,39 +360,34 @@ ] }, { - "title": "craftzdog/dotfiles-public", - "url": "https://github.com/craftzdog/dotfiles-public", - "description": "My personal dotfiles", + "title": "neovim/nvim-lspconfig", + "url": "https://github.com/neovim/nvim-lspconfig", + "description": "Quickstart configs for Nvim LSP", "language": "Lua", "languageColor": "#000080", - "stars": "6,434", - "forks": "1,162", - "addStars": "23", + "stars": "10,776", + "forks": "2,090", + "addStars": "30", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1332805?s=40&v=4", - "name": "craftzdog", - "url": "https://github.com/craftzdog" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6318351?s=40&v=4", - "name": "IlanCosman", - "url": "https://github.com/IlanCosman" + "avatar": "https://avatars.githubusercontent.com/u/13316262?s=40&v=4", + "name": "mjlbach", + "url": "https://github.com/mjlbach" }, { - "avatar": "https://avatars.githubusercontent.com/u/51878195?s=40&v=4", - "name": "harshv5094", - "url": "https://github.com/harshv5094" + "avatar": "https://avatars.githubusercontent.com/u/4556097?s=40&v=4", + "name": "h-michael", + "url": "https://github.com/h-michael" }, { - "avatar": "https://avatars.githubusercontent.com/u/2349518?s=40&v=4", - "name": "sbmsr", - "url": "https://github.com/sbmsr" + "avatar": "https://avatars.githubusercontent.com/u/41671631?s=40&v=4", + "name": "glepnir", + "url": "https://github.com/glepnir" }, { - "avatar": "https://avatars.githubusercontent.com/u/11078827?s=40&v=4", - "name": "geminixiang", - "url": "https://github.com/geminixiang" + "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", + "name": "justinmk", + "url": "https://github.com/justinmk" } ] }, @@ -434,7 +397,7 @@ "description": "Debug Adapter Protocol client implementation for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "5,658", + "stars": "5,659", "forks": "205", "addStars": "33", "contributors": [ @@ -471,8 +434,8 @@ "description": "💤 A modern plugin manager for Neovim", "language": "Lua", "languageColor": "#000080", - "stars": "15,009", - "forks": "364", + "stars": "15,013", + "forks": "365", "addStars": "125", "contributors": [ { @@ -498,39 +461,39 @@ ] }, { - "title": "karpathy/char-rnn", - "url": "https://github.com/karpathy/char-rnn", - "description": "Multi-layer Recurrent Neural Networks (LSTM, GRU, RNN) for character-level language models in Torch", + "title": "xiaorouji/openwrt-passwall2", + "url": "https://github.com/xiaorouji/openwrt-passwall2", + "description": "", "language": "Lua", "languageColor": "#000080", - "stars": "11,651", - "forks": "2,596", - "addStars": "17", + "stars": "1,382", + "forks": "445", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/241138?s=40&v=4", - "name": "karpathy", - "url": "https://github.com/karpathy" + "avatar": "https://avatars.githubusercontent.com/u/60100640?s=40&v=4", + "name": "xiaorouji", + "url": "https://github.com/xiaorouji" }, { - "avatar": "https://avatars.githubusercontent.com/u/13494525?s=40&v=4", - "name": "guillitte", - "url": "https://github.com/guillitte" + "avatar": "https://avatars.githubusercontent.com/u/6050360?s=40&v=4", + "name": "smallprogram", + "url": "https://github.com/smallprogram" }, { - "avatar": "https://avatars.githubusercontent.com/u/123560?s=40&v=4", - "name": "hughperkins", - "url": "https://github.com/hughperkins" + "avatar": "https://avatars.githubusercontent.com/u/10704839?s=40&v=4", + "name": "nftbty", + "url": "https://github.com/nftbty" }, { - "avatar": "https://avatars.githubusercontent.com/u/10439818?s=40&v=4", - "name": "bretmattingly", - "url": "https://github.com/bretmattingly" + "avatar": "https://avatars.githubusercontent.com/u/86697442?s=40&v=4", + "name": "lwb1978", + "url": "https://github.com/lwb1978" }, { - "avatar": "https://avatars.githubusercontent.com/u/608789?s=40&v=4", - "name": "udibr", - "url": "https://github.com/udibr" + "avatar": "https://avatars.githubusercontent.com/u/8738520?s=40&v=4", + "name": "Gzxhwq", + "url": "https://github.com/Gzxhwq" } ] }, @@ -567,76 +530,113 @@ ] }, { - "title": "NeogitOrg/neogit", - "url": "https://github.com/NeogitOrg/neogit", - "description": "An interactive and powerful Git interface for Neovim, inspired by Magit", + "title": "hrsh7th/nvim-cmp", + "url": "https://github.com/hrsh7th/nvim-cmp", + "description": "A completion plugin for neovim coded in Lua.", "language": "Lua", "languageColor": "#000080", - "stars": "4,088", - "forks": "241", - "addStars": "29", + "stars": "8,131", + "forks": "404", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7228095?s=40&v=4", - "name": "CKolkey", - "url": "https://github.com/CKolkey" + "avatar": "https://avatars.githubusercontent.com/u/629908?s=40&v=4", + "name": "hrsh7th", + "url": "https://github.com/hrsh7th" }, { - "avatar": "https://avatars.githubusercontent.com/u/32014449?s=40&v=4", - "name": "TimUntersberger", - "url": "https://github.com/TimUntersberger" + "avatar": "https://avatars.githubusercontent.com/u/82267684?s=40&v=4", + "name": "uga-rosa", + "url": "https://github.com/uga-rosa" }, { - "avatar": "https://avatars.githubusercontent.com/u/25723553?s=40&v=4", - "name": "ten3roberts", - "url": "https://github.com/ten3roberts" + "avatar": "https://avatars.githubusercontent.com/u/4946827?s=40&v=4", + "name": "tzachar", + "url": "https://github.com/tzachar" }, { - "avatar": "https://avatars.githubusercontent.com/u/10298987?s=40&v=4", - "name": "RianFuro", - "url": "https://github.com/RianFuro" + "avatar": "https://avatars.githubusercontent.com/u/15367354?s=40&v=4", + "name": "dmitmel", + "url": "https://github.com/dmitmel" }, { - "avatar": "https://avatars.githubusercontent.com/u/58627896?s=40&v=4", - "name": "PriceHiller", - "url": "https://github.com/PriceHiller" + "avatar": "https://avatars.githubusercontent.com/u/40792180?s=40&v=4", + "name": "smjonas", + "url": "https://github.com/smjonas" } ] }, { - "title": "L3MON4D3/LuaSnip", - "url": "https://github.com/L3MON4D3/LuaSnip", - "description": "Snippet Engine for Neovim written in Lua.", + "title": "craftzdog/dotfiles-public", + "url": "https://github.com/craftzdog/dotfiles-public", + "description": "My personal dotfiles", "language": "Lua", "languageColor": "#000080", - "stars": "3,485", - "forks": "245", - "addStars": "16", + "stars": "6,434", + "forks": "1,162", + "addStars": "23", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1332805?s=40&v=4", + "name": "craftzdog", + "url": "https://github.com/craftzdog" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6318351?s=40&v=4", + "name": "IlanCosman", + "url": "https://github.com/IlanCosman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/51878195?s=40&v=4", + "name": "harshv5094", + "url": "https://github.com/harshv5094" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2349518?s=40&v=4", + "name": "sbmsr", + "url": "https://github.com/sbmsr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11078827?s=40&v=4", + "name": "geminixiang", + "url": "https://github.com/geminixiang" + } + ] + }, + { + "title": "opentibiabr/canary", + "url": "https://github.com/opentibiabr/canary", + "description": "Canary Server 13.x for OpenTibia community.", + "language": "Lua", + "languageColor": "#000080", + "stars": "383", + "forks": "635", + "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/41961280?s=40&v=4", - "name": "L3MON4D3", - "url": "https://github.com/L3MON4D3" + "avatar": "https://avatars.githubusercontent.com/u/8551443?s=40&v=4", + "name": "dudantas", + "url": "https://github.com/dudantas" }, { - "avatar": "https://avatars.githubusercontent.com/u/2947276?s=40&v=4", - "name": "leiserfg", - "url": "https://github.com/leiserfg" + "avatar": "https://avatars.githubusercontent.com/u/223760?s=40&v=4", + "name": "luan", + "url": "https://github.com/luan" }, { - "avatar": "https://avatars.githubusercontent.com/u/62146989?s=40&v=4", - "name": "cbarrete", - "url": "https://github.com/cbarrete" + "avatar": "https://avatars.githubusercontent.com/u/61994374?s=40&v=4", + "name": "beats-dh", + "url": "https://github.com/beats-dh" }, { - "avatar": "https://avatars.githubusercontent.com/u/82267684?s=40&v=4", - "name": "uga-rosa", - "url": "https://github.com/uga-rosa" + "avatar": "https://avatars.githubusercontent.com/u/26801045?s=40&v=4", + "name": "omarcopires", + "url": "https://github.com/omarcopires" }, { - "avatar": "https://avatars.githubusercontent.com/u/30577766?s=40&v=4", - "name": "Samasaur1", - "url": "https://github.com/Samasaur1" + "avatar": "https://avatars.githubusercontent.com/u/7812282?s=40&v=4", + "name": "elsongabriel", + "url": "https://github.com/elsongabriel" } ] }, @@ -646,7 +646,7 @@ "description": "一个 Openwrt 标准的软件中心,纯脚本实现,只依赖Openwrt标准组件。支持其它固件开发者集成到自己的固件里面。更方便入门用户搜索安装插件。The iStore is a app store for OpenWRT", "language": "Lua", "languageColor": "#000080", - "stars": "1,507", + "stars": "1,509", "forks": "331", "addStars": "29", "contributors": [ @@ -678,39 +678,34 @@ ] }, { - "title": "Courseplay/Courseplay_FS22", - "url": "https://github.com/Courseplay/Courseplay_FS22", - "description": "Courseplay for Farming Simulator 2022", + "title": "stevearc/oil.nvim", + "url": "https://github.com/stevearc/oil.nvim", + "description": "Neovim file explorer: edit your filesystem like a buffer", "language": "Lua", "languageColor": "#000080", - "stars": "844", - "forks": "187", - "addStars": "1", + "stars": "4,098", + "forks": "121", + "addStars": "43", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27344744?s=40&v=4", - "name": "Tensuko", - "url": "https://github.com/Tensuko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/58079399?s=40&v=4", - "name": "schwiti6190", - "url": "https://github.com/schwiti6190" + "avatar": "https://avatars.githubusercontent.com/u/506791?s=40&v=4", + "name": "stevearc", + "url": "https://github.com/stevearc" }, { - "avatar": "https://avatars.githubusercontent.com/u/2379521?s=40&v=4", - "name": "pvaiko", - "url": "https://github.com/pvaiko" + "avatar": "https://avatars.githubusercontent.com/u/62358156?s=40&v=4", + "name": "NikolaM-Dev", + "url": "https://github.com/NikolaM-Dev" }, { - "avatar": "https://avatars.githubusercontent.com/u/24955554?s=40&v=4", - "name": "Roby1164", - "url": "https://github.com/Roby1164" + "avatar": "https://avatars.githubusercontent.com/u/1591837?s=40&v=4", + "name": "mehalter", + "url": "https://github.com/mehalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/46683179?s=40&v=4", - "name": "KCHARRO", - "url": "https://github.com/KCHARRO" + "avatar": "https://avatars.githubusercontent.com/u/24248467?s=40&v=4", + "name": "Foo-x", + "url": "https://github.com/Foo-x" } ] } diff --git a/data/weekly/lua.xml b/data/weekly/lua.xml index a6d4b3f8046a..2d3144659b47 100644 --- a/data/weekly/lua.xml +++ b/data/weekly/lua.xml @@ -3,7 +3,40 @@ GitHub Lua Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Lua Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + LazyVim/LazyVim + https://github.com/LazyVim/LazyVim + Neovim config for the lazy + https://github.com/LazyVim/LazyVim + Lua + #000080 + 17,595 + 1,241 + 192 + + + https://avatars.githubusercontent.com/u/292349?s=40&v=4 + folke + https://github.com/folke + + + https://avatars.githubusercontent.com/u/12776461?s=40&v=4 + dpetka2001 + https://github.com/dpetka2001 + + + https://avatars.githubusercontent.com/u/29718261?s=40&v=4 + amaanq + https://github.com/amaanq + + + https://avatars.githubusercontent.com/u/8222059?s=40&v=4 + rubiin + https://github.com/rubiin + + + yetone/avante.nvim https://github.com/yetone/avante.nvim @@ -11,7 +44,7 @@ https://github.com/yetone/avante.nvim Lua #000080 - 7,317 + 7,328 273 217 @@ -42,39 +75,6 @@ - - LazyVim/LazyVim - https://github.com/LazyVim/LazyVim - Neovim config for the lazy - https://github.com/LazyVim/LazyVim - Lua - #000080 - 17,592 - 1,242 - 192 - - - https://avatars.githubusercontent.com/u/292349?s=40&v=4 - folke - https://github.com/folke - - - https://avatars.githubusercontent.com/u/12776461?s=40&v=4 - dpetka2001 - https://github.com/dpetka2001 - - - https://avatars.githubusercontent.com/u/29718261?s=40&v=4 - amaanq - https://github.com/amaanq - - - https://avatars.githubusercontent.com/u/8222059?s=40&v=4 - rubiin - https://github.com/rubiin - - - iDvel/rime-ice https://github.com/iDvel/rime-ice @@ -82,8 +82,8 @@ https://github.com/iDvel/rime-ice Lua #000080 - 9,887 - 656 + 9,898 + 658 212 @@ -153,7 +153,7 @@ https://github.com/xiaorouji/openwrt-passwall Lua #000080 - 7,253 + 7,258 2,653 43 @@ -184,6 +184,39 @@ + + stevearc/conform.nvim + https://github.com/stevearc/conform.nvim + Lightweight yet powerful formatter plugin for Neovim + https://github.com/stevearc/conform.nvim + Lua + #000080 + 3,245 + 166 + 37 + + + https://avatars.githubusercontent.com/u/506791?s=40&v=4 + stevearc + https://github.com/stevearc + + + https://avatars.githubusercontent.com/u/56034786?s=40&v=4 + hougesen + https://github.com/hougesen + + + https://avatars.githubusercontent.com/u/73286100?s=40&v=4 + chrisgrieser + https://github.com/chrisgrieser + + + https://avatars.githubusercontent.com/u/4702260?s=40&v=4 + WhoIsSethDaniel + https://github.com/WhoIsSethDaniel + + + gaboolic/rime-frost https://github.com/gaboolic/rime-frost @@ -191,7 +224,7 @@ https://github.com/gaboolic/rime-frost Lua #000080 - 1,180 + 1,184 53 149 @@ -261,106 +294,40 @@ - stevearc/conform.nvim - https://github.com/stevearc/conform.nvim - Lightweight yet powerful formatter plugin for Neovim - https://github.com/stevearc/conform.nvim - Lua - #000080 - 3,243 - 166 - 37 - - - https://avatars.githubusercontent.com/u/506791?s=40&v=4 - stevearc - https://github.com/stevearc - - - https://avatars.githubusercontent.com/u/56034786?s=40&v=4 - hougesen - https://github.com/hougesen - - - https://avatars.githubusercontent.com/u/73286100?s=40&v=4 - chrisgrieser - https://github.com/chrisgrieser - - - https://avatars.githubusercontent.com/u/4702260?s=40&v=4 - WhoIsSethDaniel - https://github.com/WhoIsSethDaniel - - - - - neovim/nvim-lspconfig - https://github.com/neovim/nvim-lspconfig - Quickstart configs for Nvim LSP - https://github.com/neovim/nvim-lspconfig - Lua - #000080 - 10,774 - 2,090 - 30 - - - https://avatars.githubusercontent.com/u/13316262?s=40&v=4 - mjlbach - https://github.com/mjlbach - - - https://avatars.githubusercontent.com/u/4556097?s=40&v=4 - h-michael - https://github.com/h-michael - - - https://avatars.githubusercontent.com/u/41671631?s=40&v=4 - glepnir - https://github.com/glepnir - - - https://avatars.githubusercontent.com/u/1359421?s=40&v=4 - justinmk - https://github.com/justinmk - - - - - xiaorouji/openwrt-passwall2 - https://github.com/xiaorouji/openwrt-passwall2 - - https://github.com/xiaorouji/openwrt-passwall2 + lewis6991/gitsigns.nvim + https://github.com/lewis6991/gitsigns.nvim + Git integration for buffers + https://github.com/lewis6991/gitsigns.nvim Lua #000080 - 1,378 - 445 - 16 + 5,188 + 191 + 40 - https://avatars.githubusercontent.com/u/60100640?s=40&v=4 - xiaorouji - https://github.com/xiaorouji + https://avatars.githubusercontent.com/u/7904185?s=40&v=4 + lewis6991 + https://github.com/lewis6991 - https://avatars.githubusercontent.com/u/6050360?s=40&v=4 - smallprogram - https://github.com/smallprogram + https://avatars.githubusercontent.com/u/33953936?s=40&v=4 + dundargoc + https://github.com/dundargoc - https://avatars.githubusercontent.com/u/10704839?s=40&v=4 - nftbty - https://github.com/nftbty + https://avatars.githubusercontent.com/u/15123086?s=40&v=4 + zapling + https://github.com/zapling - https://avatars.githubusercontent.com/u/86697442?s=40&v=4 - lwb1978 - https://github.com/lwb1978 + https://avatars.githubusercontent.com/u/161548?s=40&v=4 + andersevenrud + https://github.com/andersevenrud - https://avatars.githubusercontent.com/u/8738520?s=40&v=4 - Gzxhwq - https://github.com/Gzxhwq + https://avatars.githubusercontent.com/u/191622?s=40&v=4 + meatcar + https://github.com/meatcar @@ -371,7 +338,7 @@ https://github.com/koreader/koreader Lua #000080 - 16,932 + 16,936 1,269 74 @@ -403,40 +370,35 @@ - craftzdog/dotfiles-public - https://github.com/craftzdog/dotfiles-public - My personal dotfiles - https://github.com/craftzdog/dotfiles-public + neovim/nvim-lspconfig + https://github.com/neovim/nvim-lspconfig + Quickstart configs for Nvim LSP + https://github.com/neovim/nvim-lspconfig Lua #000080 - 6,434 - 1,162 - 23 + 10,776 + 2,090 + 30 - https://avatars.githubusercontent.com/u/1332805?s=40&v=4 - craftzdog - https://github.com/craftzdog - - - https://avatars.githubusercontent.com/u/6318351?s=40&v=4 - IlanCosman - https://github.com/IlanCosman + https://avatars.githubusercontent.com/u/13316262?s=40&v=4 + mjlbach + https://github.com/mjlbach - https://avatars.githubusercontent.com/u/51878195?s=40&v=4 - harshv5094 - https://github.com/harshv5094 + https://avatars.githubusercontent.com/u/4556097?s=40&v=4 + h-michael + https://github.com/h-michael - https://avatars.githubusercontent.com/u/2349518?s=40&v=4 - sbmsr - https://github.com/sbmsr + https://avatars.githubusercontent.com/u/41671631?s=40&v=4 + glepnir + https://github.com/glepnir - https://avatars.githubusercontent.com/u/11078827?s=40&v=4 - geminixiang - https://github.com/geminixiang + https://avatars.githubusercontent.com/u/1359421?s=40&v=4 + justinmk + https://github.com/justinmk @@ -447,7 +409,7 @@ https://github.com/mfussenegger/nvim-dap Lua #000080 - 5,658 + 5,659 205 33 @@ -485,8 +447,8 @@ https://github.com/folke/lazy.nvim Lua #000080 - 15,009 - 364 + 15,013 + 365 125 @@ -512,40 +474,40 @@ - karpathy/char-rnn - https://github.com/karpathy/char-rnn - Multi-layer Recurrent Neural Networks (LSTM, GRU, RNN) for character-level language models in Torch - https://github.com/karpathy/char-rnn + xiaorouji/openwrt-passwall2 + https://github.com/xiaorouji/openwrt-passwall2 + + https://github.com/xiaorouji/openwrt-passwall2 Lua #000080 - 11,651 - 2,596 - 17 + 1,382 + 445 + 16 - https://avatars.githubusercontent.com/u/241138?s=40&v=4 - karpathy - https://github.com/karpathy + https://avatars.githubusercontent.com/u/60100640?s=40&v=4 + xiaorouji + https://github.com/xiaorouji - https://avatars.githubusercontent.com/u/13494525?s=40&v=4 - guillitte - https://github.com/guillitte + https://avatars.githubusercontent.com/u/6050360?s=40&v=4 + smallprogram + https://github.com/smallprogram - https://avatars.githubusercontent.com/u/123560?s=40&v=4 - hughperkins - https://github.com/hughperkins + https://avatars.githubusercontent.com/u/10704839?s=40&v=4 + nftbty + https://github.com/nftbty - https://avatars.githubusercontent.com/u/10439818?s=40&v=4 - bretmattingly - https://github.com/bretmattingly + https://avatars.githubusercontent.com/u/86697442?s=40&v=4 + lwb1978 + https://github.com/lwb1978 - https://avatars.githubusercontent.com/u/608789?s=40&v=4 - udibr - https://github.com/udibr + https://avatars.githubusercontent.com/u/8738520?s=40&v=4 + Gzxhwq + https://github.com/Gzxhwq @@ -583,78 +545,116 @@ - NeogitOrg/neogit - https://github.com/NeogitOrg/neogit - An interactive and powerful Git interface for Neovim, inspired by Magit - https://github.com/NeogitOrg/neogit + hrsh7th/nvim-cmp + https://github.com/hrsh7th/nvim-cmp + A completion plugin for neovim coded in Lua. + https://github.com/hrsh7th/nvim-cmp Lua #000080 - 4,088 - 241 - 29 + 8,131 + 404 + 38 - https://avatars.githubusercontent.com/u/7228095?s=40&v=4 - CKolkey - https://github.com/CKolkey + https://avatars.githubusercontent.com/u/629908?s=40&v=4 + hrsh7th + https://github.com/hrsh7th - https://avatars.githubusercontent.com/u/32014449?s=40&v=4 - TimUntersberger - https://github.com/TimUntersberger + https://avatars.githubusercontent.com/u/82267684?s=40&v=4 + uga-rosa + https://github.com/uga-rosa - https://avatars.githubusercontent.com/u/25723553?s=40&v=4 - ten3roberts - https://github.com/ten3roberts + https://avatars.githubusercontent.com/u/4946827?s=40&v=4 + tzachar + https://github.com/tzachar - https://avatars.githubusercontent.com/u/10298987?s=40&v=4 - RianFuro - https://github.com/RianFuro + https://avatars.githubusercontent.com/u/15367354?s=40&v=4 + dmitmel + https://github.com/dmitmel - https://avatars.githubusercontent.com/u/58627896?s=40&v=4 - PriceHiller - https://github.com/PriceHiller + https://avatars.githubusercontent.com/u/40792180?s=40&v=4 + smjonas + https://github.com/smjonas - L3MON4D3/LuaSnip - https://github.com/L3MON4D3/LuaSnip - Snippet Engine for Neovim written in Lua. - https://github.com/L3MON4D3/LuaSnip + craftzdog/dotfiles-public + https://github.com/craftzdog/dotfiles-public + My personal dotfiles + https://github.com/craftzdog/dotfiles-public Lua #000080 - 3,485 - 245 - 16 + 6,434 + 1,162 + 23 + + + https://avatars.githubusercontent.com/u/1332805?s=40&v=4 + craftzdog + https://github.com/craftzdog + + + https://avatars.githubusercontent.com/u/6318351?s=40&v=4 + IlanCosman + https://github.com/IlanCosman + + + https://avatars.githubusercontent.com/u/51878195?s=40&v=4 + harshv5094 + https://github.com/harshv5094 + + + https://avatars.githubusercontent.com/u/2349518?s=40&v=4 + sbmsr + https://github.com/sbmsr + + + https://avatars.githubusercontent.com/u/11078827?s=40&v=4 + geminixiang + https://github.com/geminixiang + + + + + opentibiabr/canary + https://github.com/opentibiabr/canary + Canary Server 13.x for OpenTibia community. + https://github.com/opentibiabr/canary + Lua + #000080 + 383 + 635 + 6 - https://avatars.githubusercontent.com/u/41961280?s=40&v=4 - L3MON4D3 - https://github.com/L3MON4D3 + https://avatars.githubusercontent.com/u/8551443?s=40&v=4 + dudantas + https://github.com/dudantas - https://avatars.githubusercontent.com/u/2947276?s=40&v=4 - leiserfg - https://github.com/leiserfg + https://avatars.githubusercontent.com/u/223760?s=40&v=4 + luan + https://github.com/luan - https://avatars.githubusercontent.com/u/62146989?s=40&v=4 - cbarrete - https://github.com/cbarrete + https://avatars.githubusercontent.com/u/61994374?s=40&v=4 + beats-dh + https://github.com/beats-dh - https://avatars.githubusercontent.com/u/82267684?s=40&v=4 - uga-rosa - https://github.com/uga-rosa + https://avatars.githubusercontent.com/u/26801045?s=40&v=4 + omarcopires + https://github.com/omarcopires - https://avatars.githubusercontent.com/u/30577766?s=40&v=4 - Samasaur1 - https://github.com/Samasaur1 + https://avatars.githubusercontent.com/u/7812282?s=40&v=4 + elsongabriel + https://github.com/elsongabriel @@ -665,7 +665,7 @@ https://github.com/linkease/istore Lua #000080 - 1,507 + 1,509 331 29 @@ -697,40 +697,35 @@ - Courseplay/Courseplay_FS22 - https://github.com/Courseplay/Courseplay_FS22 - Courseplay for Farming Simulator 2022 - https://github.com/Courseplay/Courseplay_FS22 + stevearc/oil.nvim + https://github.com/stevearc/oil.nvim + Neovim file explorer: edit your filesystem like a buffer + https://github.com/stevearc/oil.nvim Lua #000080 - 844 - 187 - 1 + 4,098 + 121 + 43 - https://avatars.githubusercontent.com/u/27344744?s=40&v=4 - Tensuko - https://github.com/Tensuko - - - https://avatars.githubusercontent.com/u/58079399?s=40&v=4 - schwiti6190 - https://github.com/schwiti6190 + https://avatars.githubusercontent.com/u/506791?s=40&v=4 + stevearc + https://github.com/stevearc - https://avatars.githubusercontent.com/u/2379521?s=40&v=4 - pvaiko - https://github.com/pvaiko + https://avatars.githubusercontent.com/u/62358156?s=40&v=4 + NikolaM-Dev + https://github.com/NikolaM-Dev - https://avatars.githubusercontent.com/u/24955554?s=40&v=4 - Roby1164 - https://github.com/Roby1164 + https://avatars.githubusercontent.com/u/1591837?s=40&v=4 + mehalter + https://github.com/mehalter - https://avatars.githubusercontent.com/u/46683179?s=40&v=4 - KCHARRO - https://github.com/KCHARRO + https://avatars.githubusercontent.com/u/24248467?s=40&v=4 + Foo-x + https://github.com/Foo-x diff --git a/data/weekly/luau.json b/data/weekly/luau.json index ea3d7273b7fc..27442209ac38 100644 --- a/data/weekly/luau.json +++ b/data/weekly/luau.json @@ -2,6 +2,6 @@ "title": "GitHub Luau Languages Weekly Trending", "description": "Weekly Trending of Luau Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/luau.xml b/data/weekly/luau.xml index b733af922357..e05ba3375d1d 100644 --- a/data/weekly/luau.xml +++ b/data/weekly/luau.xml @@ -3,6 +3,6 @@ GitHub Luau Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Luau Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/m.json b/data/weekly/m.json index 0b77249a27dd..71aab663d2e4 100644 --- a/data/weekly/m.json +++ b/data/weekly/m.json @@ -2,6 +2,6 @@ "title": "GitHub M Languages Weekly Trending", "description": "Weekly Trending of M Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/m.xml b/data/weekly/m.xml index 483e98be649e..790f33cfe016 100644 --- a/data/weekly/m.xml +++ b/data/weekly/m.xml @@ -3,6 +3,6 @@ GitHub M Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of M Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/m4.json b/data/weekly/m4.json index c960a5b3e6da..49dc073062b1 100644 --- a/data/weekly/m4.json +++ b/data/weekly/m4.json @@ -2,6 +2,6 @@ "title": "GitHub M4 Languages Weekly Trending", "description": "Weekly Trending of M4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/m4.xml b/data/weekly/m4.xml index 17ba64cb3408..1f6486959e73 100644 --- a/data/weekly/m4.xml +++ b/data/weekly/m4.xml @@ -3,6 +3,6 @@ GitHub M4 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of M4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/m4sugar.json b/data/weekly/m4sugar.json index 01d8c4dfcbf3..43ae86ede6c4 100644 --- a/data/weekly/m4sugar.json +++ b/data/weekly/m4sugar.json @@ -2,6 +2,6 @@ "title": "GitHub M4sugar Languages Weekly Trending", "description": "Weekly Trending of M4sugar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/m4sugar.xml b/data/weekly/m4sugar.xml index f6ec2ef9908b..dfaabff6fa1e 100644 --- a/data/weekly/m4sugar.xml +++ b/data/weekly/m4sugar.xml @@ -3,6 +3,6 @@ GitHub M4sugar Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of M4sugar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/macaulay2.json b/data/weekly/macaulay2.json index f4a1d7c7bc35..2153cfb6fd6c 100644 --- a/data/weekly/macaulay2.json +++ b/data/weekly/macaulay2.json @@ -2,6 +2,6 @@ "title": "GitHub Macaulay2 Languages Weekly Trending", "description": "Weekly Trending of Macaulay2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/macaulay2.xml b/data/weekly/macaulay2.xml index eb01dd89f474..dcb37a10f21c 100644 --- a/data/weekly/macaulay2.xml +++ b/data/weekly/macaulay2.xml @@ -3,6 +3,6 @@ GitHub Macaulay2 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Macaulay2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/makefile.json b/data/weekly/makefile.json index c706c375819b..1de07a0beddb 100644 --- a/data/weekly/makefile.json +++ b/data/weekly/makefile.json @@ -2,7 +2,7 @@ "title": "GitHub Makefile Languages Weekly Trending", "description": "Weekly Trending of Makefile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "DataExpert-io/data-engineer-handbook", @@ -10,8 +10,8 @@ "description": "This is a repo with links to everything you'd ever want to learn about data engineering", "language": "Makefile", "languageColor": "#427819", - "stars": "20,993", - "forks": "3,202", + "stars": "21,097", + "forks": "3,225", "addStars": "6,198", "contributors": [ { @@ -41,50 +41,13 @@ } ] }, - { - "title": "openwrt/packages", - "url": "https://github.com/openwrt/packages", - "description": "Community maintained packages for OpenWrt. Documentation for submitting pull requests is in CONTRIBUTING.md", - "language": "Makefile", - "languageColor": "#427819", - "stars": "4,036", - "forks": "3,498", - "addStars": "17", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2918873?s=40&v=4", - "name": "neheb", - "url": "https://github.com/neheb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7926856?s=40&v=4", - "name": "hnyman", - "url": "https://github.com/hnyman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9948313?s=40&v=4", - "name": "dangowrt", - "url": "https://github.com/dangowrt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/252819?s=40&v=4", - "name": "jefferyto", - "url": "https://github.com/jefferyto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3441407?s=40&v=4", - "name": "thess", - "url": "https://github.com/thess" - } - ] - }, { "title": "deepseek-ai/DeepSeek-LLM", "url": "https://github.com/deepseek-ai/DeepSeek-LLM", "description": "DeepSeek LLM: Let there be answers", "language": "Makefile", "languageColor": "#427819", - "stars": "1,476", + "stars": "1,477", "forks": "94", "addStars": "24", "contributors": [ @@ -115,6 +78,43 @@ } ] }, + { + "title": "openwrt/packages", + "url": "https://github.com/openwrt/packages", + "description": "Community maintained packages for OpenWrt. Documentation for submitting pull requests is in CONTRIBUTING.md", + "language": "Makefile", + "languageColor": "#427819", + "stars": "4,036", + "forks": "3,499", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2918873?s=40&v=4", + "name": "neheb", + "url": "https://github.com/neheb" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7926856?s=40&v=4", + "name": "hnyman", + "url": "https://github.com/hnyman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9948313?s=40&v=4", + "name": "dangowrt", + "url": "https://github.com/dangowrt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/252819?s=40&v=4", + "name": "jefferyto", + "url": "https://github.com/jefferyto" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3441407?s=40&v=4", + "name": "thess", + "url": "https://github.com/thess" + } + ] + }, { "title": "freebsd/freebsd-ports", "url": "https://github.com/freebsd/freebsd-ports", @@ -152,43 +152,6 @@ } ] }, - { - "title": "golang-standards/project-layout", - "url": "https://github.com/golang-standards/project-layout", - "description": "Standard Go Project Layout", - "language": "Makefile", - "languageColor": "#427819", - "stars": "49,653", - "forks": "5,164", - "addStars": "147", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1099414?s=40&v=4", - "name": "kcq", - "url": "https://github.com/kcq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/150719?s=40&v=4", - "name": "arialdomartini", - "url": "https://github.com/arialdomartini" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/97484707?s=40&v=4", - "name": "rostis232", - "url": "https://github.com/rostis232" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17458831?s=40&v=4", - "name": "xis", - "url": "https://github.com/xis" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/134580892?s=40&v=4", - "name": "n4x2", - "url": "https://github.com/n4x2" - } - ] - }, { "title": "open-telemetry/opentelemetry-proto", "url": "https://github.com/open-telemetry/opentelemetry-proto", @@ -196,7 +159,7 @@ "language": "Makefile", "languageColor": "#427819", "stars": "607", - "forks": "263", + "forks": "262", "addStars": "4", "contributors": [ { @@ -232,7 +195,7 @@ "description": "Python资源大全中文版,包括:Web框架、网络爬虫、模板引擎、数据库、数据可视化、图片处理等,由「开源前哨」和「Python开发者」微信公号团队维护更新。", "language": "Makefile", "languageColor": "#427819", - "stars": "28,838", + "stars": "28,840", "forks": "7,937", "addStars": "50", "contributors": [ @@ -264,39 +227,76 @@ ] }, { - "title": "foostan/crkbd", - "url": "https://github.com/foostan/crkbd", - "description": "Corne keyboard, a split keyboard with 3x6 column staggered keys and 3 thumb keys.", + "title": "golang-standards/project-layout", + "url": "https://github.com/golang-standards/project-layout", + "description": "Standard Go Project Layout", "language": "Makefile", "languageColor": "#427819", - "stars": "5,657", - "forks": "983", - "addStars": "28", + "stars": "49,662", + "forks": "5,165", + "addStars": "147", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/736191?s=40&v=4", - "name": "foostan", - "url": "https://github.com/foostan" + "avatar": "https://avatars.githubusercontent.com/u/1099414?s=40&v=4", + "name": "kcq", + "url": "https://github.com/kcq" }, { - "avatar": "https://avatars.githubusercontent.com/u/42907?s=40&v=4", - "name": "hoijui", - "url": "https://github.com/hoijui" + "avatar": "https://avatars.githubusercontent.com/u/150719?s=40&v=4", + "name": "arialdomartini", + "url": "https://github.com/arialdomartini" }, { - "avatar": "https://avatars.githubusercontent.com/u/19584?s=40&v=4", - "name": "jamesottaway", - "url": "https://github.com/jamesottaway" + "avatar": "https://avatars.githubusercontent.com/u/97484707?s=40&v=4", + "name": "rostis232", + "url": "https://github.com/rostis232" }, { - "avatar": "https://avatars.githubusercontent.com/u/5037505?s=40&v=4", - "name": "Naycon", - "url": "https://github.com/Naycon" + "avatar": "https://avatars.githubusercontent.com/u/17458831?s=40&v=4", + "name": "xis", + "url": "https://github.com/xis" }, { - "avatar": "https://avatars.githubusercontent.com/u/59737601?s=40&v=4", - "name": "waffle87", - "url": "https://github.com/waffle87" + "avatar": "https://avatars.githubusercontent.com/u/134580892?s=40&v=4", + "name": "n4x2", + "url": "https://github.com/n4x2" + } + ] + }, + { + "title": "container-storage-interface/spec", + "url": "https://github.com/container-storage-interface/spec", + "description": "Container Storage Interface (CSI) Specification.", + "language": "Makefile", + "languageColor": "#427819", + "stars": "1,356", + "forks": "373", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10052848?s=40&v=4", + "name": "saad-ali", + "url": "https://github.com/saad-ali" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1778745?s=40&v=4", + "name": "jieyu", + "url": "https://github.com/jieyu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1185309?s=40&v=4", + "name": "xing-yang", + "url": "https://github.com/xing-yang" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/101085?s=40&v=4", + "name": "akutz", + "url": "https://github.com/akutz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/278?s=40&v=4", + "name": "gnufied", + "url": "https://github.com/gnufied" } ] }, @@ -332,6 +332,43 @@ } ] }, + { + "title": "coolsnowwolf/packages", + "url": "https://github.com/coolsnowwolf/packages", + "description": "Community maintained packages for OpenWrt.", + "language": "Makefile", + "languageColor": "#427819", + "stars": "189", + "forks": "553", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/31687149?s=40&v=4", + "name": "coolsnowwolf", + "url": "https://github.com/coolsnowwolf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2918873?s=40&v=4", + "name": "neheb", + "url": "https://github.com/neheb" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/70857188?s=40&v=4", + "name": "Beginner-Go", + "url": "https://github.com/Beginner-Go" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22235437?s=40&v=4", + "name": "1715173329", + "url": "https://github.com/1715173329" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2766732?s=40&v=4", + "name": "commodo", + "url": "https://github.com/commodo" + } + ] + }, { "title": "dbt-labs/dbt-utils", "url": "https://github.com/dbt-labs/dbt-utils", @@ -370,76 +407,76 @@ ] }, { - "title": "container-storage-interface/spec", - "url": "https://github.com/container-storage-interface/spec", - "description": "Container Storage Interface (CSI) Specification.", + "title": "foostan/crkbd", + "url": "https://github.com/foostan/crkbd", + "description": "Corne keyboard, a split keyboard with 3x6 column staggered keys and 3 thumb keys.", "language": "Makefile", "languageColor": "#427819", - "stars": "1,356", - "forks": "373", - "addStars": "2", + "stars": "5,658", + "forks": "983", + "addStars": "28", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10052848?s=40&v=4", - "name": "saad-ali", - "url": "https://github.com/saad-ali" + "avatar": "https://avatars.githubusercontent.com/u/736191?s=40&v=4", + "name": "foostan", + "url": "https://github.com/foostan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1778745?s=40&v=4", - "name": "jieyu", - "url": "https://github.com/jieyu" + "avatar": "https://avatars.githubusercontent.com/u/42907?s=40&v=4", + "name": "hoijui", + "url": "https://github.com/hoijui" }, { - "avatar": "https://avatars.githubusercontent.com/u/1185309?s=40&v=4", - "name": "xing-yang", - "url": "https://github.com/xing-yang" + "avatar": "https://avatars.githubusercontent.com/u/19584?s=40&v=4", + "name": "jamesottaway", + "url": "https://github.com/jamesottaway" }, { - "avatar": "https://avatars.githubusercontent.com/u/101085?s=40&v=4", - "name": "akutz", - "url": "https://github.com/akutz" + "avatar": "https://avatars.githubusercontent.com/u/5037505?s=40&v=4", + "name": "Naycon", + "url": "https://github.com/Naycon" }, { - "avatar": "https://avatars.githubusercontent.com/u/278?s=40&v=4", - "name": "gnufied", - "url": "https://github.com/gnufied" + "avatar": "https://avatars.githubusercontent.com/u/59737601?s=40&v=4", + "name": "waffle87", + "url": "https://github.com/waffle87" } ] }, { - "title": "teaxyz/white-paper", - "url": "https://github.com/teaxyz/white-paper", - "description": "how will the protocol work?", + "title": "PartialVolume/shredos.x86_64", + "url": "https://github.com/PartialVolume/shredos.x86_64", + "description": "Shredos Disk Eraser 64 bit for all Intel 64 bit processors as well as processors from AMD and other vendors which make compatible 64 bit chips. ShredOS - Secure disk erasure/wipe", "language": "Makefile", "languageColor": "#427819", - "stars": "4,666", - "forks": "708", - "addStars": "30", + "stars": "1,517", + "forks": "64", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/58962?s=40&v=4", - "name": "mxcl", - "url": "https://github.com/mxcl" + "avatar": "https://avatars.githubusercontent.com/u/22084881?s=40&v=4", + "name": "PartialVolume", + "url": "https://github.com/PartialVolume" }, { - "avatar": "https://avatars.githubusercontent.com/u/13246308?s=40&v=4", - "name": "jhheider", - "url": "https://github.com/jhheider" + "avatar": "https://avatars.githubusercontent.com/u/116610?s=40&v=4", + "name": "petski", + "url": "https://github.com/petski" }, { - "avatar": "https://avatars.githubusercontent.com/u/4049052?s=40&v=4", - "name": "mfts", - "url": "https://github.com/mfts" + "avatar": "https://avatars.githubusercontent.com/u/10724809?s=40&v=4", + "name": "wikijm", + "url": "https://github.com/wikijm" }, { - "avatar": "https://avatars.githubusercontent.com/u/16858250?s=40&v=4", - "name": "thomas-borrel", - "url": "https://github.com/thomas-borrel" + "avatar": "https://avatars.githubusercontent.com/u/29705363?s=40&v=4", + "name": "ExaneServerTeam", + "url": "https://github.com/ExaneServerTeam" }, { - "avatar": "https://avatars.githubusercontent.com/u/463528?s=40&v=4", - "name": "artburkart", - "url": "https://github.com/artburkart" + "avatar": "https://avatars.githubusercontent.com/u/579379?s=40&v=4", + "name": "fthobe", + "url": "https://github.com/fthobe" } ] } diff --git a/data/weekly/makefile.xml b/data/weekly/makefile.xml index 692693f357ba..04da2bf66da2 100644 --- a/data/weekly/makefile.xml +++ b/data/weekly/makefile.xml @@ -3,7 +3,7 @@ GitHub Makefile Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Makefile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT DataExpert-io/data-engineer-handbook https://github.com/DataExpert-io/data-engineer-handbook @@ -11,8 +11,8 @@ https://github.com/DataExpert-io/data-engineer-handbook Makefile #427819 - 20,993 - 3,202 + 21,097 + 3,225 6,198 @@ -42,44 +42,6 @@ - - openwrt/packages - https://github.com/openwrt/packages - Community maintained packages for OpenWrt. Documentation for submitting pull requests is in CONTRIBUTING.md - https://github.com/openwrt/packages - Makefile - #427819 - 4,036 - 3,498 - 17 - - - https://avatars.githubusercontent.com/u/2918873?s=40&v=4 - neheb - https://github.com/neheb - - - https://avatars.githubusercontent.com/u/7926856?s=40&v=4 - hnyman - https://github.com/hnyman - - - https://avatars.githubusercontent.com/u/9948313?s=40&v=4 - dangowrt - https://github.com/dangowrt - - - https://avatars.githubusercontent.com/u/252819?s=40&v=4 - jefferyto - https://github.com/jefferyto - - - https://avatars.githubusercontent.com/u/3441407?s=40&v=4 - thess - https://github.com/thess - - - deepseek-ai/DeepSeek-LLM https://github.com/deepseek-ai/DeepSeek-LLM @@ -87,7 +49,7 @@ https://github.com/deepseek-ai/DeepSeek-LLM Makefile #427819 - 1,476 + 1,477 94 24 @@ -118,6 +80,44 @@ + + openwrt/packages + https://github.com/openwrt/packages + Community maintained packages for OpenWrt. Documentation for submitting pull requests is in CONTRIBUTING.md + https://github.com/openwrt/packages + Makefile + #427819 + 4,036 + 3,499 + 17 + + + https://avatars.githubusercontent.com/u/2918873?s=40&v=4 + neheb + https://github.com/neheb + + + https://avatars.githubusercontent.com/u/7926856?s=40&v=4 + hnyman + https://github.com/hnyman + + + https://avatars.githubusercontent.com/u/9948313?s=40&v=4 + dangowrt + https://github.com/dangowrt + + + https://avatars.githubusercontent.com/u/252819?s=40&v=4 + jefferyto + https://github.com/jefferyto + + + https://avatars.githubusercontent.com/u/3441407?s=40&v=4 + thess + https://github.com/thess + + + freebsd/freebsd-ports https://github.com/freebsd/freebsd-ports @@ -156,44 +156,6 @@ - - golang-standards/project-layout - https://github.com/golang-standards/project-layout - Standard Go Project Layout - https://github.com/golang-standards/project-layout - Makefile - #427819 - 49,653 - 5,164 - 147 - - - https://avatars.githubusercontent.com/u/1099414?s=40&v=4 - kcq - https://github.com/kcq - - - https://avatars.githubusercontent.com/u/150719?s=40&v=4 - arialdomartini - https://github.com/arialdomartini - - - https://avatars.githubusercontent.com/u/97484707?s=40&v=4 - rostis232 - https://github.com/rostis232 - - - https://avatars.githubusercontent.com/u/17458831?s=40&v=4 - xis - https://github.com/xis - - - https://avatars.githubusercontent.com/u/134580892?s=40&v=4 - n4x2 - https://github.com/n4x2 - - - open-telemetry/opentelemetry-proto https://github.com/open-telemetry/opentelemetry-proto @@ -202,7 +164,7 @@ Makefile #427819 607 - 263 + 262 4 @@ -239,7 +201,7 @@ https://github.com/jobbole/awesome-python-cn Makefile #427819 - 28,838 + 28,840 7,937 50 @@ -271,40 +233,78 @@ - foostan/crkbd - https://github.com/foostan/crkbd - Corne keyboard, a split keyboard with 3x6 column staggered keys and 3 thumb keys. - https://github.com/foostan/crkbd + golang-standards/project-layout + https://github.com/golang-standards/project-layout + Standard Go Project Layout + https://github.com/golang-standards/project-layout Makefile #427819 - 5,657 - 983 - 28 + 49,662 + 5,165 + 147 - https://avatars.githubusercontent.com/u/736191?s=40&v=4 - foostan - https://github.com/foostan + https://avatars.githubusercontent.com/u/1099414?s=40&v=4 + kcq + https://github.com/kcq - https://avatars.githubusercontent.com/u/42907?s=40&v=4 - hoijui - https://github.com/hoijui + https://avatars.githubusercontent.com/u/150719?s=40&v=4 + arialdomartini + https://github.com/arialdomartini - https://avatars.githubusercontent.com/u/19584?s=40&v=4 - jamesottaway - https://github.com/jamesottaway + https://avatars.githubusercontent.com/u/97484707?s=40&v=4 + rostis232 + https://github.com/rostis232 - https://avatars.githubusercontent.com/u/5037505?s=40&v=4 - Naycon - https://github.com/Naycon + https://avatars.githubusercontent.com/u/17458831?s=40&v=4 + xis + https://github.com/xis - https://avatars.githubusercontent.com/u/59737601?s=40&v=4 - waffle87 - https://github.com/waffle87 + https://avatars.githubusercontent.com/u/134580892?s=40&v=4 + n4x2 + https://github.com/n4x2 + + + + + container-storage-interface/spec + https://github.com/container-storage-interface/spec + Container Storage Interface (CSI) Specification. + https://github.com/container-storage-interface/spec + Makefile + #427819 + 1,356 + 373 + 2 + + + https://avatars.githubusercontent.com/u/10052848?s=40&v=4 + saad-ali + https://github.com/saad-ali + + + https://avatars.githubusercontent.com/u/1778745?s=40&v=4 + jieyu + https://github.com/jieyu + + + https://avatars.githubusercontent.com/u/1185309?s=40&v=4 + xing-yang + https://github.com/xing-yang + + + https://avatars.githubusercontent.com/u/101085?s=40&v=4 + akutz + https://github.com/akutz + + + https://avatars.githubusercontent.com/u/278?s=40&v=4 + gnufied + https://github.com/gnufied @@ -341,6 +341,44 @@ + + coolsnowwolf/packages + https://github.com/coolsnowwolf/packages + Community maintained packages for OpenWrt. + https://github.com/coolsnowwolf/packages + Makefile + #427819 + 189 + 553 + 1 + + + https://avatars.githubusercontent.com/u/31687149?s=40&v=4 + coolsnowwolf + https://github.com/coolsnowwolf + + + https://avatars.githubusercontent.com/u/2918873?s=40&v=4 + neheb + https://github.com/neheb + + + https://avatars.githubusercontent.com/u/70857188?s=40&v=4 + Beginner-Go + https://github.com/Beginner-Go + + + https://avatars.githubusercontent.com/u/22235437?s=40&v=4 + 1715173329 + https://github.com/1715173329 + + + https://avatars.githubusercontent.com/u/2766732?s=40&v=4 + commodo + https://github.com/commodo + + + dbt-labs/dbt-utils https://github.com/dbt-labs/dbt-utils @@ -380,78 +418,78 @@ - container-storage-interface/spec - https://github.com/container-storage-interface/spec - Container Storage Interface (CSI) Specification. - https://github.com/container-storage-interface/spec + foostan/crkbd + https://github.com/foostan/crkbd + Corne keyboard, a split keyboard with 3x6 column staggered keys and 3 thumb keys. + https://github.com/foostan/crkbd Makefile #427819 - 1,356 - 373 - 2 + 5,658 + 983 + 28 - https://avatars.githubusercontent.com/u/10052848?s=40&v=4 - saad-ali - https://github.com/saad-ali + https://avatars.githubusercontent.com/u/736191?s=40&v=4 + foostan + https://github.com/foostan - https://avatars.githubusercontent.com/u/1778745?s=40&v=4 - jieyu - https://github.com/jieyu + https://avatars.githubusercontent.com/u/42907?s=40&v=4 + hoijui + https://github.com/hoijui - https://avatars.githubusercontent.com/u/1185309?s=40&v=4 - xing-yang - https://github.com/xing-yang + https://avatars.githubusercontent.com/u/19584?s=40&v=4 + jamesottaway + https://github.com/jamesottaway - https://avatars.githubusercontent.com/u/101085?s=40&v=4 - akutz - https://github.com/akutz + https://avatars.githubusercontent.com/u/5037505?s=40&v=4 + Naycon + https://github.com/Naycon - https://avatars.githubusercontent.com/u/278?s=40&v=4 - gnufied - https://github.com/gnufied + https://avatars.githubusercontent.com/u/59737601?s=40&v=4 + waffle87 + https://github.com/waffle87 - teaxyz/white-paper - https://github.com/teaxyz/white-paper - how will the protocol work? - https://github.com/teaxyz/white-paper + PartialVolume/shredos.x86_64 + https://github.com/PartialVolume/shredos.x86_64 + Shredos Disk Eraser 64 bit for all Intel 64 bit processors as well as processors from AMD and other vendors which make compatible 64 bit chips. ShredOS - Secure disk erasure/wipe + https://github.com/PartialVolume/shredos.x86_64 Makefile #427819 - 4,666 - 708 - 30 + 1,517 + 64 + 12 - https://avatars.githubusercontent.com/u/58962?s=40&v=4 - mxcl - https://github.com/mxcl + https://avatars.githubusercontent.com/u/22084881?s=40&v=4 + PartialVolume + https://github.com/PartialVolume - https://avatars.githubusercontent.com/u/13246308?s=40&v=4 - jhheider - https://github.com/jhheider + https://avatars.githubusercontent.com/u/116610?s=40&v=4 + petski + https://github.com/petski - https://avatars.githubusercontent.com/u/4049052?s=40&v=4 - mfts - https://github.com/mfts + https://avatars.githubusercontent.com/u/10724809?s=40&v=4 + wikijm + https://github.com/wikijm - https://avatars.githubusercontent.com/u/16858250?s=40&v=4 - thomas-borrel - https://github.com/thomas-borrel + https://avatars.githubusercontent.com/u/29705363?s=40&v=4 + ExaneServerTeam + https://github.com/ExaneServerTeam - https://avatars.githubusercontent.com/u/463528?s=40&v=4 - artburkart - https://github.com/artburkart + https://avatars.githubusercontent.com/u/579379?s=40&v=4 + fthobe + https://github.com/fthobe diff --git a/data/weekly/mako.json b/data/weekly/mako.json index 70bb308f88d9..a9324863fb86 100644 --- a/data/weekly/mako.json +++ b/data/weekly/mako.json @@ -2,6 +2,44 @@ "title": "GitHub Mako Languages Weekly Trending", "description": "Weekly Trending of Mako Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "vantage-sh/ec2instances.info", + "url": "https://github.com/vantage-sh/ec2instances.info", + "description": "Amazon EC2 instance comparison site", + "language": "Mako", + "languageColor": "#7e858d", + "stars": "5,216", + "forks": "585", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/70472?s=40&v=4", + "name": "powdahound", + "url": "https://github.com/powdahound" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3907080?s=40&v=4", + "name": "EverettBerry", + "url": "https://github.com/EverettBerry" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/245680?s=40&v=4", + "name": "brookemckim", + "url": "https://github.com/brookemckim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16725?s=40&v=4", + "name": "nelhage", + "url": "https://github.com/nelhage" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/95209?s=40&v=4", + "name": "cristim", + "url": "https://github.com/cristim" + } + ] + } + ] } \ No newline at end of file diff --git a/data/weekly/mako.xml b/data/weekly/mako.xml index 35105c1d3790..ecc65b30beb3 100644 --- a/data/weekly/mako.xml +++ b/data/weekly/mako.xml @@ -3,6 +3,44 @@ GitHub Mako Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mako Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + vantage-sh/ec2instances.info + https://github.com/vantage-sh/ec2instances.info + Amazon EC2 instance comparison site + https://github.com/vantage-sh/ec2instances.info + Mako + #7e858d + 5,216 + 585 + 8 + + + https://avatars.githubusercontent.com/u/70472?s=40&v=4 + powdahound + https://github.com/powdahound + + + https://avatars.githubusercontent.com/u/3907080?s=40&v=4 + EverettBerry + https://github.com/EverettBerry + + + https://avatars.githubusercontent.com/u/245680?s=40&v=4 + brookemckim + https://github.com/brookemckim + + + https://avatars.githubusercontent.com/u/16725?s=40&v=4 + nelhage + https://github.com/nelhage + + + https://avatars.githubusercontent.com/u/95209?s=40&v=4 + cristim + https://github.com/cristim + + + \ No newline at end of file diff --git a/data/weekly/marko.json b/data/weekly/marko.json index 26a66eb55f8d..caee9c274c67 100644 --- a/data/weekly/marko.json +++ b/data/weekly/marko.json @@ -2,6 +2,6 @@ "title": "GitHub Marko Languages Weekly Trending", "description": "Weekly Trending of Marko Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/marko.xml b/data/weekly/marko.xml index 125fa38225c0..a45a62b37d3e 100644 --- a/data/weekly/marko.xml +++ b/data/weekly/marko.xml @@ -3,6 +3,6 @@ GitHub Marko Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Marko Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mask.json b/data/weekly/mask.json index 7b99c53cc5fd..156b767b0fde 100644 --- a/data/weekly/mask.json +++ b/data/weekly/mask.json @@ -2,6 +2,6 @@ "title": "GitHub Mask Languages Weekly Trending", "description": "Weekly Trending of Mask Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mask.xml b/data/weekly/mask.xml index 5abc9dfae4ed..10a7698072d2 100644 --- a/data/weekly/mask.xml +++ b/data/weekly/mask.xml @@ -3,6 +3,6 @@ GitHub Mask Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mask Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mathematica.json b/data/weekly/mathematica.json index 5716a8b4958e..e6d47185f91b 100644 --- a/data/weekly/mathematica.json +++ b/data/weekly/mathematica.json @@ -2,7 +2,7 @@ "title": "GitHub Mathematica Languages Weekly Trending", "description": "Weekly Trending of Mathematica Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Autodesk/maya-usd", @@ -40,43 +40,6 @@ "url": "https://github.com/JGamache-autodesk" } ] - }, - { - "title": "Unity-Technologies/com.unity.multiplayer.samples.bitesize", - "url": "https://github.com/Unity-Technologies/com.unity.multiplayer.samples.bitesize", - "description": "A collection of smaller Bitesize samples to educate in isolation features of Netcode for GameObjects and related technologies.", - "language": "Mathematica", - "languageColor": "#dd1100", - "stars": "363", - "forks": "120", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/75813458?s=40&v=4", - "name": "fernando-cortez", - "url": "https://github.com/fernando-cortez" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43687322?s=40&v=4", - "name": "LukeStampfli", - "url": "https://github.com/LukeStampfli" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38663153?s=40&v=4", - "name": "Cosmin-B", - "url": "https://github.com/Cosmin-B" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89089503?s=40&v=4", - "name": "jilfranco-unity", - "url": "https://github.com/jilfranco-unity" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/71790295?s=40&v=4", - "name": "SamuelBellomo", - "url": "https://github.com/SamuelBellomo" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/mathematica.xml b/data/weekly/mathematica.xml index 5420e6f138bd..bb6ddb6d5836 100644 --- a/data/weekly/mathematica.xml +++ b/data/weekly/mathematica.xml @@ -3,7 +3,7 @@ GitHub Mathematica Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mathematica Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Autodesk/maya-usd https://github.com/Autodesk/maya-usd @@ -42,43 +42,5 @@ - - Unity-Technologies/com.unity.multiplayer.samples.bitesize - https://github.com/Unity-Technologies/com.unity.multiplayer.samples.bitesize - A collection of smaller Bitesize samples to educate in isolation features of Netcode for GameObjects and related technologies. - https://github.com/Unity-Technologies/com.unity.multiplayer.samples.bitesize - Mathematica - #dd1100 - 363 - 120 - 4 - - - https://avatars.githubusercontent.com/u/75813458?s=40&v=4 - fernando-cortez - https://github.com/fernando-cortez - - - https://avatars.githubusercontent.com/u/43687322?s=40&v=4 - LukeStampfli - https://github.com/LukeStampfli - - - https://avatars.githubusercontent.com/u/38663153?s=40&v=4 - Cosmin-B - https://github.com/Cosmin-B - - - https://avatars.githubusercontent.com/u/89089503?s=40&v=4 - jilfranco-unity - https://github.com/jilfranco-unity - - - https://avatars.githubusercontent.com/u/71790295?s=40&v=4 - SamuelBellomo - https://github.com/SamuelBellomo - - - \ No newline at end of file diff --git a/data/weekly/matlab.json b/data/weekly/matlab.json index f189d34732b2..4abf1354b71d 100644 --- a/data/weekly/matlab.json +++ b/data/weekly/matlab.json @@ -2,7 +2,7 @@ "title": "GitHub Matlab Languages Weekly Trending", "description": "Weekly Trending of Matlab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "TadasBaltrusaitis/OpenFace", @@ -77,43 +77,6 @@ "url": "https://github.com/StolkArjen" } ] - }, - { - "title": "BIMK/PlatEMO", - "url": "https://github.com/BIMK/PlatEMO", - "description": "Evolutionary multi-objective optimization platform", - "language": "MATLAB", - "languageColor": "#e16737", - "stars": "1,637", - "forks": "471", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/38847391?s=40&v=4", - "name": "DestinyMy", - "url": "https://github.com/DestinyMy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39080807?s=40&v=4", - "name": "anonymone", - "url": "https://github.com/anonymone" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/93068350?s=40&v=4", - "name": "HanLeI187", - "url": "https://github.com/HanLeI187" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/80321960?s=40&v=4", - "name": "Fantasy-Dzx", - "url": "https://github.com/Fantasy-Dzx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39430259?s=40&v=4", - "name": "tomtkg", - "url": "https://github.com/tomtkg" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/matlab.xml b/data/weekly/matlab.xml index 8aa318df51b7..a30999e5c87a 100644 --- a/data/weekly/matlab.xml +++ b/data/weekly/matlab.xml @@ -3,7 +3,7 @@ GitHub Matlab Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Matlab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT TadasBaltrusaitis/OpenFace https://github.com/TadasBaltrusaitis/OpenFace @@ -80,43 +80,5 @@ - - BIMK/PlatEMO - https://github.com/BIMK/PlatEMO - Evolutionary multi-objective optimization platform - https://github.com/BIMK/PlatEMO - MATLAB - #e16737 - 1,637 - 471 - 7 - - - https://avatars.githubusercontent.com/u/38847391?s=40&v=4 - DestinyMy - https://github.com/DestinyMy - - - https://avatars.githubusercontent.com/u/39080807?s=40&v=4 - anonymone - https://github.com/anonymone - - - https://avatars.githubusercontent.com/u/93068350?s=40&v=4 - HanLeI187 - https://github.com/HanLeI187 - - - https://avatars.githubusercontent.com/u/80321960?s=40&v=4 - Fantasy-Dzx - https://github.com/Fantasy-Dzx - - - https://avatars.githubusercontent.com/u/39430259?s=40&v=4 - tomtkg - https://github.com/tomtkg - - - \ No newline at end of file diff --git a/data/weekly/maven-pom.json b/data/weekly/maven-pom.json index 8dba0eeb2089..37d82135803b 100644 --- a/data/weekly/maven-pom.json +++ b/data/weekly/maven-pom.json @@ -2,6 +2,6 @@ "title": "GitHub Maven-pom Languages Weekly Trending", "description": "Weekly Trending of Maven-pom Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/maven-pom.xml b/data/weekly/maven-pom.xml index a89716d584df..df8e05e449ff 100644 --- a/data/weekly/maven-pom.xml +++ b/data/weekly/maven-pom.xml @@ -3,6 +3,6 @@ GitHub Maven-pom Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Maven-pom Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/max.json b/data/weekly/max.json index cc3b2016947e..578836d9e460 100644 --- a/data/weekly/max.json +++ b/data/weekly/max.json @@ -2,6 +2,6 @@ "title": "GitHub Max Languages Weekly Trending", "description": "Weekly Trending of Max Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/max.xml b/data/weekly/max.xml index 2763278a6e92..9d064de9d407 100644 --- a/data/weekly/max.xml +++ b/data/weekly/max.xml @@ -3,6 +3,6 @@ GitHub Max Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Max Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/maxscript.json b/data/weekly/maxscript.json index 7820f3977e38..4b64979e102e 100644 --- a/data/weekly/maxscript.json +++ b/data/weekly/maxscript.json @@ -2,6 +2,6 @@ "title": "GitHub Maxscript Languages Weekly Trending", "description": "Weekly Trending of Maxscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/maxscript.xml b/data/weekly/maxscript.xml index 6351de4b9f17..20ade454507b 100644 --- a/data/weekly/maxscript.xml +++ b/data/weekly/maxscript.xml @@ -3,6 +3,6 @@ GitHub Maxscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Maxscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mcfunction.json b/data/weekly/mcfunction.json index 743c1fedcc5e..28fbb8975a1a 100644 --- a/data/weekly/mcfunction.json +++ b/data/weekly/mcfunction.json @@ -2,7 +2,7 @@ "title": "GitHub Mcfunction Languages Weekly Trending", "description": "Weekly Trending of Mcfunction Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "QuantumXenon/origins-plus-plus", diff --git a/data/weekly/mcfunction.xml b/data/weekly/mcfunction.xml index 5b2f3c19e12f..db860f0fdf76 100644 --- a/data/weekly/mcfunction.xml +++ b/data/weekly/mcfunction.xml @@ -3,7 +3,7 @@ GitHub Mcfunction Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mcfunction Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT QuantumXenon/origins-plus-plus https://github.com/QuantumXenon/origins-plus-plus diff --git a/data/weekly/mdx.json b/data/weekly/mdx.json index 8b6f53bdf98d..039b67097159 100644 --- a/data/weekly/mdx.json +++ b/data/weekly/mdx.json @@ -2,7 +2,7 @@ "title": "GitHub Mdx Languages Weekly Trending", "description": "Weekly Trending of Mdx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "openai/openai-cookbook", @@ -10,7 +10,7 @@ "description": "Examples and guides for using the OpenAI API", "language": "MDX", "languageColor": "#fcb32c", - "stars": "60,272", + "stars": "60,284", "forks": "9,574", "addStars": "491", "contributors": [ @@ -41,43 +41,6 @@ } ] }, - { - "title": "tailwindlabs/tailwindcss.com", - "url": "https://github.com/tailwindlabs/tailwindcss.com", - "description": "The Tailwind CSS documentation website.", - "language": "MDX", - "languageColor": "#fcb32c", - "stars": "3,306", - "forks": "1,768", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4323180?s=40&v=4", - "name": "adamwathan", - "url": "https://github.com/adamwathan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2615508?s=40&v=4", - "name": "bradlc", - "url": "https://github.com/bradlc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/882133?s=40&v=4", - "name": "reinink", - "url": "https://github.com/reinink" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/485747?s=40&v=4", - "name": "simonswiss", - "url": "https://github.com/simonswiss" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25065083?s=40&v=4", - "name": "jasonlbeggs", - "url": "https://github.com/jasonlbeggs" - } - ] - }, { "title": "cloudflare/cloudflare-docs", "url": "https://github.com/cloudflare/cloudflare-docs", @@ -85,7 +48,7 @@ "language": "MDX", "languageColor": "#fcb32c", "stars": "3,108", - "forks": "4,863", + "forks": "4,864", "addStars": "34", "contributors": [ { @@ -115,14 +78,51 @@ } ] }, + { + "title": "tailwindlabs/tailwindcss.com", + "url": "https://github.com/tailwindlabs/tailwindcss.com", + "description": "The Tailwind CSS documentation website.", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "3,308", + "forks": "1,768", + "addStars": "8", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4323180?s=40&v=4", + "name": "adamwathan", + "url": "https://github.com/adamwathan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2615508?s=40&v=4", + "name": "bradlc", + "url": "https://github.com/bradlc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/882133?s=40&v=4", + "name": "reinink", + "url": "https://github.com/reinink" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/485747?s=40&v=4", + "name": "simonswiss", + "url": "https://github.com/simonswiss" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25065083?s=40&v=4", + "name": "jasonlbeggs", + "url": "https://github.com/jasonlbeggs" + } + ] + }, { "title": "dair-ai/Prompt-Engineering-Guide", "url": "https://github.com/dair-ai/Prompt-Engineering-Guide", "description": "🐙 Guides, papers, lecture, notebooks and resources for prompt engineering", "language": "MDX", "languageColor": "#fcb32c", - "stars": "50,459", - "forks": "4,893", + "stars": "50,466", + "forks": "4,894", "addStars": "181", "contributors": [ { @@ -148,29 +148,39 @@ ] }, { - "title": "tauri-apps/tauri-docs", - "url": "https://github.com/tauri-apps/tauri-docs", - "description": "The source for all Tauri project documentation.", + "title": "withastro/docs", + "url": "https://github.com/withastro/docs", + "description": "Astro documentation", "language": "MDX", "languageColor": "#fcb32c", - "stars": "832", - "forks": "628", - "addStars": "4", + "stars": "1,340", + "forks": "1,507", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/15347255?s=40&v=4", - "name": "lorenzolewis", - "url": "https://github.com/lorenzolewis" + "avatar": "https://avatars.githubusercontent.com/u/61414485?s=40&v=4", + "name": "yanthomasdev", + "url": "https://github.com/yanthomasdev" }, { - "avatar": "https://avatars.githubusercontent.com/u/61759797?s=40&v=4", - "name": "vasfvitor", - "url": "https://github.com/vasfvitor" + "avatar": "https://avatars.githubusercontent.com/u/5098874?s=40&v=4", + "name": "sarah11918", + "url": "https://github.com/sarah11918" }, { - "avatar": "https://avatars.githubusercontent.com/u/79983560?s=40&v=4", - "name": "simonhyll", - "url": "https://github.com/simonhyll" + "avatar": "https://avatars.githubusercontent.com/u/357379?s=40&v=4", + "name": "delucis", + "url": "https://github.com/delucis" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/85648028?s=40&v=4", + "name": "dreyfus92", + "url": "https://github.com/dreyfus92" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/39112954?s=40&v=4", + "name": "jsparkdev", + "url": "https://github.com/jsparkdev" } ] }, @@ -212,76 +222,61 @@ ] }, { - "title": "withastro/docs", - "url": "https://github.com/withastro/docs", - "description": "Astro documentation", + "title": "tauri-apps/tauri-docs", + "url": "https://github.com/tauri-apps/tauri-docs", + "description": "The source for all Tauri project documentation.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "1,340", - "forks": "1,507", - "addStars": "2", + "stars": "832", + "forks": "629", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/61414485?s=40&v=4", - "name": "yanthomasdev", - "url": "https://github.com/yanthomasdev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5098874?s=40&v=4", - "name": "sarah11918", - "url": "https://github.com/sarah11918" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/357379?s=40&v=4", - "name": "delucis", - "url": "https://github.com/delucis" + "avatar": "https://avatars.githubusercontent.com/u/15347255?s=40&v=4", + "name": "lorenzolewis", + "url": "https://github.com/lorenzolewis" }, { - "avatar": "https://avatars.githubusercontent.com/u/85648028?s=40&v=4", - "name": "dreyfus92", - "url": "https://github.com/dreyfus92" + "avatar": "https://avatars.githubusercontent.com/u/61759797?s=40&v=4", + "name": "vasfvitor", + "url": "https://github.com/vasfvitor" }, { - "avatar": "https://avatars.githubusercontent.com/u/39112954?s=40&v=4", - "name": "jsparkdev", - "url": "https://github.com/jsparkdev" + "avatar": "https://avatars.githubusercontent.com/u/79983560?s=40&v=4", + "name": "simonhyll", + "url": "https://github.com/simonhyll" } ] }, { - "title": "chronark/chronark.com", - "url": "https://github.com/chronark/chronark.com", - "description": "", + "title": "nolimits4web/swiper-website", + "url": "https://github.com/nolimits4web/swiper-website", + "description": "Swiper website", "language": "MDX", "languageColor": "#fcb32c", - "stars": "693", - "forks": "388", - "addStars": "3", + "stars": "104", + "forks": "720", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18246773?s=40&v=4", - "name": "chronark", - "url": "https://github.com/chronark" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4375169?s=40&v=4", - "name": "liuxuan30", - "url": "https://github.com/liuxuan30" + "avatar": "https://avatars.githubusercontent.com/u/999588?s=40&v=4", + "name": "nolimits4web", + "url": "https://github.com/nolimits4web" }, { - "avatar": "https://avatars.githubusercontent.com/u/3906712?s=40&v=4", - "name": "dodyagung", - "url": "https://github.com/dodyagung" + "avatar": "https://avatars.githubusercontent.com/u/5851280?s=40&v=4", + "name": "vltansky", + "url": "https://github.com/vltansky" }, { - "avatar": "https://avatars.githubusercontent.com/u/38860802?s=40&v=4", - "name": "c0nf1den71al", - "url": "https://github.com/c0nf1den71al" + "avatar": "https://avatars.githubusercontent.com/u/1197819?s=40&v=4", + "name": "DAnn2012", + "url": "https://github.com/DAnn2012" }, { - "avatar": "https://avatars.githubusercontent.com/u/54906363?s=40&v=4", - "name": "ryanmalani", - "url": "https://github.com/ryanmalani" + "avatar": "https://avatars.githubusercontent.com/u/4288643?s=40&v=4", + "name": "MladenJanjetovic", + "url": "https://github.com/MladenJanjetovic" } ] }, @@ -291,7 +286,7 @@ "description": "A technical explainer by @kognise of how your computer runs programs, from start to finish.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "5,004", + "stars": "5,005", "forks": "157", "addStars": "17", "contributors": [ @@ -323,71 +318,113 @@ ] }, { - "title": "nolimits4web/swiper-website", - "url": "https://github.com/nolimits4web/swiper-website", - "description": "Swiper website", + "title": "chronark/chronark.com", + "url": "https://github.com/chronark/chronark.com", + "description": "", "language": "MDX", "languageColor": "#fcb32c", - "stars": "104", - "forks": "720", - "addStars": "0", + "stars": "693", + "forks": "388", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/999588?s=40&v=4", - "name": "nolimits4web", - "url": "https://github.com/nolimits4web" + "avatar": "https://avatars.githubusercontent.com/u/18246773?s=40&v=4", + "name": "chronark", + "url": "https://github.com/chronark" }, { - "avatar": "https://avatars.githubusercontent.com/u/5851280?s=40&v=4", - "name": "vltansky", - "url": "https://github.com/vltansky" + "avatar": "https://avatars.githubusercontent.com/u/4375169?s=40&v=4", + "name": "liuxuan30", + "url": "https://github.com/liuxuan30" }, { - "avatar": "https://avatars.githubusercontent.com/u/1197819?s=40&v=4", - "name": "DAnn2012", - "url": "https://github.com/DAnn2012" + "avatar": "https://avatars.githubusercontent.com/u/3906712?s=40&v=4", + "name": "dodyagung", + "url": "https://github.com/dodyagung" }, { - "avatar": "https://avatars.githubusercontent.com/u/4288643?s=40&v=4", - "name": "MladenJanjetovic", - "url": "https://github.com/MladenJanjetovic" + "avatar": "https://avatars.githubusercontent.com/u/38860802?s=40&v=4", + "name": "c0nf1den71al", + "url": "https://github.com/c0nf1den71al" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54906363?s=40&v=4", + "name": "ryanmalani", + "url": "https://github.com/ryanmalani" } ] }, { - "title": "openmultiplayer/web", - "url": "https://github.com/openmultiplayer/web", - "description": "The open.mp web services monorepo. Homepage, documentation, server index, and more! All in one place.", + "title": "shuding/cobe", + "url": "https://github.com/shuding/cobe", + "description": "5kB WebGL globe lib.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "111", - "forks": "372", + "stars": "3,273", + "forks": "178", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3676859?s=40&v=4", + "name": "shuding", + "url": "https://github.com/shuding" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37938090?s=40&v=4", + "name": "danieljpgo", + "url": "https://github.com/danieljpgo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31657298?s=40&v=4", + "name": "anaclumos", + "url": "https://github.com/anaclumos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1175749?s=40&v=4", + "name": "farteryhr", + "url": "https://github.com/farteryhr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4550621?s=40&v=4", + "name": "Twixes", + "url": "https://github.com/Twixes" + } + ] + }, + { + "title": "AdguardTeam/KnowledgeBase", + "url": "https://github.com/AdguardTeam/KnowledgeBase", + "description": "AdGuard knowledge base", + "language": "MDX", + "languageColor": "#fcb32c", + "stars": "64", + "forks": "176", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1636971?s=40&v=4", - "name": "Southclaws", - "url": "https://github.com/Southclaws" + "avatar": "https://avatars.githubusercontent.com/u/58733007?s=40&v=4", + "name": "el-termikael", + "url": "https://github.com/el-termikael" }, { - "avatar": "https://avatars.githubusercontent.com/u/92677767?s=40&v=4", - "name": "adib-yg", - "url": "https://github.com/adib-yg" + "avatar": "https://avatars.githubusercontent.com/u/45171506?s=40&v=4", + "name": "slavaleleka", + "url": "https://github.com/slavaleleka" }, { - "avatar": "https://avatars.githubusercontent.com/u/34688664?s=40&v=4", - "name": "AmyrAhmady", - "url": "https://github.com/AmyrAhmady" + "avatar": "https://avatars.githubusercontent.com/u/8577533?s=40&v=4", + "name": "vbagirov", + "url": "https://github.com/vbagirov" }, { - "avatar": "https://avatars.githubusercontent.com/u/65982671?s=40&v=4", - "name": "daddyDOT", - "url": "https://github.com/daddyDOT" + "avatar": "https://avatars.githubusercontent.com/u/92315747?s=40&v=4", + "name": "anastasiia-fedotova", + "url": "https://github.com/anastasiia-fedotova" }, { - "avatar": "https://avatars.githubusercontent.com/u/73671806?s=40&v=4", - "name": "ulasbayraktar", - "url": "https://github.com/ulasbayraktar" + "avatar": "https://avatars.githubusercontent.com/u/141145497?s=40&v=4", + "name": "SeverAnna", + "url": "https://github.com/SeverAnna" } ] }, @@ -429,39 +466,39 @@ ] }, { - "title": "aptos-labs/developer-docs", - "url": "https://github.com/aptos-labs/developer-docs", - "description": "Source for the Aptos developer docs", + "title": "ngrok/ngrok-docs", + "url": "https://github.com/ngrok/ngrok-docs", + "description": "ngrok's official documentation", "language": "MDX", "languageColor": "#fcb32c", - "stars": "888", - "forks": "98", - "addStars": "4", + "stars": "57", + "forks": "2,303", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/31301117?s=40&v=4", - "name": "hariria", - "url": "https://github.com/hariria" + "avatar": "https://avatars.githubusercontent.com/u/4805997?s=40&v=4", + "name": "russorat", + "url": "https://github.com/russorat" }, { - "avatar": "https://avatars.githubusercontent.com/u/1335270?s=40&v=4", - "name": "gregnazario", - "url": "https://github.com/gregnazario" + "avatar": "https://avatars.githubusercontent.com/u/23283473?s=40&v=4", + "name": "faonbr", + "url": "https://github.com/faonbr" }, { - "avatar": "https://avatars.githubusercontent.com/u/163934542?s=40&v=4", - "name": "jmintuitive", - "url": "https://github.com/jmintuitive" + "avatar": "https://avatars.githubusercontent.com/u/5110038?s=40&v=4", + "name": "salilsub", + "url": "https://github.com/salilsub" }, { - "avatar": "https://avatars.githubusercontent.com/u/29798064?s=40&v=4", - "name": "0xmaayan", - "url": "https://github.com/0xmaayan" + "avatar": "https://avatars.githubusercontent.com/u/198276?s=40&v=4", + "name": "nijikokun", + "url": "https://github.com/nijikokun" }, { - "avatar": "https://avatars.githubusercontent.com/u/6522980?s=40&v=4", - "name": "WGB5445", - "url": "https://github.com/WGB5445" + "avatar": "https://avatars.githubusercontent.com/u/34115417?s=40&v=4", + "name": "cody-dot-js", + "url": "https://github.com/cody-dot-js" } ] }, @@ -471,7 +508,7 @@ "description": "The Hugging Face course on Transformers", "language": "MDX", "languageColor": "#fcb32c", - "stars": "2,268", + "stars": "2,269", "forks": "752", "addStars": "11", "contributors": [ @@ -503,108 +540,66 @@ ] }, { - "title": "ngrok/ngrok-docs", - "url": "https://github.com/ngrok/ngrok-docs", - "description": "ngrok's official documentation", + "title": "jellyfin/jellyfin.org", + "url": "https://github.com/jellyfin/jellyfin.org", + "description": "The Jellyfin website, blog, and user documentation", "language": "MDX", "languageColor": "#fcb32c", - "stars": "57", - "forks": "2,304", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4805997?s=40&v=4", - "name": "russorat", - "url": "https://github.com/russorat" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23283473?s=40&v=4", - "name": "faonbr", - "url": "https://github.com/faonbr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5110038?s=40&v=4", - "name": "salilsub", - "url": "https://github.com/salilsub" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/198276?s=40&v=4", - "name": "nijikokun", - "url": "https://github.com/nijikokun" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34115417?s=40&v=4", - "name": "cody-dot-js", - "url": "https://github.com/cody-dot-js" - } - ] - }, - { - "title": "yewstack/yew", - "url": "https://github.com/yewstack/yew", - "description": "Rust / Wasm framework for creating reliable and efficient web applications", - "language": "MDX", - "languageColor": "#fcb32c", - "stars": "30,867", - "forks": "1,429", - "addStars": "44", + "stars": "85", + "forks": "324", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/418920?s=40&v=4", - "name": "therustmonk", - "url": "https://github.com/therustmonk" + "avatar": "https://avatars.githubusercontent.com/u/2305178?s=40&v=4", + "name": "nielsvanvelzen", + "url": "https://github.com/nielsvanvelzen" }, { - "avatar": "https://avatars.githubusercontent.com/u/1076145?s=40&v=4", - "name": "jstarry", - "url": "https://github.com/jstarry" + "avatar": "https://avatars.githubusercontent.com/u/3741446?s=40&v=4", + "name": "Shadowghost", + "url": "https://github.com/Shadowghost" }, { - "avatar": "https://avatars.githubusercontent.com/u/47357913?s=40&v=4", - "name": "ranile", - "url": "https://github.com/ranile" + "avatar": "https://avatars.githubusercontent.com/u/3450688?s=40&v=4", + "name": "thornbill", + "url": "https://github.com/thornbill" }, { - "avatar": "https://avatars.githubusercontent.com/u/16530283?s=40&v=4", - "name": "siku2", - "url": "https://github.com/siku2" + "avatar": "https://avatars.githubusercontent.com/u/25688628?s=40&v=4", + "name": "felix920506", + "url": "https://github.com/felix920506" } ] }, { - "title": "elevenlabs/elevenlabs-docs", - "url": "https://github.com/elevenlabs/elevenlabs-docs", - "description": "Documentation for elevenlabs.io/docs", + "title": "freeCodeCamp/contribute", + "url": "https://github.com/freeCodeCamp/contribute", + "description": "> docs site for all things contributions. begin your contribution journey here.", "language": "MDX", "languageColor": "#fcb32c", - "stars": "66", - "forks": "287", - "addStars": "1", + "stars": "57", + "forks": "81", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/134134093?s=40&v=4", - "name": "J-ElevenLabs", - "url": "https://github.com/J-ElevenLabs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/86924567?s=40&v=4", - "name": "rayan-saleh", - "url": "https://github.com/rayan-saleh" + "avatar": "https://avatars.githubusercontent.com/u/13561988?s=40&v=4", + "name": "camperbot", + "url": "https://github.com/camperbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/11902085?s=40&v=4", - "name": "limo1996", - "url": "https://github.com/limo1996" + "avatar": "https://avatars.githubusercontent.com/u/1884376?s=40&v=4", + "name": "raisedadead", + "url": "https://github.com/raisedadead" }, { - "avatar": "https://avatars.githubusercontent.com/u/132601011?s=40&v=4", - "name": "louisjoecodes", - "url": "https://github.com/louisjoecodes" + "avatar": "https://avatars.githubusercontent.com/u/15801806?s=40&v=4", + "name": "ojeytonwilliams", + "url": "https://github.com/ojeytonwilliams" }, { - "avatar": "https://avatars.githubusercontent.com/u/22766134?s=40&v=4", - "name": "lharries", - "url": "https://github.com/lharries" + "avatar": "https://avatars.githubusercontent.com/u/51722130?s=40&v=4", + "name": "ShaunSHamilton", + "url": "https://github.com/ShaunSHamilton" } ] } diff --git a/data/weekly/mdx.xml b/data/weekly/mdx.xml index 27ff2ce27f71..67540a4f2247 100644 --- a/data/weekly/mdx.xml +++ b/data/weekly/mdx.xml @@ -3,7 +3,7 @@ GitHub Mdx Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mdx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT openai/openai-cookbook https://github.com/openai/openai-cookbook @@ -11,7 +11,7 @@ https://github.com/openai/openai-cookbook MDX #fcb32c - 60,272 + 60,284 9,574 491 @@ -42,44 +42,6 @@ - - tailwindlabs/tailwindcss.com - https://github.com/tailwindlabs/tailwindcss.com - The Tailwind CSS documentation website. - https://github.com/tailwindlabs/tailwindcss.com - MDX - #fcb32c - 3,306 - 1,768 - 8 - - - https://avatars.githubusercontent.com/u/4323180?s=40&v=4 - adamwathan - https://github.com/adamwathan - - - https://avatars.githubusercontent.com/u/2615508?s=40&v=4 - bradlc - https://github.com/bradlc - - - https://avatars.githubusercontent.com/u/882133?s=40&v=4 - reinink - https://github.com/reinink - - - https://avatars.githubusercontent.com/u/485747?s=40&v=4 - simonswiss - https://github.com/simonswiss - - - https://avatars.githubusercontent.com/u/25065083?s=40&v=4 - jasonlbeggs - https://github.com/jasonlbeggs - - - cloudflare/cloudflare-docs https://github.com/cloudflare/cloudflare-docs @@ -88,7 +50,7 @@ MDX #fcb32c 3,108 - 4,863 + 4,864 34 @@ -118,6 +80,44 @@ + + tailwindlabs/tailwindcss.com + https://github.com/tailwindlabs/tailwindcss.com + The Tailwind CSS documentation website. + https://github.com/tailwindlabs/tailwindcss.com + MDX + #fcb32c + 3,308 + 1,768 + 8 + + + https://avatars.githubusercontent.com/u/4323180?s=40&v=4 + adamwathan + https://github.com/adamwathan + + + https://avatars.githubusercontent.com/u/2615508?s=40&v=4 + bradlc + https://github.com/bradlc + + + https://avatars.githubusercontent.com/u/882133?s=40&v=4 + reinink + https://github.com/reinink + + + https://avatars.githubusercontent.com/u/485747?s=40&v=4 + simonswiss + https://github.com/simonswiss + + + https://avatars.githubusercontent.com/u/25065083?s=40&v=4 + jasonlbeggs + https://github.com/jasonlbeggs + + + dair-ai/Prompt-Engineering-Guide https://github.com/dair-ai/Prompt-Engineering-Guide @@ -125,8 +125,8 @@ https://github.com/dair-ai/Prompt-Engineering-Guide MDX #fcb32c - 50,459 - 4,893 + 50,466 + 4,894 181 @@ -152,30 +152,40 @@ - tauri-apps/tauri-docs - https://github.com/tauri-apps/tauri-docs - The source for all Tauri project documentation. - https://github.com/tauri-apps/tauri-docs + withastro/docs + https://github.com/withastro/docs + Astro documentation + https://github.com/withastro/docs MDX #fcb32c - 832 - 628 - 4 + 1,340 + 1,507 + 2 - https://avatars.githubusercontent.com/u/15347255?s=40&v=4 - lorenzolewis - https://github.com/lorenzolewis + https://avatars.githubusercontent.com/u/61414485?s=40&v=4 + yanthomasdev + https://github.com/yanthomasdev - https://avatars.githubusercontent.com/u/61759797?s=40&v=4 - vasfvitor - https://github.com/vasfvitor + https://avatars.githubusercontent.com/u/5098874?s=40&v=4 + sarah11918 + https://github.com/sarah11918 - https://avatars.githubusercontent.com/u/79983560?s=40&v=4 - simonhyll - https://github.com/simonhyll + https://avatars.githubusercontent.com/u/357379?s=40&v=4 + delucis + https://github.com/delucis + + + https://avatars.githubusercontent.com/u/85648028?s=40&v=4 + dreyfus92 + https://github.com/dreyfus92 + + + https://avatars.githubusercontent.com/u/39112954?s=40&v=4 + jsparkdev + https://github.com/jsparkdev @@ -218,78 +228,63 @@ - withastro/docs - https://github.com/withastro/docs - Astro documentation - https://github.com/withastro/docs + tauri-apps/tauri-docs + https://github.com/tauri-apps/tauri-docs + The source for all Tauri project documentation. + https://github.com/tauri-apps/tauri-docs MDX #fcb32c - 1,340 - 1,507 - 2 + 832 + 629 + 4 - https://avatars.githubusercontent.com/u/61414485?s=40&v=4 - yanthomasdev - https://github.com/yanthomasdev - - - https://avatars.githubusercontent.com/u/5098874?s=40&v=4 - sarah11918 - https://github.com/sarah11918 - - - https://avatars.githubusercontent.com/u/357379?s=40&v=4 - delucis - https://github.com/delucis + https://avatars.githubusercontent.com/u/15347255?s=40&v=4 + lorenzolewis + https://github.com/lorenzolewis - https://avatars.githubusercontent.com/u/85648028?s=40&v=4 - dreyfus92 - https://github.com/dreyfus92 + https://avatars.githubusercontent.com/u/61759797?s=40&v=4 + vasfvitor + https://github.com/vasfvitor - https://avatars.githubusercontent.com/u/39112954?s=40&v=4 - jsparkdev - https://github.com/jsparkdev + https://avatars.githubusercontent.com/u/79983560?s=40&v=4 + simonhyll + https://github.com/simonhyll - chronark/chronark.com - https://github.com/chronark/chronark.com - - https://github.com/chronark/chronark.com + nolimits4web/swiper-website + https://github.com/nolimits4web/swiper-website + Swiper website + https://github.com/nolimits4web/swiper-website MDX #fcb32c - 693 - 388 - 3 + 104 + 720 + 0 - https://avatars.githubusercontent.com/u/18246773?s=40&v=4 - chronark - https://github.com/chronark - - - https://avatars.githubusercontent.com/u/4375169?s=40&v=4 - liuxuan30 - https://github.com/liuxuan30 + https://avatars.githubusercontent.com/u/999588?s=40&v=4 + nolimits4web + https://github.com/nolimits4web - https://avatars.githubusercontent.com/u/3906712?s=40&v=4 - dodyagung - https://github.com/dodyagung + https://avatars.githubusercontent.com/u/5851280?s=40&v=4 + vltansky + https://github.com/vltansky - https://avatars.githubusercontent.com/u/38860802?s=40&v=4 - c0nf1den71al - https://github.com/c0nf1den71al + https://avatars.githubusercontent.com/u/1197819?s=40&v=4 + DAnn2012 + https://github.com/DAnn2012 - https://avatars.githubusercontent.com/u/54906363?s=40&v=4 - ryanmalani - https://github.com/ryanmalani + https://avatars.githubusercontent.com/u/4288643?s=40&v=4 + MladenJanjetovic + https://github.com/MladenJanjetovic @@ -300,7 +295,7 @@ https://github.com/hackclub/putting-the-you-in-cpu MDX #fcb32c - 5,004 + 5,005 157 17 @@ -332,73 +327,116 @@ - nolimits4web/swiper-website - https://github.com/nolimits4web/swiper-website - Swiper website - https://github.com/nolimits4web/swiper-website + chronark/chronark.com + https://github.com/chronark/chronark.com + + https://github.com/chronark/chronark.com MDX #fcb32c - 104 - 720 - 0 + 693 + 388 + 3 - https://avatars.githubusercontent.com/u/999588?s=40&v=4 - nolimits4web - https://github.com/nolimits4web + https://avatars.githubusercontent.com/u/18246773?s=40&v=4 + chronark + https://github.com/chronark - https://avatars.githubusercontent.com/u/5851280?s=40&v=4 - vltansky - https://github.com/vltansky + https://avatars.githubusercontent.com/u/4375169?s=40&v=4 + liuxuan30 + https://github.com/liuxuan30 - https://avatars.githubusercontent.com/u/1197819?s=40&v=4 - DAnn2012 - https://github.com/DAnn2012 + https://avatars.githubusercontent.com/u/3906712?s=40&v=4 + dodyagung + https://github.com/dodyagung - https://avatars.githubusercontent.com/u/4288643?s=40&v=4 - MladenJanjetovic - https://github.com/MladenJanjetovic + https://avatars.githubusercontent.com/u/38860802?s=40&v=4 + c0nf1den71al + https://github.com/c0nf1den71al + + + https://avatars.githubusercontent.com/u/54906363?s=40&v=4 + ryanmalani + https://github.com/ryanmalani - openmultiplayer/web - https://github.com/openmultiplayer/web - The open.mp web services monorepo. Homepage, documentation, server index, and more! All in one place. - https://github.com/openmultiplayer/web + shuding/cobe + https://github.com/shuding/cobe + 5kB WebGL globe lib. + https://github.com/shuding/cobe MDX #fcb32c - 111 - 372 + 3,273 + 178 + 19 + + + https://avatars.githubusercontent.com/u/3676859?s=40&v=4 + shuding + https://github.com/shuding + + + https://avatars.githubusercontent.com/u/37938090?s=40&v=4 + danieljpgo + https://github.com/danieljpgo + + + https://avatars.githubusercontent.com/u/31657298?s=40&v=4 + anaclumos + https://github.com/anaclumos + + + https://avatars.githubusercontent.com/u/1175749?s=40&v=4 + farteryhr + https://github.com/farteryhr + + + https://avatars.githubusercontent.com/u/4550621?s=40&v=4 + Twixes + https://github.com/Twixes + + + + + AdguardTeam/KnowledgeBase + https://github.com/AdguardTeam/KnowledgeBase + AdGuard knowledge base + https://github.com/AdguardTeam/KnowledgeBase + MDX + #fcb32c + 64 + 176 1 - https://avatars.githubusercontent.com/u/1636971?s=40&v=4 - Southclaws - https://github.com/Southclaws + https://avatars.githubusercontent.com/u/58733007?s=40&v=4 + el-termikael + https://github.com/el-termikael - https://avatars.githubusercontent.com/u/92677767?s=40&v=4 - adib-yg - https://github.com/adib-yg + https://avatars.githubusercontent.com/u/45171506?s=40&v=4 + slavaleleka + https://github.com/slavaleleka - https://avatars.githubusercontent.com/u/34688664?s=40&v=4 - AmyrAhmady - https://github.com/AmyrAhmady + https://avatars.githubusercontent.com/u/8577533?s=40&v=4 + vbagirov + https://github.com/vbagirov - https://avatars.githubusercontent.com/u/65982671?s=40&v=4 - daddyDOT - https://github.com/daddyDOT + https://avatars.githubusercontent.com/u/92315747?s=40&v=4 + anastasiia-fedotova + https://github.com/anastasiia-fedotova - https://avatars.githubusercontent.com/u/73671806?s=40&v=4 - ulasbayraktar - https://github.com/ulasbayraktar + https://avatars.githubusercontent.com/u/141145497?s=40&v=4 + SeverAnna + https://github.com/SeverAnna @@ -441,40 +479,40 @@ - aptos-labs/developer-docs - https://github.com/aptos-labs/developer-docs - Source for the Aptos developer docs - https://github.com/aptos-labs/developer-docs + ngrok/ngrok-docs + https://github.com/ngrok/ngrok-docs + ngrok's official documentation + https://github.com/ngrok/ngrok-docs MDX #fcb32c - 888 - 98 - 4 + 57 + 2,303 + 1 - https://avatars.githubusercontent.com/u/31301117?s=40&v=4 - hariria - https://github.com/hariria + https://avatars.githubusercontent.com/u/4805997?s=40&v=4 + russorat + https://github.com/russorat - https://avatars.githubusercontent.com/u/1335270?s=40&v=4 - gregnazario - https://github.com/gregnazario + https://avatars.githubusercontent.com/u/23283473?s=40&v=4 + faonbr + https://github.com/faonbr - https://avatars.githubusercontent.com/u/163934542?s=40&v=4 - jmintuitive - https://github.com/jmintuitive + https://avatars.githubusercontent.com/u/5110038?s=40&v=4 + salilsub + https://github.com/salilsub - https://avatars.githubusercontent.com/u/29798064?s=40&v=4 - 0xmaayan - https://github.com/0xmaayan + https://avatars.githubusercontent.com/u/198276?s=40&v=4 + nijikokun + https://github.com/nijikokun - https://avatars.githubusercontent.com/u/6522980?s=40&v=4 - WGB5445 - https://github.com/WGB5445 + https://avatars.githubusercontent.com/u/34115417?s=40&v=4 + cody-dot-js + https://github.com/cody-dot-js @@ -485,7 +523,7 @@ https://github.com/huggingface/course MDX #fcb32c - 2,268 + 2,269 752 11 @@ -517,111 +555,68 @@ - ngrok/ngrok-docs - https://github.com/ngrok/ngrok-docs - ngrok's official documentation - https://github.com/ngrok/ngrok-docs - MDX - #fcb32c - 57 - 2,304 - 1 - - - https://avatars.githubusercontent.com/u/4805997?s=40&v=4 - russorat - https://github.com/russorat - - - https://avatars.githubusercontent.com/u/23283473?s=40&v=4 - faonbr - https://github.com/faonbr - - - https://avatars.githubusercontent.com/u/5110038?s=40&v=4 - salilsub - https://github.com/salilsub - - - https://avatars.githubusercontent.com/u/198276?s=40&v=4 - nijikokun - https://github.com/nijikokun - - - https://avatars.githubusercontent.com/u/34115417?s=40&v=4 - cody-dot-js - https://github.com/cody-dot-js - - - - - yewstack/yew - https://github.com/yewstack/yew - Rust / Wasm framework for creating reliable and efficient web applications - https://github.com/yewstack/yew + jellyfin/jellyfin.org + https://github.com/jellyfin/jellyfin.org + The Jellyfin website, blog, and user documentation + https://github.com/jellyfin/jellyfin.org MDX #fcb32c - 30,867 - 1,429 - 44 + 85 + 324 + 2 - https://avatars.githubusercontent.com/u/418920?s=40&v=4 - therustmonk - https://github.com/therustmonk + https://avatars.githubusercontent.com/u/2305178?s=40&v=4 + nielsvanvelzen + https://github.com/nielsvanvelzen - https://avatars.githubusercontent.com/u/1076145?s=40&v=4 - jstarry - https://github.com/jstarry + https://avatars.githubusercontent.com/u/3741446?s=40&v=4 + Shadowghost + https://github.com/Shadowghost - https://avatars.githubusercontent.com/u/47357913?s=40&v=4 - ranile - https://github.com/ranile + https://avatars.githubusercontent.com/u/3450688?s=40&v=4 + thornbill + https://github.com/thornbill - https://avatars.githubusercontent.com/u/16530283?s=40&v=4 - siku2 - https://github.com/siku2 + https://avatars.githubusercontent.com/u/25688628?s=40&v=4 + felix920506 + https://github.com/felix920506 - elevenlabs/elevenlabs-docs - https://github.com/elevenlabs/elevenlabs-docs - Documentation for elevenlabs.io/docs - https://github.com/elevenlabs/elevenlabs-docs + freeCodeCamp/contribute + https://github.com/freeCodeCamp/contribute + > docs site for all things contributions. begin your contribution journey here. + https://github.com/freeCodeCamp/contribute MDX #fcb32c - 66 - 287 - 1 + 57 + 81 + 3 - https://avatars.githubusercontent.com/u/134134093?s=40&v=4 - J-ElevenLabs - https://github.com/J-ElevenLabs - - - https://avatars.githubusercontent.com/u/86924567?s=40&v=4 - rayan-saleh - https://github.com/rayan-saleh + https://avatars.githubusercontent.com/u/13561988?s=40&v=4 + camperbot + https://github.com/camperbot - https://avatars.githubusercontent.com/u/11902085?s=40&v=4 - limo1996 - https://github.com/limo1996 + https://avatars.githubusercontent.com/u/1884376?s=40&v=4 + raisedadead + https://github.com/raisedadead - https://avatars.githubusercontent.com/u/132601011?s=40&v=4 - louisjoecodes - https://github.com/louisjoecodes + https://avatars.githubusercontent.com/u/15801806?s=40&v=4 + ojeytonwilliams + https://github.com/ojeytonwilliams - https://avatars.githubusercontent.com/u/22766134?s=40&v=4 - lharries - https://github.com/lharries + https://avatars.githubusercontent.com/u/51722130?s=40&v=4 + ShaunSHamilton + https://github.com/ShaunSHamilton diff --git a/data/weekly/mercury.json b/data/weekly/mercury.json index a7d08dca1b34..c39e0bc4bf89 100644 --- a/data/weekly/mercury.json +++ b/data/weekly/mercury.json @@ -2,6 +2,6 @@ "title": "GitHub Mercury Languages Weekly Trending", "description": "Weekly Trending of Mercury Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mercury.xml b/data/weekly/mercury.xml index 192ba5d56f7d..12697cfb28ad 100644 --- a/data/weekly/mercury.xml +++ b/data/weekly/mercury.xml @@ -3,6 +3,6 @@ GitHub Mercury Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mercury Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mermaid.json b/data/weekly/mermaid.json index e2d4df076268..50ba82a6a5c2 100644 --- a/data/weekly/mermaid.json +++ b/data/weekly/mermaid.json @@ -2,8 +2,45 @@ "title": "GitHub Mermaid Languages Weekly Trending", "description": "Weekly Trending of Mermaid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "MicrosoftDocs/power-platform", + "url": "https://github.com/MicrosoftDocs/power-platform", + "description": "Documentation for Microsoft Power Platform", + "language": "Mermaid", + "languageColor": "#ff3670", + "stars": "367", + "forks": "591", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/19712577?s=40&v=4", + "name": "jimholtz", + "url": "https://github.com/jimholtz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21126341?s=40&v=4", + "name": "sericks007", + "url": "https://github.com/sericks007" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1670116?s=40&v=4", + "name": "KumarVivek", + "url": "https://github.com/KumarVivek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15990269?s=40&v=4", + "name": "iaanw", + "url": "https://github.com/iaanw" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25205455?s=40&v=4", + "name": "buck1ey", + "url": "https://github.com/buck1ey" + } + ] + }, { "title": "MicrosoftDocs/power-automate-docs", "url": "https://github.com/MicrosoftDocs/power-automate-docs", diff --git a/data/weekly/mermaid.xml b/data/weekly/mermaid.xml index e0f55b2f2ec7..6dbd06322a98 100644 --- a/data/weekly/mermaid.xml +++ b/data/weekly/mermaid.xml @@ -3,7 +3,45 @@ GitHub Mermaid Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mermaid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + MicrosoftDocs/power-platform + https://github.com/MicrosoftDocs/power-platform + Documentation for Microsoft Power Platform + https://github.com/MicrosoftDocs/power-platform + Mermaid + #ff3670 + 367 + 591 + 1 + + + https://avatars.githubusercontent.com/u/19712577?s=40&v=4 + jimholtz + https://github.com/jimholtz + + + https://avatars.githubusercontent.com/u/21126341?s=40&v=4 + sericks007 + https://github.com/sericks007 + + + https://avatars.githubusercontent.com/u/1670116?s=40&v=4 + KumarVivek + https://github.com/KumarVivek + + + https://avatars.githubusercontent.com/u/15990269?s=40&v=4 + iaanw + https://github.com/iaanw + + + https://avatars.githubusercontent.com/u/25205455?s=40&v=4 + buck1ey + https://github.com/buck1ey + + + MicrosoftDocs/power-automate-docs https://github.com/MicrosoftDocs/power-automate-docs diff --git a/data/weekly/meson.json b/data/weekly/meson.json index 54d04551952f..a15e1e9b26ee 100644 --- a/data/weekly/meson.json +++ b/data/weekly/meson.json @@ -2,7 +2,7 @@ "title": "GitHub Meson Languages Weekly Trending", "description": "Weekly Trending of Meson Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "openbmc/phosphor-dbus-interfaces", @@ -40,43 +40,6 @@ "url": "https://github.com/spinler" } ] - }, - { - "title": "frida/frida", - "url": "https://github.com/frida/frida", - "description": "Clone this repo to build Frida", - "language": "Meson", - "languageColor": "#007800", - "stars": "16,275", - "forks": "1,673", - "addStars": "49", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/735197?s=40&v=4", - "name": "oleavr", - "url": "https://github.com/oleavr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5682183?s=40&v=4", - "name": "s1341", - "url": "https://github.com/s1341" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1164594?s=40&v=4", - "name": "karltk", - "url": "https://github.com/karltk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/568036?s=40&v=4", - "name": "tyilo", - "url": "https://github.com/tyilo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/662616?s=40&v=4", - "name": "mephi42", - "url": "https://github.com/mephi42" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/meson.xml b/data/weekly/meson.xml index 4b832a085f81..d0d6357cf653 100644 --- a/data/weekly/meson.xml +++ b/data/weekly/meson.xml @@ -3,7 +3,7 @@ GitHub Meson Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Meson Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT openbmc/phosphor-dbus-interfaces https://github.com/openbmc/phosphor-dbus-interfaces @@ -42,43 +42,5 @@ - - frida/frida - https://github.com/frida/frida - Clone this repo to build Frida - https://github.com/frida/frida - Meson - #007800 - 16,275 - 1,673 - 49 - - - https://avatars.githubusercontent.com/u/735197?s=40&v=4 - oleavr - https://github.com/oleavr - - - https://avatars.githubusercontent.com/u/5682183?s=40&v=4 - s1341 - https://github.com/s1341 - - - https://avatars.githubusercontent.com/u/1164594?s=40&v=4 - karltk - https://github.com/karltk - - - https://avatars.githubusercontent.com/u/568036?s=40&v=4 - tyilo - https://github.com/tyilo - - - https://avatars.githubusercontent.com/u/662616?s=40&v=4 - mephi42 - https://github.com/mephi42 - - - \ No newline at end of file diff --git a/data/weekly/metal.json b/data/weekly/metal.json index 3a652f3bd714..725e43c6f212 100644 --- a/data/weekly/metal.json +++ b/data/weekly/metal.json @@ -2,6 +2,6 @@ "title": "GitHub Metal Languages Weekly Trending", "description": "Weekly Trending of Metal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/metal.xml b/data/weekly/metal.xml index afd60860f74a..aa99e7eae011 100644 --- a/data/weekly/metal.xml +++ b/data/weekly/metal.xml @@ -3,6 +3,6 @@ GitHub Metal Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Metal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/microsoft-developer-studio-project.json b/data/weekly/microsoft-developer-studio-project.json index 0867967bf628..0d760833e8ad 100644 --- a/data/weekly/microsoft-developer-studio-project.json +++ b/data/weekly/microsoft-developer-studio-project.json @@ -2,6 +2,6 @@ "title": "GitHub Microsoft-developer-studio-project Languages Weekly Trending", "description": "Weekly Trending of Microsoft-developer-studio-project Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/microsoft-developer-studio-project.xml b/data/weekly/microsoft-developer-studio-project.xml index fe66aed42f2b..37d3e657b376 100644 --- a/data/weekly/microsoft-developer-studio-project.xml +++ b/data/weekly/microsoft-developer-studio-project.xml @@ -3,6 +3,6 @@ GitHub Microsoft-developer-studio-project Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Microsoft-developer-studio-project Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/microsoft-visual-studio-solution.json b/data/weekly/microsoft-visual-studio-solution.json index 413ffed13410..6084cfbc1d38 100644 --- a/data/weekly/microsoft-visual-studio-solution.json +++ b/data/weekly/microsoft-visual-studio-solution.json @@ -2,6 +2,6 @@ "title": "GitHub Microsoft-visual-studio-solution Languages Weekly Trending", "description": "Weekly Trending of Microsoft-visual-studio-solution Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/microsoft-visual-studio-solution.xml b/data/weekly/microsoft-visual-studio-solution.xml index a0ce63e71e9f..a5cbaf670eed 100644 --- a/data/weekly/microsoft-visual-studio-solution.xml +++ b/data/weekly/microsoft-visual-studio-solution.xml @@ -3,6 +3,6 @@ GitHub Microsoft-visual-studio-solution Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Microsoft-visual-studio-solution Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/minid.json b/data/weekly/minid.json index 78c0b4d00285..d43a4b68f1f6 100644 --- a/data/weekly/minid.json +++ b/data/weekly/minid.json @@ -2,6 +2,6 @@ "title": "GitHub Minid Languages Weekly Trending", "description": "Weekly Trending of Minid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/minid.xml b/data/weekly/minid.xml index 21f1cedcf0f0..e33833db4e0f 100644 --- a/data/weekly/minid.xml +++ b/data/weekly/minid.xml @@ -3,6 +3,6 @@ GitHub Minid Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Minid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/miniyaml.json b/data/weekly/miniyaml.json index 5fdfe31d4fce..2fcbf6c81126 100644 --- a/data/weekly/miniyaml.json +++ b/data/weekly/miniyaml.json @@ -2,6 +2,6 @@ "title": "GitHub Miniyaml Languages Weekly Trending", "description": "Weekly Trending of Miniyaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/miniyaml.xml b/data/weekly/miniyaml.xml index 76d5a82912e5..c73e4f80a31e 100644 --- a/data/weekly/miniyaml.xml +++ b/data/weekly/miniyaml.xml @@ -3,6 +3,6 @@ GitHub Miniyaml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Miniyaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mint.json b/data/weekly/mint.json index 0d0cab367423..f0bfa7184c64 100644 --- a/data/weekly/mint.json +++ b/data/weekly/mint.json @@ -2,6 +2,6 @@ "title": "GitHub Mint Languages Weekly Trending", "description": "Weekly Trending of Mint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mint.xml b/data/weekly/mint.xml index 388a553c20cc..9c03a7c3cbf7 100644 --- a/data/weekly/mint.xml +++ b/data/weekly/mint.xml @@ -3,6 +3,6 @@ GitHub Mint Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mirah.json b/data/weekly/mirah.json index 606f9744d771..e56cecfc0bb1 100644 --- a/data/weekly/mirah.json +++ b/data/weekly/mirah.json @@ -2,6 +2,6 @@ "title": "GitHub Mirah Languages Weekly Trending", "description": "Weekly Trending of Mirah Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mirah.xml b/data/weekly/mirah.xml index 2bea94b7ec8e..278bdbb0b1b7 100644 --- a/data/weekly/mirah.xml +++ b/data/weekly/mirah.xml @@ -3,6 +3,6 @@ GitHub Mirah Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mirah Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mirc-script.json b/data/weekly/mirc-script.json index e3613a00c97a..8348fd4e9b24 100644 --- a/data/weekly/mirc-script.json +++ b/data/weekly/mirc-script.json @@ -2,6 +2,6 @@ "title": "GitHub Mirc-script Languages Weekly Trending", "description": "Weekly Trending of Mirc-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mirc-script.xml b/data/weekly/mirc-script.xml index 791ad084eebe..5fa0eef69f34 100644 --- a/data/weekly/mirc-script.xml +++ b/data/weekly/mirc-script.xml @@ -3,6 +3,6 @@ GitHub Mirc-script Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mirc-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mlir.json b/data/weekly/mlir.json index 51b3d1f17026..b457dcda6aa5 100644 --- a/data/weekly/mlir.json +++ b/data/weekly/mlir.json @@ -2,7 +2,7 @@ "title": "GitHub Mlir Languages Weekly Trending", "description": "Weekly Trending of Mlir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "intel/intel-xpu-backend-for-triton", @@ -41,43 +41,6 @@ } ] }, - { - "title": "ROCm/rocMLIR", - "url": "https://github.com/ROCm/rocMLIR", - "description": "", - "language": "MLIR", - "languageColor": "#5EC8DB", - "stars": "129", - "forks": "40", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/15152540?s=40&v=4", - "name": "lattner", - "url": "https://github.com/lattner" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22566570?s=40&v=4", - "name": "topperc", - "url": "https://github.com/topperc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2175834?s=40&v=4", - "name": "RKSimon", - "url": "https://github.com/RKSimon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42633?s=40&v=4", - "name": "espindola", - "url": "https://github.com/espindola" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3087023?s=40&v=4", - "name": "tkremenek", - "url": "https://github.com/tkremenek" - } - ] - }, { "title": "openxla/stablehlo", "url": "https://github.com/openxla/stablehlo", diff --git a/data/weekly/mlir.xml b/data/weekly/mlir.xml index 2191d25fe234..0d5135c836f1 100644 --- a/data/weekly/mlir.xml +++ b/data/weekly/mlir.xml @@ -3,7 +3,7 @@ GitHub Mlir Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mlir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT intel/intel-xpu-backend-for-triton https://github.com/intel/intel-xpu-backend-for-triton @@ -42,44 +42,6 @@ - - ROCm/rocMLIR - https://github.com/ROCm/rocMLIR - - https://github.com/ROCm/rocMLIR - MLIR - #5EC8DB - 129 - 40 - 1 - - - https://avatars.githubusercontent.com/u/15152540?s=40&v=4 - lattner - https://github.com/lattner - - - https://avatars.githubusercontent.com/u/22566570?s=40&v=4 - topperc - https://github.com/topperc - - - https://avatars.githubusercontent.com/u/2175834?s=40&v=4 - RKSimon - https://github.com/RKSimon - - - https://avatars.githubusercontent.com/u/42633?s=40&v=4 - espindola - https://github.com/espindola - - - https://avatars.githubusercontent.com/u/3087023?s=40&v=4 - tkremenek - https://github.com/tkremenek - - - openxla/stablehlo https://github.com/openxla/stablehlo diff --git a/data/weekly/modelica.json b/data/weekly/modelica.json index 68a6460d7854..d38304dcb37a 100644 --- a/data/weekly/modelica.json +++ b/data/weekly/modelica.json @@ -2,7 +2,7 @@ "title": "GitHub Modelica Languages Weekly Trending", "description": "Weekly Trending of Modelica Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "OpenModelica/OpenModelica", diff --git a/data/weekly/modelica.xml b/data/weekly/modelica.xml index 3922c8af4a84..e0693569f6e0 100644 --- a/data/weekly/modelica.xml +++ b/data/weekly/modelica.xml @@ -3,7 +3,7 @@ GitHub Modelica Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Modelica Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT OpenModelica/OpenModelica https://github.com/OpenModelica/OpenModelica diff --git a/data/weekly/modula-2.json b/data/weekly/modula-2.json index 137200ec1aeb..13b260897c2f 100644 --- a/data/weekly/modula-2.json +++ b/data/weekly/modula-2.json @@ -2,6 +2,6 @@ "title": "GitHub Modula-2 Languages Weekly Trending", "description": "Weekly Trending of Modula-2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/modula-2.xml b/data/weekly/modula-2.xml index f8bdc331699b..3782896452aa 100644 --- a/data/weekly/modula-2.xml +++ b/data/weekly/modula-2.xml @@ -3,6 +3,6 @@ GitHub Modula-2 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Modula-2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/modula-3.json b/data/weekly/modula-3.json index dff527e10ec1..8fa550ef71b6 100644 --- a/data/weekly/modula-3.json +++ b/data/weekly/modula-3.json @@ -2,6 +2,6 @@ "title": "GitHub Modula-3 Languages Weekly Trending", "description": "Weekly Trending of Modula-3 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/modula-3.xml b/data/weekly/modula-3.xml index 5bef2532ed8d..b72b13e4b229 100644 --- a/data/weekly/modula-3.xml +++ b/data/weekly/modula-3.xml @@ -3,6 +3,6 @@ GitHub Modula-3 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Modula-3 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/module-management-system.json b/data/weekly/module-management-system.json index 83765e8b2599..531187e82ba5 100644 --- a/data/weekly/module-management-system.json +++ b/data/weekly/module-management-system.json @@ -2,6 +2,6 @@ "title": "GitHub Module-management-system Languages Weekly Trending", "description": "Weekly Trending of Module-management-system Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/module-management-system.xml b/data/weekly/module-management-system.xml index 9d6bbdbc5193..b4d429d046da 100644 --- a/data/weekly/module-management-system.xml +++ b/data/weekly/module-management-system.xml @@ -3,6 +3,6 @@ GitHub Module-management-system Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Module-management-system Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mojo.json b/data/weekly/mojo.json index 57765cc73215..fb15d63d9ede 100644 --- a/data/weekly/mojo.json +++ b/data/weekly/mojo.json @@ -2,7 +2,7 @@ "title": "GitHub Mojo Languages Weekly Trending", "description": "Weekly Trending of Mojo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "modularml/mojo", diff --git a/data/weekly/mojo.xml b/data/weekly/mojo.xml index 871c3d255c65..7b244e518cf2 100644 --- a/data/weekly/mojo.xml +++ b/data/weekly/mojo.xml @@ -3,7 +3,7 @@ GitHub Mojo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mojo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT modularml/mojo https://github.com/modularml/mojo diff --git a/data/weekly/monkey-c.json b/data/weekly/monkey-c.json index 1ead1fed02cc..aaa2f57be8fe 100644 --- a/data/weekly/monkey-c.json +++ b/data/weekly/monkey-c.json @@ -2,6 +2,6 @@ "title": "GitHub Monkey-c Languages Weekly Trending", "description": "Weekly Trending of Monkey-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/monkey-c.xml b/data/weekly/monkey-c.xml index 6d8a26b8bfa6..205b77a14821 100644 --- a/data/weekly/monkey-c.xml +++ b/data/weekly/monkey-c.xml @@ -3,6 +3,6 @@ GitHub Monkey-c Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Monkey-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/monkey.json b/data/weekly/monkey.json index a4c076114afe..620fceb0c84b 100644 --- a/data/weekly/monkey.json +++ b/data/weekly/monkey.json @@ -2,6 +2,6 @@ "title": "GitHub Monkey Languages Weekly Trending", "description": "Weekly Trending of Monkey Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/monkey.xml b/data/weekly/monkey.xml index 3956963f8adf..fbedd19813b4 100644 --- a/data/weekly/monkey.xml +++ b/data/weekly/monkey.xml @@ -3,6 +3,6 @@ GitHub Monkey Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Monkey Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/moocode.json b/data/weekly/moocode.json index 7ccf5f811dba..fee6d99e7a39 100644 --- a/data/weekly/moocode.json +++ b/data/weekly/moocode.json @@ -2,6 +2,6 @@ "title": "GitHub Moocode Languages Weekly Trending", "description": "Weekly Trending of Moocode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/moocode.xml b/data/weekly/moocode.xml index 41bb466bd1de..7c9c30693c4d 100644 --- a/data/weekly/moocode.xml +++ b/data/weekly/moocode.xml @@ -3,6 +3,6 @@ GitHub Moocode Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Moocode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/moonscript.json b/data/weekly/moonscript.json index 26aaec9c6a5a..2a0e2ee93ac5 100644 --- a/data/weekly/moonscript.json +++ b/data/weekly/moonscript.json @@ -2,6 +2,6 @@ "title": "GitHub Moonscript Languages Weekly Trending", "description": "Weekly Trending of Moonscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/moonscript.xml b/data/weekly/moonscript.xml index 4b4bdb50cb22..6bae5d50d44e 100644 --- a/data/weekly/moonscript.xml +++ b/data/weekly/moonscript.xml @@ -3,6 +3,6 @@ GitHub Moonscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Moonscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/motoko.json b/data/weekly/motoko.json index 5bbec8c77cd6..66de2f0d6509 100644 --- a/data/weekly/motoko.json +++ b/data/weekly/motoko.json @@ -2,6 +2,6 @@ "title": "GitHub Motoko Languages Weekly Trending", "description": "Weekly Trending of Motoko Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/motoko.xml b/data/weekly/motoko.xml index 5be5be1c7652..38ae619a83c2 100644 --- a/data/weekly/motoko.xml +++ b/data/weekly/motoko.xml @@ -3,6 +3,6 @@ GitHub Motoko Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Motoko Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/motorola-68k-assembly.json b/data/weekly/motorola-68k-assembly.json index 77fe14a201f4..e03d7f9ecf4f 100644 --- a/data/weekly/motorola-68k-assembly.json +++ b/data/weekly/motorola-68k-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Motorola-68k-assembly Languages Weekly Trending", "description": "Weekly Trending of Motorola-68k-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/motorola-68k-assembly.xml b/data/weekly/motorola-68k-assembly.xml index 17a323a02d49..8df8974d1f8d 100644 --- a/data/weekly/motorola-68k-assembly.xml +++ b/data/weekly/motorola-68k-assembly.xml @@ -3,6 +3,6 @@ GitHub Motorola-68k-assembly Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Motorola-68k-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/move.json b/data/weekly/move.json index 2f23bb5cf578..fb2f4e68dc94 100644 --- a/data/weekly/move.json +++ b/data/weekly/move.json @@ -2,6 +2,6 @@ "title": "GitHub Move Languages Weekly Trending", "description": "Weekly Trending of Move Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/move.xml b/data/weekly/move.xml index e6367687a5ec..de18e17af7b2 100644 --- a/data/weekly/move.xml +++ b/data/weekly/move.xml @@ -3,6 +3,6 @@ GitHub Move Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Move Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mql4.json b/data/weekly/mql4.json index 2fe642b42061..2fe5044ba7e2 100644 --- a/data/weekly/mql4.json +++ b/data/weekly/mql4.json @@ -2,6 +2,6 @@ "title": "GitHub Mql4 Languages Weekly Trending", "description": "Weekly Trending of Mql4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mql4.xml b/data/weekly/mql4.xml index 78e622156e6a..78e21d83a9db 100644 --- a/data/weekly/mql4.xml +++ b/data/weekly/mql4.xml @@ -3,6 +3,6 @@ GitHub Mql4 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mql4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mql5.json b/data/weekly/mql5.json index 570475c45d0e..c7c3ed756bd3 100644 --- a/data/weekly/mql5.json +++ b/data/weekly/mql5.json @@ -2,6 +2,6 @@ "title": "GitHub Mql5 Languages Weekly Trending", "description": "Weekly Trending of Mql5 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mql5.xml b/data/weekly/mql5.xml index 2f3b9dc11595..6cb29f4c7e7c 100644 --- a/data/weekly/mql5.xml +++ b/data/weekly/mql5.xml @@ -3,6 +3,6 @@ GitHub Mql5 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mql5 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mtml.json b/data/weekly/mtml.json index f1e1f97bcd47..9cd680e64b89 100644 --- a/data/weekly/mtml.json +++ b/data/weekly/mtml.json @@ -2,6 +2,6 @@ "title": "GitHub Mtml Languages Weekly Trending", "description": "Weekly Trending of Mtml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mtml.xml b/data/weekly/mtml.xml index e2c99d54ab86..ce34f6236375 100644 --- a/data/weekly/mtml.xml +++ b/data/weekly/mtml.xml @@ -3,6 +3,6 @@ GitHub Mtml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mtml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/muf.json b/data/weekly/muf.json index 7667db92d9a6..9c49c7f5c2f3 100644 --- a/data/weekly/muf.json +++ b/data/weekly/muf.json @@ -2,6 +2,6 @@ "title": "GitHub Muf Languages Weekly Trending", "description": "Weekly Trending of Muf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/muf.xml b/data/weekly/muf.xml index 3c9a1685a683..3dc16dcd7022 100644 --- a/data/weekly/muf.xml +++ b/data/weekly/muf.xml @@ -3,6 +3,6 @@ GitHub Muf Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Muf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mupad.json b/data/weekly/mupad.json index 58a84943d0f7..1917f4719b5e 100644 --- a/data/weekly/mupad.json +++ b/data/weekly/mupad.json @@ -2,6 +2,6 @@ "title": "GitHub Mupad Languages Weekly Trending", "description": "Weekly Trending of Mupad Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/mupad.xml b/data/weekly/mupad.xml index 54d6a34277a1..719544853b80 100644 --- a/data/weekly/mupad.xml +++ b/data/weekly/mupad.xml @@ -3,6 +3,6 @@ GitHub Mupad Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mupad Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/muse.json b/data/weekly/muse.json index 0fd5fa41c882..737692d18cdf 100644 --- a/data/weekly/muse.json +++ b/data/weekly/muse.json @@ -2,6 +2,6 @@ "title": "GitHub Muse Languages Weekly Trending", "description": "Weekly Trending of Muse Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/muse.xml b/data/weekly/muse.xml index e8b575cd4b1f..2b9cb71e312f 100644 --- a/data/weekly/muse.xml +++ b/data/weekly/muse.xml @@ -3,6 +3,6 @@ GitHub Muse Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Muse Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/mustache.json b/data/weekly/mustache.json index 97e3fd8314ae..a8ed56b1f690 100644 --- a/data/weekly/mustache.json +++ b/data/weekly/mustache.json @@ -2,7 +2,7 @@ "title": "GitHub Mustache Languages Weekly Trending", "description": "Weekly Trending of Mustache Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "prometheus-community/helm-charts", @@ -11,7 +11,7 @@ "language": "Mustache", "languageColor": "#724b3b", "stars": "5,139", - "forks": "5,032", + "forks": "5,033", "addStars": "20", "contributors": [ { @@ -42,39 +42,39 @@ ] }, { - "title": "argoproj/argo-helm", - "url": "https://github.com/argoproj/argo-helm", - "description": "ArgoProj Helm Charts", + "title": "kubecost/cost-analyzer-helm-chart", + "url": "https://github.com/kubecost/cost-analyzer-helm-chart", + "description": "Kubecost helm chart", "language": "Mustache", "languageColor": "#724b3b", - "stars": "1,772", - "forks": "1,878", - "addStars": "9", + "stars": "490", + "forks": "418", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7290987?s=40&v=4", - "name": "mkilchhofer", - "url": "https://github.com/mkilchhofer" + "avatar": "https://avatars.githubusercontent.com/u/453512?s=40&v=4", + "name": "AjayTripathy", + "url": "https://github.com/AjayTripathy" }, { - "avatar": "https://avatars.githubusercontent.com/u/30188755?s=40&v=4", - "name": "yu-croco", - "url": "https://github.com/yu-croco" + "avatar": "https://avatars.githubusercontent.com/u/334480?s=40&v=4", + "name": "mbolt35", + "url": "https://github.com/mbolt35" }, { - "avatar": "https://avatars.githubusercontent.com/u/19188617?s=40&v=4", - "name": "pdrastil", - "url": "https://github.com/pdrastil" + "avatar": "https://avatars.githubusercontent.com/u/31039225?s=40&v=4", + "name": "jessegoodier", + "url": "https://github.com/jessegoodier" }, { - "avatar": "https://avatars.githubusercontent.com/u/21042968?s=40&v=4", - "name": "mbevc1", - "url": "https://github.com/mbevc1" + "avatar": "https://avatars.githubusercontent.com/u/29008123?s=40&v=4", + "name": "chipzoller", + "url": "https://github.com/chipzoller" }, { - "avatar": "https://avatars.githubusercontent.com/u/35014?s=40&v=4", - "name": "jmeridth", - "url": "https://github.com/jmeridth" + "avatar": "https://avatars.githubusercontent.com/u/298359?s=40&v=4", + "name": "dwbrown2", + "url": "https://github.com/dwbrown2" } ] }, @@ -116,39 +116,39 @@ ] }, { - "title": "kubecost/cost-analyzer-helm-chart", - "url": "https://github.com/kubecost/cost-analyzer-helm-chart", - "description": "Kubecost helm chart", + "title": "argoproj/argo-helm", + "url": "https://github.com/argoproj/argo-helm", + "description": "ArgoProj Helm Charts", "language": "Mustache", "languageColor": "#724b3b", - "stars": "490", - "forks": "418", - "addStars": "1", + "stars": "1,773", + "forks": "1,878", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/453512?s=40&v=4", - "name": "AjayTripathy", - "url": "https://github.com/AjayTripathy" + "avatar": "https://avatars.githubusercontent.com/u/7290987?s=40&v=4", + "name": "mkilchhofer", + "url": "https://github.com/mkilchhofer" }, { - "avatar": "https://avatars.githubusercontent.com/u/334480?s=40&v=4", - "name": "mbolt35", - "url": "https://github.com/mbolt35" + "avatar": "https://avatars.githubusercontent.com/u/30188755?s=40&v=4", + "name": "yu-croco", + "url": "https://github.com/yu-croco" }, { - "avatar": "https://avatars.githubusercontent.com/u/31039225?s=40&v=4", - "name": "jessegoodier", - "url": "https://github.com/jessegoodier" + "avatar": "https://avatars.githubusercontent.com/u/19188617?s=40&v=4", + "name": "pdrastil", + "url": "https://github.com/pdrastil" }, { - "avatar": "https://avatars.githubusercontent.com/u/29008123?s=40&v=4", - "name": "chipzoller", - "url": "https://github.com/chipzoller" + "avatar": "https://avatars.githubusercontent.com/u/21042968?s=40&v=4", + "name": "mbevc1", + "url": "https://github.com/mbevc1" }, { - "avatar": "https://avatars.githubusercontent.com/u/298359?s=40&v=4", - "name": "dwbrown2", - "url": "https://github.com/dwbrown2" + "avatar": "https://avatars.githubusercontent.com/u/35014?s=40&v=4", + "name": "jmeridth", + "url": "https://github.com/jmeridth" } ] }, @@ -226,43 +226,6 @@ } ] }, - { - "title": "fluent/helm-charts", - "url": "https://github.com/fluent/helm-charts", - "description": "Helm Charts for Fluentd and Fluent Bit", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "386", - "forks": "460", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/24660299?s=40&v=4", - "name": "naseemkullah", - "url": "https://github.com/naseemkullah" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6552347?s=40&v=4", - "name": "stevehipwell", - "url": "https://github.com/stevehipwell" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6388272?s=40&v=4", - "name": "patrick-stephens", - "url": "https://github.com/patrick-stephens" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5084445?s=40&v=4", - "name": "dioguerra", - "url": "https://github.com/dioguerra" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/793580?s=40&v=4", - "name": "sebbrandt87", - "url": "https://github.com/sebbrandt87" - } - ] - }, { "title": "temporalio/helm-charts", "url": "https://github.com/temporalio/helm-charts", @@ -338,39 +301,39 @@ ] }, { - "title": "goharbor/harbor-helm", - "url": "https://github.com/goharbor/harbor-helm", - "description": "The helm chart to deploy Harbor", + "title": "fluent/helm-charts", + "url": "https://github.com/fluent/helm-charts", + "description": "Helm Charts for Fluentd and Fluent Bit", "language": "Mustache", "languageColor": "#724b3b", - "stars": "1,191", - "forks": "759", - "addStars": "4", + "stars": "386", + "forks": "460", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5835782?s=40&v=4", - "name": "ywk253100", - "url": "https://github.com/ywk253100" + "avatar": "https://avatars.githubusercontent.com/u/24660299?s=40&v=4", + "name": "naseemkullah", + "url": "https://github.com/naseemkullah" }, { - "avatar": "https://avatars.githubusercontent.com/u/2390463?s=40&v=4", - "name": "reasonerjt", - "url": "https://github.com/reasonerjt" + "avatar": "https://avatars.githubusercontent.com/u/6552347?s=40&v=4", + "name": "stevehipwell", + "url": "https://github.com/stevehipwell" }, { - "avatar": "https://avatars.githubusercontent.com/u/5634205?s=40&v=4", - "name": "heww", - "url": "https://github.com/heww" + "avatar": "https://avatars.githubusercontent.com/u/6388272?s=40&v=4", + "name": "patrick-stephens", + "url": "https://github.com/patrick-stephens" }, { - "avatar": "https://avatars.githubusercontent.com/u/1069785?s=40&v=4", - "name": "ninjadq", - "url": "https://github.com/ninjadq" + "avatar": "https://avatars.githubusercontent.com/u/5084445?s=40&v=4", + "name": "dioguerra", + "url": "https://github.com/dioguerra" }, { - "avatar": "https://avatars.githubusercontent.com/u/2841473?s=40&v=4", - "name": "wy65701436", - "url": "https://github.com/wy65701436" + "avatar": "https://avatars.githubusercontent.com/u/793580?s=40&v=4", + "name": "sebbrandt87", + "url": "https://github.com/sebbrandt87" } ] }, @@ -380,7 +343,7 @@ "description": "Jenkins helm charts", "language": "Mustache", "languageColor": "#724b3b", - "stars": "574", + "stars": "575", "forks": "889", "addStars": "2", "contributors": [ @@ -401,6 +364,43 @@ } ] }, + { + "title": "goharbor/harbor-helm", + "url": "https://github.com/goharbor/harbor-helm", + "description": "The helm chart to deploy Harbor", + "language": "Mustache", + "languageColor": "#724b3b", + "stars": "1,192", + "forks": "759", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5835782?s=40&v=4", + "name": "ywk253100", + "url": "https://github.com/ywk253100" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2390463?s=40&v=4", + "name": "reasonerjt", + "url": "https://github.com/reasonerjt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5634205?s=40&v=4", + "name": "heww", + "url": "https://github.com/heww" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1069785?s=40&v=4", + "name": "ninjadq", + "url": "https://github.com/ninjadq" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2841473?s=40&v=4", + "name": "wy65701436", + "url": "https://github.com/wy65701436" + } + ] + }, { "title": "kedacore/charts", "url": "https://github.com/kedacore/charts", @@ -475,43 +475,6 @@ } ] }, - { - "title": "codecentric/helm-charts", - "url": "https://github.com/codecentric/helm-charts", - "description": "A curated set of Helm charts brought to you by codecentric", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "626", - "forks": "608", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/925412?s=40&v=4", - "name": "unguiculus", - "url": "https://github.com/unguiculus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47110116?s=40&v=4", - "name": "cc-charts-bot", - "url": "https://github.com/cc-charts-bot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12728527?s=40&v=4", - "name": "mircohacker", - "url": "https://github.com/mircohacker" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/314690?s=40&v=4", - "name": "thomasdarimont", - "url": "https://github.com/thomasdarimont" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27769522?s=40&v=4", - "name": "grieshaber", - "url": "https://github.com/grieshaber" - } - ] - }, { "title": "netbox-community/netbox-chart", "url": "https://github.com/netbox-community/netbox-chart", @@ -580,28 +543,6 @@ "url": "https://github.com/nlamirault" } ] - }, - { - "title": "thmsgbrt/thmsgbrt", - "url": "https://github.com/thmsgbrt/thmsgbrt", - "description": "My awesome README.md", - "language": "Mustache", - "languageColor": "#724b3b", - "stars": "465", - "forks": "1,018", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/16786906?s=40&v=4", - "name": "thmsgbrt", - "url": "https://github.com/thmsgbrt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60965358?s=40&v=4", - "name": "Devesh225", - "url": "https://github.com/Devesh225" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/mustache.xml b/data/weekly/mustache.xml index 8c5cf452a453..7e259aa2654a 100644 --- a/data/weekly/mustache.xml +++ b/data/weekly/mustache.xml @@ -3,7 +3,7 @@ GitHub Mustache Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Mustache Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT prometheus-community/helm-charts https://github.com/prometheus-community/helm-charts @@ -12,7 +12,7 @@ Mustache #724b3b 5,139 - 5,032 + 5,033 20 @@ -43,40 +43,40 @@ - argoproj/argo-helm - https://github.com/argoproj/argo-helm - ArgoProj Helm Charts - https://github.com/argoproj/argo-helm + kubecost/cost-analyzer-helm-chart + https://github.com/kubecost/cost-analyzer-helm-chart + Kubecost helm chart + https://github.com/kubecost/cost-analyzer-helm-chart Mustache #724b3b - 1,772 - 1,878 - 9 + 490 + 418 + 1 - https://avatars.githubusercontent.com/u/7290987?s=40&v=4 - mkilchhofer - https://github.com/mkilchhofer + https://avatars.githubusercontent.com/u/453512?s=40&v=4 + AjayTripathy + https://github.com/AjayTripathy - https://avatars.githubusercontent.com/u/30188755?s=40&v=4 - yu-croco - https://github.com/yu-croco + https://avatars.githubusercontent.com/u/334480?s=40&v=4 + mbolt35 + https://github.com/mbolt35 - https://avatars.githubusercontent.com/u/19188617?s=40&v=4 - pdrastil - https://github.com/pdrastil + https://avatars.githubusercontent.com/u/31039225?s=40&v=4 + jessegoodier + https://github.com/jessegoodier - https://avatars.githubusercontent.com/u/21042968?s=40&v=4 - mbevc1 - https://github.com/mbevc1 + https://avatars.githubusercontent.com/u/29008123?s=40&v=4 + chipzoller + https://github.com/chipzoller - https://avatars.githubusercontent.com/u/35014?s=40&v=4 - jmeridth - https://github.com/jmeridth + https://avatars.githubusercontent.com/u/298359?s=40&v=4 + dwbrown2 + https://github.com/dwbrown2 @@ -119,40 +119,40 @@ - kubecost/cost-analyzer-helm-chart - https://github.com/kubecost/cost-analyzer-helm-chart - Kubecost helm chart - https://github.com/kubecost/cost-analyzer-helm-chart + argoproj/argo-helm + https://github.com/argoproj/argo-helm + ArgoProj Helm Charts + https://github.com/argoproj/argo-helm Mustache #724b3b - 490 - 418 - 1 + 1,773 + 1,878 + 9 - https://avatars.githubusercontent.com/u/453512?s=40&v=4 - AjayTripathy - https://github.com/AjayTripathy + https://avatars.githubusercontent.com/u/7290987?s=40&v=4 + mkilchhofer + https://github.com/mkilchhofer - https://avatars.githubusercontent.com/u/334480?s=40&v=4 - mbolt35 - https://github.com/mbolt35 + https://avatars.githubusercontent.com/u/30188755?s=40&v=4 + yu-croco + https://github.com/yu-croco - https://avatars.githubusercontent.com/u/31039225?s=40&v=4 - jessegoodier - https://github.com/jessegoodier + https://avatars.githubusercontent.com/u/19188617?s=40&v=4 + pdrastil + https://github.com/pdrastil - https://avatars.githubusercontent.com/u/29008123?s=40&v=4 - chipzoller - https://github.com/chipzoller + https://avatars.githubusercontent.com/u/21042968?s=40&v=4 + mbevc1 + https://github.com/mbevc1 - https://avatars.githubusercontent.com/u/298359?s=40&v=4 - dwbrown2 - https://github.com/dwbrown2 + https://avatars.githubusercontent.com/u/35014?s=40&v=4 + jmeridth + https://github.com/jmeridth @@ -232,44 +232,6 @@ - - fluent/helm-charts - https://github.com/fluent/helm-charts - Helm Charts for Fluentd and Fluent Bit - https://github.com/fluent/helm-charts - Mustache - #724b3b - 386 - 460 - 3 - - - https://avatars.githubusercontent.com/u/24660299?s=40&v=4 - naseemkullah - https://github.com/naseemkullah - - - https://avatars.githubusercontent.com/u/6552347?s=40&v=4 - stevehipwell - https://github.com/stevehipwell - - - https://avatars.githubusercontent.com/u/6388272?s=40&v=4 - patrick-stephens - https://github.com/patrick-stephens - - - https://avatars.githubusercontent.com/u/5084445?s=40&v=4 - dioguerra - https://github.com/dioguerra - - - https://avatars.githubusercontent.com/u/793580?s=40&v=4 - sebbrandt87 - https://github.com/sebbrandt87 - - - temporalio/helm-charts https://github.com/temporalio/helm-charts @@ -347,40 +309,40 @@ - goharbor/harbor-helm - https://github.com/goharbor/harbor-helm - The helm chart to deploy Harbor - https://github.com/goharbor/harbor-helm + fluent/helm-charts + https://github.com/fluent/helm-charts + Helm Charts for Fluentd and Fluent Bit + https://github.com/fluent/helm-charts Mustache #724b3b - 1,191 - 759 - 4 + 386 + 460 + 3 - https://avatars.githubusercontent.com/u/5835782?s=40&v=4 - ywk253100 - https://github.com/ywk253100 + https://avatars.githubusercontent.com/u/24660299?s=40&v=4 + naseemkullah + https://github.com/naseemkullah - https://avatars.githubusercontent.com/u/2390463?s=40&v=4 - reasonerjt - https://github.com/reasonerjt + https://avatars.githubusercontent.com/u/6552347?s=40&v=4 + stevehipwell + https://github.com/stevehipwell - https://avatars.githubusercontent.com/u/5634205?s=40&v=4 - heww - https://github.com/heww + https://avatars.githubusercontent.com/u/6388272?s=40&v=4 + patrick-stephens + https://github.com/patrick-stephens - https://avatars.githubusercontent.com/u/1069785?s=40&v=4 - ninjadq - https://github.com/ninjadq + https://avatars.githubusercontent.com/u/5084445?s=40&v=4 + dioguerra + https://github.com/dioguerra - https://avatars.githubusercontent.com/u/2841473?s=40&v=4 - wy65701436 - https://github.com/wy65701436 + https://avatars.githubusercontent.com/u/793580?s=40&v=4 + sebbrandt87 + https://github.com/sebbrandt87 @@ -391,7 +353,7 @@ https://github.com/jenkinsci/helm-charts Mustache #724b3b - 574 + 575 889 2 @@ -412,6 +374,44 @@ + + goharbor/harbor-helm + https://github.com/goharbor/harbor-helm + The helm chart to deploy Harbor + https://github.com/goharbor/harbor-helm + Mustache + #724b3b + 1,192 + 759 + 4 + + + https://avatars.githubusercontent.com/u/5835782?s=40&v=4 + ywk253100 + https://github.com/ywk253100 + + + https://avatars.githubusercontent.com/u/2390463?s=40&v=4 + reasonerjt + https://github.com/reasonerjt + + + https://avatars.githubusercontent.com/u/5634205?s=40&v=4 + heww + https://github.com/heww + + + https://avatars.githubusercontent.com/u/1069785?s=40&v=4 + ninjadq + https://github.com/ninjadq + + + https://avatars.githubusercontent.com/u/2841473?s=40&v=4 + wy65701436 + https://github.com/wy65701436 + + + kedacore/charts https://github.com/kedacore/charts @@ -488,44 +488,6 @@ - - codecentric/helm-charts - https://github.com/codecentric/helm-charts - A curated set of Helm charts brought to you by codecentric - https://github.com/codecentric/helm-charts - Mustache - #724b3b - 626 - 608 - 1 - - - https://avatars.githubusercontent.com/u/925412?s=40&v=4 - unguiculus - https://github.com/unguiculus - - - https://avatars.githubusercontent.com/u/47110116?s=40&v=4 - cc-charts-bot - https://github.com/cc-charts-bot - - - https://avatars.githubusercontent.com/u/12728527?s=40&v=4 - mircohacker - https://github.com/mircohacker - - - https://avatars.githubusercontent.com/u/314690?s=40&v=4 - thomasdarimont - https://github.com/thomasdarimont - - - https://avatars.githubusercontent.com/u/27769522?s=40&v=4 - grieshaber - https://github.com/grieshaber - - - netbox-community/netbox-chart https://github.com/netbox-community/netbox-chart @@ -597,28 +559,5 @@ - - thmsgbrt/thmsgbrt - https://github.com/thmsgbrt/thmsgbrt - My awesome README.md - https://github.com/thmsgbrt/thmsgbrt - Mustache - #724b3b - 465 - 1,018 - 1 - - - https://avatars.githubusercontent.com/u/16786906?s=40&v=4 - thmsgbrt - https://github.com/thmsgbrt - - - https://avatars.githubusercontent.com/u/60965358?s=40&v=4 - Devesh225 - https://github.com/Devesh225 - - - \ No newline at end of file diff --git a/data/weekly/myghty.json b/data/weekly/myghty.json index 80d68ccb94b4..40314993272d 100644 --- a/data/weekly/myghty.json +++ b/data/weekly/myghty.json @@ -2,6 +2,6 @@ "title": "GitHub Myghty Languages Weekly Trending", "description": "Weekly Trending of Myghty Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/myghty.xml b/data/weekly/myghty.xml index f00713ffe26e..9dd27febec87 100644 --- a/data/weekly/myghty.xml +++ b/data/weekly/myghty.xml @@ -3,6 +3,6 @@ GitHub Myghty Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Myghty Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nanorc.json b/data/weekly/nanorc.json index 4de04891b0e4..9e8de84b9add 100644 --- a/data/weekly/nanorc.json +++ b/data/weekly/nanorc.json @@ -2,6 +2,6 @@ "title": "GitHub Nanorc Languages Weekly Trending", "description": "Weekly Trending of Nanorc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nanorc.xml b/data/weekly/nanorc.xml index d6f2fa1aa05c..f468a4f1c763 100644 --- a/data/weekly/nanorc.xml +++ b/data/weekly/nanorc.xml @@ -3,6 +3,6 @@ GitHub Nanorc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nanorc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nasal.json b/data/weekly/nasal.json index 25088de1311a..28787cbdde31 100644 --- a/data/weekly/nasal.json +++ b/data/weekly/nasal.json @@ -2,6 +2,6 @@ "title": "GitHub Nasal Languages Weekly Trending", "description": "Weekly Trending of Nasal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nasal.xml b/data/weekly/nasal.xml index 0e863c16f2c2..f7ac4257d78e 100644 --- a/data/weekly/nasal.xml +++ b/data/weekly/nasal.xml @@ -3,6 +3,6 @@ GitHub Nasal Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nasal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nasl.json b/data/weekly/nasl.json index 342e88d6eb4a..9086aad793b1 100644 --- a/data/weekly/nasl.json +++ b/data/weekly/nasl.json @@ -2,6 +2,6 @@ "title": "GitHub Nasl Languages Weekly Trending", "description": "Weekly Trending of Nasl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nasl.xml b/data/weekly/nasl.xml index b344527a01b5..eb97fd3cd526 100644 --- a/data/weekly/nasl.xml +++ b/data/weekly/nasl.xml @@ -3,6 +3,6 @@ GitHub Nasl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nasl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ncl.json b/data/weekly/ncl.json index 9a7a7464e76f..c98c22d37629 100644 --- a/data/weekly/ncl.json +++ b/data/weekly/ncl.json @@ -2,6 +2,6 @@ "title": "GitHub Ncl Languages Weekly Trending", "description": "Weekly Trending of Ncl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ncl.xml b/data/weekly/ncl.xml index c1c05300e54b..0c5e98950029 100644 --- a/data/weekly/ncl.xml +++ b/data/weekly/ncl.xml @@ -3,6 +3,6 @@ GitHub Ncl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ncl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nearley.json b/data/weekly/nearley.json index 7ee09629205a..07f19adc0d59 100644 --- a/data/weekly/nearley.json +++ b/data/weekly/nearley.json @@ -2,6 +2,6 @@ "title": "GitHub Nearley Languages Weekly Trending", "description": "Weekly Trending of Nearley Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nearley.xml b/data/weekly/nearley.xml index 355fceddf164..142dd9c23821 100644 --- a/data/weekly/nearley.xml +++ b/data/weekly/nearley.xml @@ -3,6 +3,6 @@ GitHub Nearley Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nearley Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nemerle.json b/data/weekly/nemerle.json index dc15f06d2c6c..ea90a1dcc3e7 100644 --- a/data/weekly/nemerle.json +++ b/data/weekly/nemerle.json @@ -2,6 +2,6 @@ "title": "GitHub Nemerle Languages Weekly Trending", "description": "Weekly Trending of Nemerle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nemerle.xml b/data/weekly/nemerle.xml index c162e086f415..58cb87be7e11 100644 --- a/data/weekly/nemerle.xml +++ b/data/weekly/nemerle.xml @@ -3,6 +3,6 @@ GitHub Nemerle Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nemerle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/neon.json b/data/weekly/neon.json index 84fe56d843ad..3304efec59a6 100644 --- a/data/weekly/neon.json +++ b/data/weekly/neon.json @@ -2,6 +2,6 @@ "title": "GitHub Neon Languages Weekly Trending", "description": "Weekly Trending of Neon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/neon.xml b/data/weekly/neon.xml index e124f61c613c..8fc31145059b 100644 --- a/data/weekly/neon.xml +++ b/data/weekly/neon.xml @@ -3,6 +3,6 @@ GitHub Neon Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Neon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nesc.json b/data/weekly/nesc.json index 54cf7625abf5..891445a1f288 100644 --- a/data/weekly/nesc.json +++ b/data/weekly/nesc.json @@ -2,7 +2,7 @@ "title": "GitHub Nesc Languages Weekly Trending", "description": "Weekly Trending of Nesc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "bdring/Grbl_Esp32", diff --git a/data/weekly/nesc.xml b/data/weekly/nesc.xml index 8d8f97f86d8d..353a2c4bf2bf 100644 --- a/data/weekly/nesc.xml +++ b/data/weekly/nesc.xml @@ -3,7 +3,7 @@ GitHub Nesc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nesc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT bdring/Grbl_Esp32 https://github.com/bdring/Grbl_Esp32 diff --git a/data/weekly/netlinx+erb.json b/data/weekly/netlinx+erb.json index 7c067aeebc50..9d75b140fa68 100644 --- a/data/weekly/netlinx+erb.json +++ b/data/weekly/netlinx+erb.json @@ -2,6 +2,6 @@ "title": "GitHub Netlinx+erb Languages Weekly Trending", "description": "Weekly Trending of Netlinx+erb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/netlinx+erb.xml b/data/weekly/netlinx+erb.xml index 0f3f8c03c7e3..6f41a6d4d884 100644 --- a/data/weekly/netlinx+erb.xml +++ b/data/weekly/netlinx+erb.xml @@ -3,6 +3,6 @@ GitHub Netlinx+erb Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Netlinx+erb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/netlinx.json b/data/weekly/netlinx.json index 92ed6ffadbca..323c8810f68c 100644 --- a/data/weekly/netlinx.json +++ b/data/weekly/netlinx.json @@ -2,6 +2,6 @@ "title": "GitHub Netlinx Languages Weekly Trending", "description": "Weekly Trending of Netlinx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/netlinx.xml b/data/weekly/netlinx.xml index ad866b3ec16a..ba7d1bf97143 100644 --- a/data/weekly/netlinx.xml +++ b/data/weekly/netlinx.xml @@ -3,6 +3,6 @@ GitHub Netlinx Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Netlinx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/netlogo.json b/data/weekly/netlogo.json index 00fe1715fdb9..636ee63fb75b 100644 --- a/data/weekly/netlogo.json +++ b/data/weekly/netlogo.json @@ -2,6 +2,6 @@ "title": "GitHub Netlogo Languages Weekly Trending", "description": "Weekly Trending of Netlogo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/netlogo.xml b/data/weekly/netlogo.xml index a3e680eef08e..28fd0c497349 100644 --- a/data/weekly/netlogo.xml +++ b/data/weekly/netlogo.xml @@ -3,6 +3,6 @@ GitHub Netlogo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Netlogo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/newlisp.json b/data/weekly/newlisp.json index cd77de8e573f..3074f8af6857 100644 --- a/data/weekly/newlisp.json +++ b/data/weekly/newlisp.json @@ -2,6 +2,6 @@ "title": "GitHub Newlisp Languages Weekly Trending", "description": "Weekly Trending of Newlisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/newlisp.xml b/data/weekly/newlisp.xml index 7d573e496fae..066489aa14b7 100644 --- a/data/weekly/newlisp.xml +++ b/data/weekly/newlisp.xml @@ -3,6 +3,6 @@ GitHub Newlisp Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Newlisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nextflow.json b/data/weekly/nextflow.json index 9a08078940f2..a05158f78926 100644 --- a/data/weekly/nextflow.json +++ b/data/weekly/nextflow.json @@ -2,7 +2,7 @@ "title": "GitHub Nextflow Languages Weekly Trending", "description": "Weekly Trending of Nextflow Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nf-core/modules", @@ -84,7 +84,7 @@ "description": "RNA sequencing analysis pipeline using STAR, RSEM, HISAT2 or Salmon with gene/isoform counts and extensive quality control.", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "923", + "stars": "924", "forks": "709", "addStars": "10", "contributors": [ @@ -190,24 +190,56 @@ ] }, { - "title": "nf-core/rnafusion", - "url": "https://github.com/nf-core/rnafusion", - "description": "RNA-seq analysis pipeline for detection of gene-fusions", + "title": "nf-core/nanoseq", + "url": "https://github.com/nf-core/nanoseq", + "description": "Nanopore demultiplexing, QC and alignment pipeline", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "144", - "forks": "94", - "addStars": "1", + "stars": "181", + "forks": "80", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/47788523?s=40&v=4", - "name": "rannick", - "url": "https://github.com/rannick" + "avatar": "https://avatars.githubusercontent.com/u/23529759?s=40&v=4", + "name": "drpatelh", + "url": "https://github.com/drpatelh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/41866052?s=40&v=4", + "name": "yuukiiwa", + "url": "https://github.com/yuukiiwa" }, { - "avatar": "https://avatars.githubusercontent.com/u/3359239?s=40&v=4", - "name": "matq007", - "url": "https://github.com/matq007" + "avatar": "https://avatars.githubusercontent.com/u/37123979?s=40&v=4", + "name": "lwratten", + "url": "https://github.com/lwratten" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26227626?s=40&v=4", + "name": "csawye01", + "url": "https://github.com/csawye01" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25293260?s=40&v=4", + "name": "cying111", + "url": "https://github.com/cying111" + } + ] + }, + { + "title": "nf-core/hic", + "url": "https://github.com/nf-core/hic", + "description": "Analysis of Chromosome Conformation Capture data (Hi-C)", + "language": "Nextflow", + "languageColor": "#3ac486", + "stars": "92", + "forks": "55", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10778219?s=40&v=4", + "name": "nservant", + "url": "https://github.com/nservant" }, { "avatar": "https://avatars.githubusercontent.com/u/1019628?s=40&v=4", @@ -215,26 +247,31 @@ "url": "https://github.com/maxulysse" }, { - "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", - "name": "apeltzer", - "url": "https://github.com/apeltzer" + "avatar": "https://avatars.githubusercontent.com/u/42236957?s=40&v=4", + "name": "nf-core-bot", + "url": "https://github.com/nf-core-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/465550?s=40&v=4", + "name": "ewels", + "url": "https://github.com/ewels" }, { - "avatar": "https://avatars.githubusercontent.com/u/16774076?s=40&v=4", - "name": "Hammarn", - "url": "https://github.com/Hammarn" + "avatar": "https://avatars.githubusercontent.com/u/12817534?s=40&v=4", + "name": "adamrtalbot", + "url": "https://github.com/adamrtalbot" } ] }, { - "title": "nf-core/configs", - "url": "https://github.com/nf-core/configs", - "description": "Config files used to define parameters specific to compute environments at different Institutions", + "title": "nf-core/mag", + "url": "https://github.com/nf-core/mag", + "description": "Assembly and binning of metagenomes", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "88", - "forks": "275", - "addStars": "0", + "stars": "217", + "forks": "111", + "addStars": "1", "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/17950287?s=40&v=4", @@ -242,204 +279,278 @@ "url": "https://github.com/jfy133" }, { - "avatar": "https://avatars.githubusercontent.com/u/1019628?s=40&v=4", - "name": "maxulysse", - "url": "https://github.com/maxulysse" + "avatar": "https://avatars.githubusercontent.com/u/1982769?s=40&v=4", + "name": "skrakau", + "url": "https://github.com/skrakau" }, { - "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", - "name": "apeltzer", - "url": "https://github.com/apeltzer" + "avatar": "https://avatars.githubusercontent.com/u/42973691?s=40&v=4", + "name": "d4straub", + "url": "https://github.com/d4straub" }, { - "avatar": "https://avatars.githubusercontent.com/u/23529759?s=40&v=4", - "name": "drpatelh", - "url": "https://github.com/drpatelh" + "avatar": "https://avatars.githubusercontent.com/u/7337110?s=40&v=4", + "name": "HadrienG", + "url": "https://github.com/HadrienG" }, + { + "avatar": "https://avatars.githubusercontent.com/u/19718667?s=40&v=4", + "name": "prototaxites", + "url": "https://github.com/prototaxites" + } + ] + }, + { + "title": "nf-core/methylseq", + "url": "https://github.com/nf-core/methylseq", + "description": "Methylation (Bisulfite-Sequencing) analysis pipeline using Bismark or bwa-meth + MethylDackel", + "language": "Nextflow", + "languageColor": "#3ac486", + "stars": "141", + "forks": "146", + "addStars": "1", + "contributors": [ { "avatar": "https://avatars.githubusercontent.com/u/465550?s=40&v=4", "name": "ewels", "url": "https://github.com/ewels" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33637490?s=40&v=4", + "name": "sateeshperi", + "url": "https://github.com/sateeshperi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2433146?s=40&v=4", + "name": "phue", + "url": "https://github.com/phue" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20095261?s=40&v=4", + "name": "edmundmiller", + "url": "https://github.com/edmundmiller" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/56930974?s=40&v=4", + "name": "njspix", + "url": "https://github.com/njspix" } ] }, { - "title": "nf-core/rnavar", - "url": "https://github.com/nf-core/rnavar", - "description": "gatk4 RNA variant calling pipeline", + "title": "nf-core/epitopeprediction", + "url": "https://github.com/nf-core/epitopeprediction", + "description": "A bioinformatics best-practice analysis pipeline for epitope prediction and annotation", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "37", - "forks": "32", + "stars": "42", + "forks": "22", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/43108054?s=40&v=4", - "name": "praveenraj2018", - "url": "https://github.com/praveenraj2018" + "avatar": "https://avatars.githubusercontent.com/u/6513088?s=40&v=4", + "name": "christopher-mohr", + "url": "https://github.com/christopher-mohr" }, { - "avatar": "https://avatars.githubusercontent.com/u/1019628?s=40&v=4", - "name": "maxulysse", - "url": "https://github.com/maxulysse" + "avatar": "https://avatars.githubusercontent.com/u/3973503?s=40&v=4", + "name": "ggabernet", + "url": "https://github.com/ggabernet" }, { - "avatar": "https://avatars.githubusercontent.com/u/42236957?s=40&v=4", - "name": "nf-core-bot", - "url": "https://github.com/nf-core-bot" + "avatar": "https://avatars.githubusercontent.com/u/43858870?s=40&v=4", + "name": "jonasscheid", + "url": "https://github.com/jonasscheid" }, { - "avatar": "https://avatars.githubusercontent.com/u/18449327?s=40&v=4", - "name": "m3hdad", - "url": "https://github.com/m3hdad" + "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", + "name": "apeltzer", + "url": "https://github.com/apeltzer" }, { - "avatar": "https://avatars.githubusercontent.com/u/15126788?s=40&v=4", - "name": "KevinMenden", - "url": "https://github.com/KevinMenden" + "avatar": "https://avatars.githubusercontent.com/u/1982769?s=40&v=4", + "name": "skrakau", + "url": "https://github.com/skrakau" } ] }, { - "title": "nf-core/funcscan", - "url": "https://github.com/nf-core/funcscan", - "description": "(Meta-)genome screening for functional and natural product gene sequences", + "title": "nf-core/eager", + "url": "https://github.com/nf-core/eager", + "description": "A fully reproducible and state-of-the-art ancient DNA analysis pipeline", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "74", - "forks": "20", + "stars": "148", + "forks": "81", "addStars": "0", "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/73216762?s=40&v=4", - "name": "jasmezz", - "url": "https://github.com/jasmezz" - }, { "avatar": "https://avatars.githubusercontent.com/u/17950287?s=40&v=4", "name": "jfy133", "url": "https://github.com/jfy133" }, { - "avatar": "https://avatars.githubusercontent.com/u/81744003?s=40&v=4", - "name": "Darcy220606", - "url": "https://github.com/Darcy220606" + "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", + "name": "apeltzer", + "url": "https://github.com/apeltzer" }, { - "avatar": "https://avatars.githubusercontent.com/u/44900284?s=40&v=4", - "name": "louperelo", - "url": "https://github.com/louperelo" + "avatar": "https://avatars.githubusercontent.com/u/22079185?s=40&v=4", + "name": "TCLamnidis", + "url": "https://github.com/TCLamnidis" }, { - "avatar": "https://avatars.githubusercontent.com/u/42236957?s=40&v=4", - "name": "nf-core-bot", - "url": "https://github.com/nf-core-bot" + "avatar": "https://avatars.githubusercontent.com/u/8630837?s=40&v=4", + "name": "maxibor", + "url": "https://github.com/maxibor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47026226?s=40&v=4", + "name": "ZandraFagernas", + "url": "https://github.com/ZandraFagernas" } ] }, { - "title": "nf-core/metatdenovo", - "url": "https://github.com/nf-core/metatdenovo", - "description": "Assembly and annotation of metatranscriptomic or metagenomic data for prokaryotic, eukaryotic and viruses.", + "title": "nf-core/smrnaseq", + "url": "https://github.com/nf-core/smrnaseq", + "description": "A small-RNA sequencing analysis pipeline", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "22", - "forks": "10", + "stars": "74", + "forks": "125", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/78909156?s=40&v=4", - "name": "danilodileo", - "url": "https://github.com/danilodileo" + "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", + "name": "apeltzer", + "url": "https://github.com/apeltzer" }, { - "avatar": "https://avatars.githubusercontent.com/u/1520576?s=40&v=4", - "name": "erikrikarddaniel", - "url": "https://github.com/erikrikarddaniel" + "avatar": "https://avatars.githubusercontent.com/u/18577080?s=40&v=4", + "name": "atrigila", + "url": "https://github.com/atrigila" }, { - "avatar": "https://avatars.githubusercontent.com/u/22219618?s=40&v=4", - "name": "emnilsson", - "url": "https://github.com/emnilsson" + "avatar": "https://avatars.githubusercontent.com/u/1621788?s=40&v=4", + "name": "lpantano", + "url": "https://github.com/lpantano" }, { - "avatar": "https://avatars.githubusercontent.com/u/15652539?s=40&v=4", - "name": "mahesh-panchal", - "url": "https://github.com/mahesh-panchal" + "avatar": "https://avatars.githubusercontent.com/u/465550?s=40&v=4", + "name": "ewels", + "url": "https://github.com/ewels" }, { - "avatar": "https://avatars.githubusercontent.com/u/42973691?s=40&v=4", - "name": "d4straub", - "url": "https://github.com/d4straub" + "avatar": "https://avatars.githubusercontent.com/u/18303540?s=40&v=4", + "name": "chuan-wang", + "url": "https://github.com/chuan-wang" } ] }, { - "title": "nf-core/scrnaseq", - "url": "https://github.com/nf-core/scrnaseq", - "description": "A single-cell RNAseq pipeline for 10X genomics data", + "title": "nf-core/fetchngs", + "url": "https://github.com/nf-core/fetchngs", + "description": "Pipeline to fetch metadata and raw FastQ files from public databases", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "214", - "forks": "172", + "stars": "151", + "forks": "73", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", - "name": "apeltzer", - "url": "https://github.com/apeltzer" + "avatar": "https://avatars.githubusercontent.com/u/23529759?s=40&v=4", + "name": "drpatelh", + "url": "https://github.com/drpatelh" }, { - "avatar": "https://avatars.githubusercontent.com/u/7727714?s=40&v=4", - "name": "fmalmeida", - "url": "https://github.com/fmalmeida" + "avatar": "https://avatars.githubusercontent.com/u/1019628?s=40&v=4", + "name": "maxulysse", + "url": "https://github.com/maxulysse" }, { - "avatar": "https://avatars.githubusercontent.com/u/7051479?s=40&v=4", - "name": "grst", - "url": "https://github.com/grst" + "avatar": "https://avatars.githubusercontent.com/u/12817534?s=40&v=4", + "name": "adamrtalbot", + "url": "https://github.com/adamrtalbot" }, { - "avatar": "https://avatars.githubusercontent.com/u/8162688?s=40&v=4", - "name": "heylf", - "url": "https://github.com/heylf" + "avatar": "https://avatars.githubusercontent.com/u/33637490?s=40&v=4", + "name": "sateeshperi", + "url": "https://github.com/sateeshperi" }, { - "avatar": "https://avatars.githubusercontent.com/u/806256?s=40&v=4", - "name": "olgabot", - "url": "https://github.com/olgabot" + "avatar": "https://avatars.githubusercontent.com/u/128735622?s=40&v=4", + "name": "ejseqera", + "url": "https://github.com/ejseqera" } ] }, { - "title": "nf-core/bacass", - "url": "https://github.com/nf-core/bacass", - "description": "Simple bacterial assembly and annotation pipeline", + "title": "nf-core/mhcquant", + "url": "https://github.com/nf-core/mhcquant", + "description": "Identify and quantify MHC eluted peptides from mass spectrometry raw data", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "63", - "forks": "42", - "addStars": "2", + "stars": "33", + "forks": "25", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/69470278?s=40&v=4", - "name": "Daniel-VM", - "url": "https://github.com/Daniel-VM" + "avatar": "https://avatars.githubusercontent.com/u/71317334?s=40&v=4", + "name": "marissaDubbelaar", + "url": "https://github.com/marissaDubbelaar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25149151?s=40&v=4", + "name": "Leon-Bichmann", + "url": "https://github.com/Leon-Bichmann" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43858870?s=40&v=4", + "name": "jonasscheid", + "url": "https://github.com/jonasscheid" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/21954664?s=40&v=4", + "name": "Zethson", + "url": "https://github.com/Zethson" }, { "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", "name": "apeltzer", "url": "https://github.com/apeltzer" + } + ] + }, + { + "title": "nf-core/demultiplex", + "url": "https://github.com/nf-core/demultiplex", + "description": "Demultiplexing pipeline for sequencing data", + "language": "Nextflow", + "languageColor": "#3ac486", + "stars": "44", + "forks": "38", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26227626?s=40&v=4", + "name": "csawye01", + "url": "https://github.com/csawye01" }, { - "avatar": "https://avatars.githubusercontent.com/u/42973691?s=40&v=4", - "name": "d4straub", - "url": "https://github.com/d4straub" + "avatar": "https://avatars.githubusercontent.com/u/20095261?s=40&v=4", + "name": "edmundmiller", + "url": "https://github.com/edmundmiller" }, { - "avatar": "https://avatars.githubusercontent.com/u/42236957?s=40&v=4", - "name": "nf-core-bot", - "url": "https://github.com/nf-core-bot" + "avatar": "https://avatars.githubusercontent.com/u/18577080?s=40&v=4", + "name": "atrigila", + "url": "https://github.com/atrigila" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11850640?s=40&v=4", + "name": "matthdsm", + "url": "https://github.com/matthdsm" }, { "avatar": "https://avatars.githubusercontent.com/u/1019628?s=40&v=4", @@ -449,76 +560,76 @@ ] }, { - "title": "nf-core/eager", - "url": "https://github.com/nf-core/eager", - "description": "A fully reproducible and state-of-the-art ancient DNA analysis pipeline", + "title": "nf-core/chipseq", + "url": "https://github.com/nf-core/chipseq", + "description": "ChIP-seq peak-calling, QC and differential analysis pipeline.", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "148", - "forks": "81", - "addStars": "0", + "stars": "195", + "forks": "150", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17950287?s=40&v=4", - "name": "jfy133", - "url": "https://github.com/jfy133" + "avatar": "https://avatars.githubusercontent.com/u/23529759?s=40&v=4", + "name": "drpatelh", + "url": "https://github.com/drpatelh" }, { - "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", - "name": "apeltzer", - "url": "https://github.com/apeltzer" + "avatar": "https://avatars.githubusercontent.com/u/6224346?s=40&v=4", + "name": "JoseEspinosa", + "url": "https://github.com/JoseEspinosa" }, { - "avatar": "https://avatars.githubusercontent.com/u/22079185?s=40&v=4", - "name": "TCLamnidis", - "url": "https://github.com/TCLamnidis" + "avatar": "https://avatars.githubusercontent.com/u/18303540?s=40&v=4", + "name": "chuan-wang", + "url": "https://github.com/chuan-wang" }, { - "avatar": "https://avatars.githubusercontent.com/u/8630837?s=40&v=4", - "name": "maxibor", - "url": "https://github.com/maxibor" + "avatar": "https://avatars.githubusercontent.com/u/465550?s=40&v=4", + "name": "ewels", + "url": "https://github.com/ewels" }, { - "avatar": "https://avatars.githubusercontent.com/u/47026226?s=40&v=4", - "name": "ZandraFagernas", - "url": "https://github.com/ZandraFagernas" + "avatar": "https://avatars.githubusercontent.com/u/42236957?s=40&v=4", + "name": "nf-core-bot", + "url": "https://github.com/nf-core-bot" } ] }, { - "title": "nf-core/mag", - "url": "https://github.com/nf-core/mag", - "description": "Assembly and binning of metagenomes", + "title": "nf-core/airrflow", + "url": "https://github.com/nf-core/airrflow", + "description": "B-cell and T-cell Adaptive Immune Receptor Repertoire (AIRR) sequencing analysis pipeline using the Immcantation framework", "language": "Nextflow", "languageColor": "#3ac486", - "stars": "217", - "forks": "110", - "addStars": "1", + "stars": "54", + "forks": "34", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/17950287?s=40&v=4", - "name": "jfy133", - "url": "https://github.com/jfy133" + "avatar": "https://avatars.githubusercontent.com/u/3973503?s=40&v=4", + "name": "ggabernet", + "url": "https://github.com/ggabernet" }, { - "avatar": "https://avatars.githubusercontent.com/u/1982769?s=40&v=4", - "name": "skrakau", - "url": "https://github.com/skrakau" + "avatar": "https://avatars.githubusercontent.com/u/20683719?s=40&v=4", + "name": "ssnn-airr", + "url": "https://github.com/ssnn-airr" }, { - "avatar": "https://avatars.githubusercontent.com/u/42973691?s=40&v=4", - "name": "d4straub", - "url": "https://github.com/d4straub" + "avatar": "https://avatars.githubusercontent.com/u/2359510?s=40&v=4", + "name": "apeltzer", + "url": "https://github.com/apeltzer" }, { - "avatar": "https://avatars.githubusercontent.com/u/7337110?s=40&v=4", - "name": "HadrienG", - "url": "https://github.com/HadrienG" + "avatar": "https://avatars.githubusercontent.com/u/811296?s=40&v=4", + "name": "dladd", + "url": "https://github.com/dladd" }, { - "avatar": "https://avatars.githubusercontent.com/u/19718667?s=40&v=4", - "name": "prototaxites", - "url": "https://github.com/prototaxites" + "avatar": "https://avatars.githubusercontent.com/u/42236957?s=40&v=4", + "name": "nf-core-bot", + "url": "https://github.com/nf-core-bot" } ] } diff --git a/data/weekly/nextflow.xml b/data/weekly/nextflow.xml index 9df6d674323a..d870bc17e5dc 100644 --- a/data/weekly/nextflow.xml +++ b/data/weekly/nextflow.xml @@ -3,7 +3,7 @@ GitHub Nextflow Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nextflow Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nf-core/modules https://github.com/nf-core/modules @@ -87,7 +87,7 @@ https://github.com/nf-core/rnaseq Nextflow #3ac486 - 923 + 924 709 10 @@ -195,25 +195,58 @@ - nf-core/rnafusion - https://github.com/nf-core/rnafusion - RNA-seq analysis pipeline for detection of gene-fusions - https://github.com/nf-core/rnafusion + nf-core/nanoseq + https://github.com/nf-core/nanoseq + Nanopore demultiplexing, QC and alignment pipeline + https://github.com/nf-core/nanoseq Nextflow #3ac486 - 144 - 94 - 1 + 181 + 80 + 2 - https://avatars.githubusercontent.com/u/47788523?s=40&v=4 - rannick - https://github.com/rannick + https://avatars.githubusercontent.com/u/23529759?s=40&v=4 + drpatelh + https://github.com/drpatelh + + + https://avatars.githubusercontent.com/u/41866052?s=40&v=4 + yuukiiwa + https://github.com/yuukiiwa - https://avatars.githubusercontent.com/u/3359239?s=40&v=4 - matq007 - https://github.com/matq007 + https://avatars.githubusercontent.com/u/37123979?s=40&v=4 + lwratten + https://github.com/lwratten + + + https://avatars.githubusercontent.com/u/26227626?s=40&v=4 + csawye01 + https://github.com/csawye01 + + + https://avatars.githubusercontent.com/u/25293260?s=40&v=4 + cying111 + https://github.com/cying111 + + + + + nf-core/hic + https://github.com/nf-core/hic + Analysis of Chromosome Conformation Capture data (Hi-C) + https://github.com/nf-core/hic + Nextflow + #3ac486 + 92 + 55 + 0 + + + https://avatars.githubusercontent.com/u/10778219?s=40&v=4 + nservant + https://github.com/nservant https://avatars.githubusercontent.com/u/1019628?s=40&v=4 @@ -221,27 +254,32 @@ https://github.com/maxulysse - https://avatars.githubusercontent.com/u/2359510?s=40&v=4 - apeltzer - https://github.com/apeltzer + https://avatars.githubusercontent.com/u/42236957?s=40&v=4 + nf-core-bot + https://github.com/nf-core-bot - https://avatars.githubusercontent.com/u/16774076?s=40&v=4 - Hammarn - https://github.com/Hammarn + https://avatars.githubusercontent.com/u/465550?s=40&v=4 + ewels + https://github.com/ewels + + + https://avatars.githubusercontent.com/u/12817534?s=40&v=4 + adamrtalbot + https://github.com/adamrtalbot - nf-core/configs - https://github.com/nf-core/configs - Config files used to define parameters specific to compute environments at different Institutions - https://github.com/nf-core/configs + nf-core/mag + https://github.com/nf-core/mag + Assembly and binning of metagenomes + https://github.com/nf-core/mag Nextflow #3ac486 - 88 - 275 - 0 + 217 + 111 + 1 https://avatars.githubusercontent.com/u/17950287?s=40&v=4 @@ -249,209 +287,285 @@ https://github.com/jfy133 - https://avatars.githubusercontent.com/u/1019628?s=40&v=4 - maxulysse - https://github.com/maxulysse + https://avatars.githubusercontent.com/u/1982769?s=40&v=4 + skrakau + https://github.com/skrakau - https://avatars.githubusercontent.com/u/2359510?s=40&v=4 - apeltzer - https://github.com/apeltzer + https://avatars.githubusercontent.com/u/42973691?s=40&v=4 + d4straub + https://github.com/d4straub - https://avatars.githubusercontent.com/u/23529759?s=40&v=4 - drpatelh - https://github.com/drpatelh + https://avatars.githubusercontent.com/u/7337110?s=40&v=4 + HadrienG + https://github.com/HadrienG + + https://avatars.githubusercontent.com/u/19718667?s=40&v=4 + prototaxites + https://github.com/prototaxites + + + + + nf-core/methylseq + https://github.com/nf-core/methylseq + Methylation (Bisulfite-Sequencing) analysis pipeline using Bismark or bwa-meth + MethylDackel + https://github.com/nf-core/methylseq + Nextflow + #3ac486 + 141 + 146 + 1 + https://avatars.githubusercontent.com/u/465550?s=40&v=4 ewels https://github.com/ewels + + https://avatars.githubusercontent.com/u/33637490?s=40&v=4 + sateeshperi + https://github.com/sateeshperi + + + https://avatars.githubusercontent.com/u/2433146?s=40&v=4 + phue + https://github.com/phue + + + https://avatars.githubusercontent.com/u/20095261?s=40&v=4 + edmundmiller + https://github.com/edmundmiller + + + https://avatars.githubusercontent.com/u/56930974?s=40&v=4 + njspix + https://github.com/njspix + - nf-core/rnavar - https://github.com/nf-core/rnavar - gatk4 RNA variant calling pipeline - https://github.com/nf-core/rnavar + nf-core/epitopeprediction + https://github.com/nf-core/epitopeprediction + A bioinformatics best-practice analysis pipeline for epitope prediction and annotation + https://github.com/nf-core/epitopeprediction Nextflow #3ac486 - 37 - 32 + 42 + 22 0 - https://avatars.githubusercontent.com/u/43108054?s=40&v=4 - praveenraj2018 - https://github.com/praveenraj2018 + https://avatars.githubusercontent.com/u/6513088?s=40&v=4 + christopher-mohr + https://github.com/christopher-mohr - https://avatars.githubusercontent.com/u/1019628?s=40&v=4 - maxulysse - https://github.com/maxulysse + https://avatars.githubusercontent.com/u/3973503?s=40&v=4 + ggabernet + https://github.com/ggabernet - https://avatars.githubusercontent.com/u/42236957?s=40&v=4 - nf-core-bot - https://github.com/nf-core-bot + https://avatars.githubusercontent.com/u/43858870?s=40&v=4 + jonasscheid + https://github.com/jonasscheid - https://avatars.githubusercontent.com/u/18449327?s=40&v=4 - m3hdad - https://github.com/m3hdad + https://avatars.githubusercontent.com/u/2359510?s=40&v=4 + apeltzer + https://github.com/apeltzer - https://avatars.githubusercontent.com/u/15126788?s=40&v=4 - KevinMenden - https://github.com/KevinMenden + https://avatars.githubusercontent.com/u/1982769?s=40&v=4 + skrakau + https://github.com/skrakau - nf-core/funcscan - https://github.com/nf-core/funcscan - (Meta-)genome screening for functional and natural product gene sequences - https://github.com/nf-core/funcscan + nf-core/eager + https://github.com/nf-core/eager + A fully reproducible and state-of-the-art ancient DNA analysis pipeline + https://github.com/nf-core/eager Nextflow #3ac486 - 74 - 20 + 148 + 81 0 - - https://avatars.githubusercontent.com/u/73216762?s=40&v=4 - jasmezz - https://github.com/jasmezz - https://avatars.githubusercontent.com/u/17950287?s=40&v=4 jfy133 https://github.com/jfy133 - https://avatars.githubusercontent.com/u/81744003?s=40&v=4 - Darcy220606 - https://github.com/Darcy220606 + https://avatars.githubusercontent.com/u/2359510?s=40&v=4 + apeltzer + https://github.com/apeltzer - https://avatars.githubusercontent.com/u/44900284?s=40&v=4 - louperelo - https://github.com/louperelo + https://avatars.githubusercontent.com/u/22079185?s=40&v=4 + TCLamnidis + https://github.com/TCLamnidis - https://avatars.githubusercontent.com/u/42236957?s=40&v=4 - nf-core-bot - https://github.com/nf-core-bot + https://avatars.githubusercontent.com/u/8630837?s=40&v=4 + maxibor + https://github.com/maxibor + + + https://avatars.githubusercontent.com/u/47026226?s=40&v=4 + ZandraFagernas + https://github.com/ZandraFagernas - nf-core/metatdenovo - https://github.com/nf-core/metatdenovo - Assembly and annotation of metatranscriptomic or metagenomic data for prokaryotic, eukaryotic and viruses. - https://github.com/nf-core/metatdenovo + nf-core/smrnaseq + https://github.com/nf-core/smrnaseq + A small-RNA sequencing analysis pipeline + https://github.com/nf-core/smrnaseq Nextflow #3ac486 - 22 - 10 + 74 + 125 0 - https://avatars.githubusercontent.com/u/78909156?s=40&v=4 - danilodileo - https://github.com/danilodileo + https://avatars.githubusercontent.com/u/2359510?s=40&v=4 + apeltzer + https://github.com/apeltzer - https://avatars.githubusercontent.com/u/1520576?s=40&v=4 - erikrikarddaniel - https://github.com/erikrikarddaniel + https://avatars.githubusercontent.com/u/18577080?s=40&v=4 + atrigila + https://github.com/atrigila - https://avatars.githubusercontent.com/u/22219618?s=40&v=4 - emnilsson - https://github.com/emnilsson + https://avatars.githubusercontent.com/u/1621788?s=40&v=4 + lpantano + https://github.com/lpantano - https://avatars.githubusercontent.com/u/15652539?s=40&v=4 - mahesh-panchal - https://github.com/mahesh-panchal + https://avatars.githubusercontent.com/u/465550?s=40&v=4 + ewels + https://github.com/ewels - https://avatars.githubusercontent.com/u/42973691?s=40&v=4 - d4straub - https://github.com/d4straub + https://avatars.githubusercontent.com/u/18303540?s=40&v=4 + chuan-wang + https://github.com/chuan-wang - nf-core/scrnaseq - https://github.com/nf-core/scrnaseq - A single-cell RNAseq pipeline for 10X genomics data - https://github.com/nf-core/scrnaseq + nf-core/fetchngs + https://github.com/nf-core/fetchngs + Pipeline to fetch metadata and raw FastQ files from public databases + https://github.com/nf-core/fetchngs Nextflow #3ac486 - 214 - 172 + 151 + 73 0 - https://avatars.githubusercontent.com/u/2359510?s=40&v=4 - apeltzer - https://github.com/apeltzer + https://avatars.githubusercontent.com/u/23529759?s=40&v=4 + drpatelh + https://github.com/drpatelh - https://avatars.githubusercontent.com/u/7727714?s=40&v=4 - fmalmeida - https://github.com/fmalmeida + https://avatars.githubusercontent.com/u/1019628?s=40&v=4 + maxulysse + https://github.com/maxulysse - https://avatars.githubusercontent.com/u/7051479?s=40&v=4 - grst - https://github.com/grst + https://avatars.githubusercontent.com/u/12817534?s=40&v=4 + adamrtalbot + https://github.com/adamrtalbot - https://avatars.githubusercontent.com/u/8162688?s=40&v=4 - heylf - https://github.com/heylf + https://avatars.githubusercontent.com/u/33637490?s=40&v=4 + sateeshperi + https://github.com/sateeshperi - https://avatars.githubusercontent.com/u/806256?s=40&v=4 - olgabot - https://github.com/olgabot + https://avatars.githubusercontent.com/u/128735622?s=40&v=4 + ejseqera + https://github.com/ejseqera - nf-core/bacass - https://github.com/nf-core/bacass - Simple bacterial assembly and annotation pipeline - https://github.com/nf-core/bacass + nf-core/mhcquant + https://github.com/nf-core/mhcquant + Identify and quantify MHC eluted peptides from mass spectrometry raw data + https://github.com/nf-core/mhcquant Nextflow #3ac486 - 63 - 42 - 2 + 33 + 25 + 0 - https://avatars.githubusercontent.com/u/69470278?s=40&v=4 - Daniel-VM - https://github.com/Daniel-VM + https://avatars.githubusercontent.com/u/71317334?s=40&v=4 + marissaDubbelaar + https://github.com/marissaDubbelaar + + + https://avatars.githubusercontent.com/u/25149151?s=40&v=4 + Leon-Bichmann + https://github.com/Leon-Bichmann + + + https://avatars.githubusercontent.com/u/43858870?s=40&v=4 + jonasscheid + https://github.com/jonasscheid + + + https://avatars.githubusercontent.com/u/21954664?s=40&v=4 + Zethson + https://github.com/Zethson https://avatars.githubusercontent.com/u/2359510?s=40&v=4 apeltzer https://github.com/apeltzer + + + + nf-core/demultiplex + https://github.com/nf-core/demultiplex + Demultiplexing pipeline for sequencing data + https://github.com/nf-core/demultiplex + Nextflow + #3ac486 + 44 + 38 + 0 + - https://avatars.githubusercontent.com/u/42973691?s=40&v=4 - d4straub - https://github.com/d4straub + https://avatars.githubusercontent.com/u/26227626?s=40&v=4 + csawye01 + https://github.com/csawye01 - https://avatars.githubusercontent.com/u/42236957?s=40&v=4 - nf-core-bot - https://github.com/nf-core-bot + https://avatars.githubusercontent.com/u/20095261?s=40&v=4 + edmundmiller + https://github.com/edmundmiller + + + https://avatars.githubusercontent.com/u/18577080?s=40&v=4 + atrigila + https://github.com/atrigila + + + https://avatars.githubusercontent.com/u/11850640?s=40&v=4 + matthdsm + https://github.com/matthdsm https://avatars.githubusercontent.com/u/1019628?s=40&v=4 @@ -461,78 +575,78 @@ - nf-core/eager - https://github.com/nf-core/eager - A fully reproducible and state-of-the-art ancient DNA analysis pipeline - https://github.com/nf-core/eager + nf-core/chipseq + https://github.com/nf-core/chipseq + ChIP-seq peak-calling, QC and differential analysis pipeline. + https://github.com/nf-core/chipseq Nextflow #3ac486 - 148 - 81 - 0 + 195 + 150 + 1 - https://avatars.githubusercontent.com/u/17950287?s=40&v=4 - jfy133 - https://github.com/jfy133 + https://avatars.githubusercontent.com/u/23529759?s=40&v=4 + drpatelh + https://github.com/drpatelh - https://avatars.githubusercontent.com/u/2359510?s=40&v=4 - apeltzer - https://github.com/apeltzer + https://avatars.githubusercontent.com/u/6224346?s=40&v=4 + JoseEspinosa + https://github.com/JoseEspinosa - https://avatars.githubusercontent.com/u/22079185?s=40&v=4 - TCLamnidis - https://github.com/TCLamnidis + https://avatars.githubusercontent.com/u/18303540?s=40&v=4 + chuan-wang + https://github.com/chuan-wang - https://avatars.githubusercontent.com/u/8630837?s=40&v=4 - maxibor - https://github.com/maxibor + https://avatars.githubusercontent.com/u/465550?s=40&v=4 + ewels + https://github.com/ewels - https://avatars.githubusercontent.com/u/47026226?s=40&v=4 - ZandraFagernas - https://github.com/ZandraFagernas + https://avatars.githubusercontent.com/u/42236957?s=40&v=4 + nf-core-bot + https://github.com/nf-core-bot - nf-core/mag - https://github.com/nf-core/mag - Assembly and binning of metagenomes - https://github.com/nf-core/mag + nf-core/airrflow + https://github.com/nf-core/airrflow + B-cell and T-cell Adaptive Immune Receptor Repertoire (AIRR) sequencing analysis pipeline using the Immcantation framework + https://github.com/nf-core/airrflow Nextflow #3ac486 - 217 - 110 - 1 + 54 + 34 + 0 - https://avatars.githubusercontent.com/u/17950287?s=40&v=4 - jfy133 - https://github.com/jfy133 + https://avatars.githubusercontent.com/u/3973503?s=40&v=4 + ggabernet + https://github.com/ggabernet - https://avatars.githubusercontent.com/u/1982769?s=40&v=4 - skrakau - https://github.com/skrakau + https://avatars.githubusercontent.com/u/20683719?s=40&v=4 + ssnn-airr + https://github.com/ssnn-airr - https://avatars.githubusercontent.com/u/42973691?s=40&v=4 - d4straub - https://github.com/d4straub + https://avatars.githubusercontent.com/u/2359510?s=40&v=4 + apeltzer + https://github.com/apeltzer - https://avatars.githubusercontent.com/u/7337110?s=40&v=4 - HadrienG - https://github.com/HadrienG + https://avatars.githubusercontent.com/u/811296?s=40&v=4 + dladd + https://github.com/dladd - https://avatars.githubusercontent.com/u/19718667?s=40&v=4 - prototaxites - https://github.com/prototaxites + https://avatars.githubusercontent.com/u/42236957?s=40&v=4 + nf-core-bot + https://github.com/nf-core-bot diff --git a/data/weekly/nginx.json b/data/weekly/nginx.json index 00ac720c339d..1333c2567333 100644 --- a/data/weekly/nginx.json +++ b/data/weekly/nginx.json @@ -2,6 +2,6 @@ "title": "GitHub Nginx Languages Weekly Trending", "description": "Weekly Trending of Nginx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nginx.xml b/data/weekly/nginx.xml index 703f5d8acc81..a174210e7e9a 100644 --- a/data/weekly/nginx.xml +++ b/data/weekly/nginx.xml @@ -3,6 +3,6 @@ GitHub Nginx Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nginx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nim.json b/data/weekly/nim.json index d83f982efea1..64af6e23a962 100644 --- a/data/weekly/nim.json +++ b/data/weekly/nim.json @@ -2,7 +2,7 @@ "title": "GitHub Nim Languages Weekly Trending", "description": "Weekly Trending of Nim Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nim-lang/Nim", diff --git a/data/weekly/nim.xml b/data/weekly/nim.xml index e596254b0677..ab7d03f8b803 100644 --- a/data/weekly/nim.xml +++ b/data/weekly/nim.xml @@ -3,7 +3,7 @@ GitHub Nim Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nim Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nim-lang/Nim https://github.com/nim-lang/Nim diff --git a/data/weekly/ninja.json b/data/weekly/ninja.json index 9346debcb5c4..b0c9c212a073 100644 --- a/data/weekly/ninja.json +++ b/data/weekly/ninja.json @@ -2,6 +2,6 @@ "title": "GitHub Ninja Languages Weekly Trending", "description": "Weekly Trending of Ninja Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ninja.xml b/data/weekly/ninja.xml index 61c9a46f8a4f..dc470ba1e923 100644 --- a/data/weekly/ninja.xml +++ b/data/weekly/ninja.xml @@ -3,6 +3,6 @@ GitHub Ninja Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ninja Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nit.json b/data/weekly/nit.json index 6dbe4bdbaa9e..312bf24ceb66 100644 --- a/data/weekly/nit.json +++ b/data/weekly/nit.json @@ -2,6 +2,6 @@ "title": "GitHub Nit Languages Weekly Trending", "description": "Weekly Trending of Nit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nit.xml b/data/weekly/nit.xml index 2c21d87abbde..e99f60a95f0f 100644 --- a/data/weekly/nit.xml +++ b/data/weekly/nit.xml @@ -3,6 +3,6 @@ GitHub Nit Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nix.json b/data/weekly/nix.json index c670f24a6a92..2f476f5edae8 100644 --- a/data/weekly/nix.json +++ b/data/weekly/nix.json @@ -2,7 +2,7 @@ "title": "GitHub Nix Languages Weekly Trending", "description": "Weekly Trending of Nix Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "NixOS/nixpkgs", @@ -10,8 +10,8 @@ "description": "Nix Packages collection & NixOS", "language": "Nix", "languageColor": "#7e7eff", - "stars": "18,305", - "forks": "14,273", + "stars": "18,306", + "forks": "14,276", "addStars": "112", "contributors": [ { @@ -41,43 +41,6 @@ } ] }, - { - "title": "nix-community/home-manager", - "url": "https://github.com/nix-community/home-manager", - "description": "Manage a user environment using Nix [maintainer=@rycee]", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "7,140", - "forks": "1,844", - "addStars": "43", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/798147?s=40&v=4", - "name": "rycee", - "url": "https://github.com/rycee" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4323933?s=40&v=4", - "name": "ncfavier", - "url": "https://github.com/ncfavier" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20448408?s=40&v=4", - "name": "berbiche", - "url": "https://github.com/berbiche" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/886074?s=40&v=4", - "name": "teto", - "url": "https://github.com/teto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16734772?s=40&v=4", - "name": "sumnerevans", - "url": "https://github.com/sumnerevans" - } - ] - }, { "title": "nix-community/nixvim", "url": "https://github.com/nix-community/nixvim", @@ -115,14 +78,51 @@ } ] }, + { + "title": "nix-community/home-manager", + "url": "https://github.com/nix-community/home-manager", + "description": "Manage a user environment using Nix [maintainer=@rycee]", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "7,140", + "forks": "1,844", + "addStars": "43", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/798147?s=40&v=4", + "name": "rycee", + "url": "https://github.com/rycee" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4323933?s=40&v=4", + "name": "ncfavier", + "url": "https://github.com/ncfavier" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20448408?s=40&v=4", + "name": "berbiche", + "url": "https://github.com/berbiche" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/886074?s=40&v=4", + "name": "teto", + "url": "https://github.com/teto" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16734772?s=40&v=4", + "name": "sumnerevans", + "url": "https://github.com/sumnerevans" + } + ] + }, { "title": "LnL7/nix-darwin", "url": "https://github.com/LnL7/nix-darwin", "description": "nix modules for darwin", "language": "Nix", "languageColor": "#7e7eff", - "stars": "3,206", - "forks": "457", + "stars": "3,207", + "forks": "458", "addStars": "27", "contributors": [ { @@ -211,6 +211,43 @@ } ] }, + { + "title": "NixOS/nix.dev", + "url": "https://github.com/NixOS/nix.dev", + "description": "Official documentation for getting things done with Nix.", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "2,639", + "forks": "253", + "addStars": "31", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6599296?s=40&v=4", + "name": "fricklerhandwerk", + "url": "https://github.com/fricklerhandwerk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", + "name": "domenkozar", + "url": "https://github.com/domenkozar" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74747193?s=40&v=4", + "name": "proofconstruction", + "url": "https://github.com/proofconstruction" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20525370?s=40&v=4", + "name": "infinisil", + "url": "https://github.com/infinisil" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10246891?s=40&v=4", + "name": "zmitchell", + "url": "https://github.com/zmitchell" + } + ] + }, { "title": "nix-community/nix-on-droid", "url": "https://github.com/nix-community/nix-on-droid", @@ -249,39 +286,61 @@ ] }, { - "title": "NixOS/nix.dev", - "url": "https://github.com/NixOS/nix.dev", - "description": "Official documentation for getting things done with Nix.", + "title": "oddlama/nix-topology", + "url": "https://github.com/oddlama/nix-topology", + "description": "🍁 Generate infrastructure and network diagrams directly from your NixOS configurations", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,637", - "forks": "253", - "addStars": "31", + "stars": "571", + "forks": "25", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6599296?s=40&v=4", - "name": "fricklerhandwerk", - "url": "https://github.com/fricklerhandwerk" + "avatar": "https://avatars.githubusercontent.com/u/31919558?s=40&v=4", + "name": "oddlama", + "url": "https://github.com/oddlama" }, { - "avatar": "https://avatars.githubusercontent.com/u/126339?s=40&v=4", - "name": "domenkozar", - "url": "https://github.com/domenkozar" + "avatar": "https://avatars.githubusercontent.com/u/29395089?s=40&v=4", + "name": "JayRovacsek", + "url": "https://github.com/JayRovacsek" }, { - "avatar": "https://avatars.githubusercontent.com/u/74747193?s=40&v=4", - "name": "proofconstruction", - "url": "https://github.com/proofconstruction" + "avatar": "https://avatars.githubusercontent.com/u/67164465?s=40&v=4", + "name": "IogaMaster", + "url": "https://github.com/IogaMaster" }, { - "avatar": "https://avatars.githubusercontent.com/u/20525370?s=40&v=4", - "name": "infinisil", - "url": "https://github.com/infinisil" + "avatar": "https://avatars.githubusercontent.com/u/79340822?s=40&v=4", + "name": "hauskens", + "url": "https://github.com/hauskens" }, { - "avatar": "https://avatars.githubusercontent.com/u/10246891?s=40&v=4", - "name": "zmitchell", - "url": "https://github.com/zmitchell" + "avatar": "https://avatars.githubusercontent.com/u/6325757?s=40&v=4", + "name": "pinage404", + "url": "https://github.com/pinage404" + } + ] + }, + { + "title": "Mic92/sops-nix", + "url": "https://github.com/Mic92/sops-nix", + "description": "Atomic secret provisioning for NixOS based on sops", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "1,660", + "forks": "155", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/96200?s=40&v=4", + "name": "Mic92", + "url": "https://github.com/Mic92" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4971975?s=40&v=4", + "name": "dasJ", + "url": "https://github.com/dasJ" } ] }, @@ -291,7 +350,7 @@ "description": "Fast, Declarative, Reproducible, and Composable Developer Environments", "language": "Nix", "languageColor": "#7e7eff", - "stars": "4,486", + "stars": "4,488", "forks": "339", "addStars": "25", "contributors": [ @@ -318,61 +377,103 @@ ] }, { - "title": "Mic92/sops-nix", - "url": "https://github.com/Mic92/sops-nix", - "description": "Atomic secret provisioning for NixOS based on sops", + "title": "ryan4yin/nixos-and-flakes-book", + "url": "https://github.com/ryan4yin/nixos-and-flakes-book", + "description": "🛠️ ❤️ Want to know NixOS & Flakes in detail? Looking for a beginner-friendly tutorial? Then you've come to the right place! 想要学习使用 NixOS 与 Flakes 吗?在寻找一份新手友好的教程?那你可来对地方了!", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,660", - "forks": "155", - "addStars": "17", + "stars": "2,059", + "forks": "104", + "addStars": "23", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/96200?s=40&v=4", - "name": "Mic92", - "url": "https://github.com/Mic92" + "avatar": "https://avatars.githubusercontent.com/u/22363274?s=40&v=4", + "name": "ryan4yin", + "url": "https://github.com/ryan4yin" }, { - "avatar": "https://avatars.githubusercontent.com/u/4971975?s=40&v=4", - "name": "dasJ", - "url": "https://github.com/dasJ" + "avatar": "https://avatars.githubusercontent.com/u/52529234?s=40&v=4", + "name": "Adda0", + "url": "https://github.com/Adda0" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/602654?s=40&v=4", + "name": "xtian", + "url": "https://github.com/xtian" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31861128?s=40&v=4", + "name": "piyoki", + "url": "https://github.com/piyoki" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/647437?s=40&v=4", + "name": "gabyx", + "url": "https://github.com/gabyx" } ] }, { - "title": "mitchellh/nixos-config", - "url": "https://github.com/mitchellh/nixos-config", - "description": "My NixOS configurations.", + "title": "lilyinstarlight/nixos-cosmic", + "url": "https://github.com/lilyinstarlight/nixos-cosmic", + "description": "Flake for using COSMIC on NixOS", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,073", - "forks": "197", + "stars": "434", + "forks": "27", + "addStars": "12", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/298109?s=40&v=4", + "name": "lilyinstarlight", + "url": "https://github.com/lilyinstarlight" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6444703?s=40&v=4", + "name": "drakon64", + "url": "https://github.com/drakon64" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11548989?s=40&v=4", + "name": "atagen", + "url": "https://github.com/atagen" + } + ] + }, + { + "title": "nix-community/impermanence", + "url": "https://github.com/nix-community/impermanence", + "description": "Modules to help you handle persistent state on systems with ephemeral root storage [maintainer=@talyz]", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "1,216", + "forks": "87", "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", - "name": "mitchellh", - "url": "https://github.com/mitchellh" + "avatar": "https://avatars.githubusercontent.com/u/63433?s=40&v=4", + "name": "talyz", + "url": "https://github.com/talyz" }, { - "avatar": "https://avatars.githubusercontent.com/u/143861?s=40&v=4", - "name": "klivan", - "url": "https://github.com/klivan" + "avatar": "https://avatars.githubusercontent.com/u/7243783?s=40&v=4", + "name": "lovesegfault", + "url": "https://github.com/lovesegfault" }, { - "avatar": "https://avatars.githubusercontent.com/u/627285?s=40&v=4", - "name": "supermarin", - "url": "https://github.com/supermarin" + "avatar": "https://avatars.githubusercontent.com/u/461970?s=40&v=4", + "name": "etu", + "url": "https://github.com/etu" }, { - "avatar": "https://avatars.githubusercontent.com/u/8778?s=40&v=4", - "name": "fud", - "url": "https://github.com/fud" + "avatar": "https://avatars.githubusercontent.com/u/5379596?s=40&v=4", + "name": "ReneHollander", + "url": "https://github.com/ReneHollander" }, { - "avatar": "https://avatars.githubusercontent.com/u/332968?s=40&v=4", - "name": "lucamaraschi", - "url": "https://github.com/lucamaraschi" + "avatar": "https://avatars.githubusercontent.com/u/25955146?s=40&v=4", + "name": "eyJhb", + "url": "https://github.com/eyJhb" } ] }, @@ -382,7 +483,7 @@ "description": "The Proxmox Hypervisor, on NixOS [maintainers=@camillemndn @JulienMalka]", "language": "Nix", "languageColor": "#7e7eff", - "stars": "656", + "stars": "657", "forks": "30", "addStars": "14", "contributors": [ @@ -435,50 +536,13 @@ } ] }, - { - "title": "ryan4yin/nixos-and-flakes-book", - "url": "https://github.com/ryan4yin/nixos-and-flakes-book", - "description": "🛠️ ❤️ Want to know NixOS & Flakes in detail? Looking for a beginner-friendly tutorial? Then you've come to the right place! 想要学习使用 NixOS 与 Flakes 吗?在寻找一份新手友好的教程?那你可来对地方了!", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "2,059", - "forks": "104", - "addStars": "23", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/22363274?s=40&v=4", - "name": "ryan4yin", - "url": "https://github.com/ryan4yin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52529234?s=40&v=4", - "name": "Adda0", - "url": "https://github.com/Adda0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/602654?s=40&v=4", - "name": "xtian", - "url": "https://github.com/xtian" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31861128?s=40&v=4", - "name": "piyoki", - "url": "https://github.com/piyoki" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/647437?s=40&v=4", - "name": "gabyx", - "url": "https://github.com/gabyx" - } - ] - }, { "title": "dustinlyons/nixos-config", "url": "https://github.com/dustinlyons/nixos-config", "description": "General purpose Nix configuration for macOS / NixOS with starter templates + step-by-step guides ✨", "language": "Nix", "languageColor": "#7e7eff", - "stars": "1,794", + "stars": "1,795", "forks": "113", "addStars": "38", "contributors": [ @@ -504,13 +568,50 @@ } ] }, + { + "title": "danth/stylix", + "url": "https://github.com/danth/stylix", + "description": "System-wide colorscheming and typography for NixOS", + "language": "Nix", + "languageColor": "#7e7eff", + "stars": "1,222", + "forks": "158", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/28959268?s=40&v=4", + "name": "danth", + "url": "https://github.com/danth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/90870942?s=40&v=4", + "name": "trueNAHO", + "url": "https://github.com/trueNAHO" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2025623?s=40&v=4", + "name": "dwarfmaster", + "url": "https://github.com/dwarfmaster" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13490857?s=40&v=4", + "name": "Lyndeno", + "url": "https://github.com/Lyndeno" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7243783?s=40&v=4", + "name": "lovesegfault", + "url": "https://github.com/lovesegfault" + } + ] + }, { "title": "NixOS/nixos-hardware", "url": "https://github.com/NixOS/nixos-hardware", "description": "A collection of NixOS modules covering hardware quirks.", "language": "Nix", "languageColor": "#7e7eff", - "stars": "2,070", + "stars": "2,072", "forks": "640", "addStars": "21", "contributors": [ @@ -537,39 +638,39 @@ ] }, { - "title": "MarceColl/zen-browser-flake", - "url": "https://github.com/MarceColl/zen-browser-flake", - "description": "Nix Flake for the Zen Browser", + "title": "mitchellh/nixos-config", + "url": "https://github.com/mitchellh/nixos-config", + "description": "My NixOS configurations.", "language": "Nix", "languageColor": "#7e7eff", - "stars": "167", - "forks": "95", - "addStars": "11", + "stars": "2,073", + "forks": "197", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1399250?s=40&v=4", - "name": "MarceColl", - "url": "https://github.com/MarceColl" + "avatar": "https://avatars.githubusercontent.com/u/1299?s=40&v=4", + "name": "mitchellh", + "url": "https://github.com/mitchellh" }, { - "avatar": "https://avatars.githubusercontent.com/u/32384814?s=40&v=4", - "name": "ch4og", - "url": "https://github.com/ch4og" + "avatar": "https://avatars.githubusercontent.com/u/143861?s=40&v=4", + "name": "klivan", + "url": "https://github.com/klivan" }, { - "avatar": "https://avatars.githubusercontent.com/u/2933420?s=40&v=4", - "name": "johannlejeune", - "url": "https://github.com/johannlejeune" + "avatar": "https://avatars.githubusercontent.com/u/627285?s=40&v=4", + "name": "supermarin", + "url": "https://github.com/supermarin" }, { - "avatar": "https://avatars.githubusercontent.com/u/38934577?s=40&v=4", - "name": "youwen5", - "url": "https://github.com/youwen5" + "avatar": "https://avatars.githubusercontent.com/u/8778?s=40&v=4", + "name": "fud", + "url": "https://github.com/fud" }, { - "avatar": "https://avatars.githubusercontent.com/u/48935949?s=40&v=4", - "name": "Reckfullies", - "url": "https://github.com/Reckfullies" + "avatar": "https://avatars.githubusercontent.com/u/332968?s=40&v=4", + "name": "lucamaraschi", + "url": "https://github.com/lucamaraschi" } ] }, @@ -594,33 +695,6 @@ "url": "https://github.com/wiki-nixos" } ] - }, - { - "title": "lilyinstarlight/nixos-cosmic", - "url": "https://github.com/lilyinstarlight/nixos-cosmic", - "description": "Flake for using COSMIC on NixOS", - "language": "Nix", - "languageColor": "#7e7eff", - "stars": "434", - "forks": "27", - "addStars": "12", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/298109?s=40&v=4", - "name": "lilyinstarlight", - "url": "https://github.com/lilyinstarlight" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6444703?s=40&v=4", - "name": "drakon64", - "url": "https://github.com/drakon64" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11548989?s=40&v=4", - "name": "atagen", - "url": "https://github.com/atagen" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/nix.xml b/data/weekly/nix.xml index faab9fd25096..cd56fe38de84 100644 --- a/data/weekly/nix.xml +++ b/data/weekly/nix.xml @@ -3,7 +3,7 @@ GitHub Nix Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nix Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT NixOS/nixpkgs https://github.com/NixOS/nixpkgs @@ -11,8 +11,8 @@ https://github.com/NixOS/nixpkgs Nix #7e7eff - 18,305 - 14,273 + 18,306 + 14,276 112 @@ -42,44 +42,6 @@ - - nix-community/home-manager - https://github.com/nix-community/home-manager - Manage a user environment using Nix [maintainer=@rycee] - https://github.com/nix-community/home-manager - Nix - #7e7eff - 7,140 - 1,844 - 43 - - - https://avatars.githubusercontent.com/u/798147?s=40&v=4 - rycee - https://github.com/rycee - - - https://avatars.githubusercontent.com/u/4323933?s=40&v=4 - ncfavier - https://github.com/ncfavier - - - https://avatars.githubusercontent.com/u/20448408?s=40&v=4 - berbiche - https://github.com/berbiche - - - https://avatars.githubusercontent.com/u/886074?s=40&v=4 - teto - https://github.com/teto - - - https://avatars.githubusercontent.com/u/16734772?s=40&v=4 - sumnerevans - https://github.com/sumnerevans - - - nix-community/nixvim https://github.com/nix-community/nixvim @@ -118,6 +80,44 @@ + + nix-community/home-manager + https://github.com/nix-community/home-manager + Manage a user environment using Nix [maintainer=@rycee] + https://github.com/nix-community/home-manager + Nix + #7e7eff + 7,140 + 1,844 + 43 + + + https://avatars.githubusercontent.com/u/798147?s=40&v=4 + rycee + https://github.com/rycee + + + https://avatars.githubusercontent.com/u/4323933?s=40&v=4 + ncfavier + https://github.com/ncfavier + + + https://avatars.githubusercontent.com/u/20448408?s=40&v=4 + berbiche + https://github.com/berbiche + + + https://avatars.githubusercontent.com/u/886074?s=40&v=4 + teto + https://github.com/teto + + + https://avatars.githubusercontent.com/u/16734772?s=40&v=4 + sumnerevans + https://github.com/sumnerevans + + + LnL7/nix-darwin https://github.com/LnL7/nix-darwin @@ -125,8 +125,8 @@ https://github.com/LnL7/nix-darwin Nix #7e7eff - 3,206 - 457 + 3,207 + 458 27 @@ -217,6 +217,44 @@ + + NixOS/nix.dev + https://github.com/NixOS/nix.dev + Official documentation for getting things done with Nix. + https://github.com/NixOS/nix.dev + Nix + #7e7eff + 2,639 + 253 + 31 + + + https://avatars.githubusercontent.com/u/6599296?s=40&v=4 + fricklerhandwerk + https://github.com/fricklerhandwerk + + + https://avatars.githubusercontent.com/u/126339?s=40&v=4 + domenkozar + https://github.com/domenkozar + + + https://avatars.githubusercontent.com/u/74747193?s=40&v=4 + proofconstruction + https://github.com/proofconstruction + + + https://avatars.githubusercontent.com/u/20525370?s=40&v=4 + infinisil + https://github.com/infinisil + + + https://avatars.githubusercontent.com/u/10246891?s=40&v=4 + zmitchell + https://github.com/zmitchell + + + nix-community/nix-on-droid https://github.com/nix-community/nix-on-droid @@ -256,40 +294,63 @@ - NixOS/nix.dev - https://github.com/NixOS/nix.dev - Official documentation for getting things done with Nix. - https://github.com/NixOS/nix.dev + oddlama/nix-topology + https://github.com/oddlama/nix-topology + 🍁 Generate infrastructure and network diagrams directly from your NixOS configurations + https://github.com/oddlama/nix-topology Nix #7e7eff - 2,637 - 253 - 31 + 571 + 25 + 13 - https://avatars.githubusercontent.com/u/6599296?s=40&v=4 - fricklerhandwerk - https://github.com/fricklerhandwerk + https://avatars.githubusercontent.com/u/31919558?s=40&v=4 + oddlama + https://github.com/oddlama - https://avatars.githubusercontent.com/u/126339?s=40&v=4 - domenkozar - https://github.com/domenkozar + https://avatars.githubusercontent.com/u/29395089?s=40&v=4 + JayRovacsek + https://github.com/JayRovacsek - https://avatars.githubusercontent.com/u/74747193?s=40&v=4 - proofconstruction - https://github.com/proofconstruction + https://avatars.githubusercontent.com/u/67164465?s=40&v=4 + IogaMaster + https://github.com/IogaMaster - https://avatars.githubusercontent.com/u/20525370?s=40&v=4 - infinisil - https://github.com/infinisil + https://avatars.githubusercontent.com/u/79340822?s=40&v=4 + hauskens + https://github.com/hauskens - https://avatars.githubusercontent.com/u/10246891?s=40&v=4 - zmitchell - https://github.com/zmitchell + https://avatars.githubusercontent.com/u/6325757?s=40&v=4 + pinage404 + https://github.com/pinage404 + + + + + Mic92/sops-nix + https://github.com/Mic92/sops-nix + Atomic secret provisioning for NixOS based on sops + https://github.com/Mic92/sops-nix + Nix + #7e7eff + 1,660 + 155 + 17 + + + https://avatars.githubusercontent.com/u/96200?s=40&v=4 + Mic92 + https://github.com/Mic92 + + + https://avatars.githubusercontent.com/u/4971975?s=40&v=4 + dasJ + https://github.com/dasJ @@ -300,7 +361,7 @@ https://github.com/cachix/devenv Nix #7e7eff - 4,486 + 4,488 339 25 @@ -327,63 +388,106 @@ - Mic92/sops-nix - https://github.com/Mic92/sops-nix - Atomic secret provisioning for NixOS based on sops - https://github.com/Mic92/sops-nix + ryan4yin/nixos-and-flakes-book + https://github.com/ryan4yin/nixos-and-flakes-book + 🛠️ ❤️ Want to know NixOS & Flakes in detail? Looking for a beginner-friendly tutorial? Then you've come to the right place! 想要学习使用 NixOS 与 Flakes 吗?在寻找一份新手友好的教程?那你可来对地方了! + https://github.com/ryan4yin/nixos-and-flakes-book Nix #7e7eff - 1,660 - 155 - 17 + 2,059 + 104 + 23 - https://avatars.githubusercontent.com/u/96200?s=40&v=4 - Mic92 - https://github.com/Mic92 + https://avatars.githubusercontent.com/u/22363274?s=40&v=4 + ryan4yin + https://github.com/ryan4yin - https://avatars.githubusercontent.com/u/4971975?s=40&v=4 - dasJ - https://github.com/dasJ + https://avatars.githubusercontent.com/u/52529234?s=40&v=4 + Adda0 + https://github.com/Adda0 + + + https://avatars.githubusercontent.com/u/602654?s=40&v=4 + xtian + https://github.com/xtian + + + https://avatars.githubusercontent.com/u/31861128?s=40&v=4 + piyoki + https://github.com/piyoki + + + https://avatars.githubusercontent.com/u/647437?s=40&v=4 + gabyx + https://github.com/gabyx - mitchellh/nixos-config - https://github.com/mitchellh/nixos-config - My NixOS configurations. - https://github.com/mitchellh/nixos-config + lilyinstarlight/nixos-cosmic + https://github.com/lilyinstarlight/nixos-cosmic + Flake for using COSMIC on NixOS + https://github.com/lilyinstarlight/nixos-cosmic Nix #7e7eff - 2,073 - 197 + 434 + 27 + 12 + + + https://avatars.githubusercontent.com/u/298109?s=40&v=4 + lilyinstarlight + https://github.com/lilyinstarlight + + + https://avatars.githubusercontent.com/u/6444703?s=40&v=4 + drakon64 + https://github.com/drakon64 + + + https://avatars.githubusercontent.com/u/11548989?s=40&v=4 + atagen + https://github.com/atagen + + + + + nix-community/impermanence + https://github.com/nix-community/impermanence + Modules to help you handle persistent state on systems with ephemeral root storage [maintainer=@talyz] + https://github.com/nix-community/impermanence + Nix + #7e7eff + 1,216 + 87 13 - https://avatars.githubusercontent.com/u/1299?s=40&v=4 - mitchellh - https://github.com/mitchellh + https://avatars.githubusercontent.com/u/63433?s=40&v=4 + talyz + https://github.com/talyz - https://avatars.githubusercontent.com/u/143861?s=40&v=4 - klivan - https://github.com/klivan + https://avatars.githubusercontent.com/u/7243783?s=40&v=4 + lovesegfault + https://github.com/lovesegfault - https://avatars.githubusercontent.com/u/627285?s=40&v=4 - supermarin - https://github.com/supermarin + https://avatars.githubusercontent.com/u/461970?s=40&v=4 + etu + https://github.com/etu - https://avatars.githubusercontent.com/u/8778?s=40&v=4 - fud - https://github.com/fud + https://avatars.githubusercontent.com/u/5379596?s=40&v=4 + ReneHollander + https://github.com/ReneHollander - https://avatars.githubusercontent.com/u/332968?s=40&v=4 - lucamaraschi - https://github.com/lucamaraschi + https://avatars.githubusercontent.com/u/25955146?s=40&v=4 + eyJhb + https://github.com/eyJhb @@ -394,7 +498,7 @@ https://github.com/SaumonNet/proxmox-nixos Nix #7e7eff - 656 + 657 30 14 @@ -448,44 +552,6 @@ - - ryan4yin/nixos-and-flakes-book - https://github.com/ryan4yin/nixos-and-flakes-book - 🛠️ ❤️ Want to know NixOS & Flakes in detail? Looking for a beginner-friendly tutorial? Then you've come to the right place! 想要学习使用 NixOS 与 Flakes 吗?在寻找一份新手友好的教程?那你可来对地方了! - https://github.com/ryan4yin/nixos-and-flakes-book - Nix - #7e7eff - 2,059 - 104 - 23 - - - https://avatars.githubusercontent.com/u/22363274?s=40&v=4 - ryan4yin - https://github.com/ryan4yin - - - https://avatars.githubusercontent.com/u/52529234?s=40&v=4 - Adda0 - https://github.com/Adda0 - - - https://avatars.githubusercontent.com/u/602654?s=40&v=4 - xtian - https://github.com/xtian - - - https://avatars.githubusercontent.com/u/31861128?s=40&v=4 - piyoki - https://github.com/piyoki - - - https://avatars.githubusercontent.com/u/647437?s=40&v=4 - gabyx - https://github.com/gabyx - - - dustinlyons/nixos-config https://github.com/dustinlyons/nixos-config @@ -493,7 +559,7 @@ https://github.com/dustinlyons/nixos-config Nix #7e7eff - 1,794 + 1,795 113 38 @@ -519,6 +585,44 @@ + + danth/stylix + https://github.com/danth/stylix + System-wide colorscheming and typography for NixOS + https://github.com/danth/stylix + Nix + #7e7eff + 1,222 + 158 + 17 + + + https://avatars.githubusercontent.com/u/28959268?s=40&v=4 + danth + https://github.com/danth + + + https://avatars.githubusercontent.com/u/90870942?s=40&v=4 + trueNAHO + https://github.com/trueNAHO + + + https://avatars.githubusercontent.com/u/2025623?s=40&v=4 + dwarfmaster + https://github.com/dwarfmaster + + + https://avatars.githubusercontent.com/u/13490857?s=40&v=4 + Lyndeno + https://github.com/Lyndeno + + + https://avatars.githubusercontent.com/u/7243783?s=40&v=4 + lovesegfault + https://github.com/lovesegfault + + + NixOS/nixos-hardware https://github.com/NixOS/nixos-hardware @@ -526,7 +630,7 @@ https://github.com/NixOS/nixos-hardware Nix #7e7eff - 2,070 + 2,072 640 21 @@ -553,40 +657,40 @@ - MarceColl/zen-browser-flake - https://github.com/MarceColl/zen-browser-flake - Nix Flake for the Zen Browser - https://github.com/MarceColl/zen-browser-flake + mitchellh/nixos-config + https://github.com/mitchellh/nixos-config + My NixOS configurations. + https://github.com/mitchellh/nixos-config Nix #7e7eff - 167 - 95 - 11 + 2,073 + 197 + 13 - https://avatars.githubusercontent.com/u/1399250?s=40&v=4 - MarceColl - https://github.com/MarceColl + https://avatars.githubusercontent.com/u/1299?s=40&v=4 + mitchellh + https://github.com/mitchellh - https://avatars.githubusercontent.com/u/32384814?s=40&v=4 - ch4og - https://github.com/ch4og + https://avatars.githubusercontent.com/u/143861?s=40&v=4 + klivan + https://github.com/klivan - https://avatars.githubusercontent.com/u/2933420?s=40&v=4 - johannlejeune - https://github.com/johannlejeune + https://avatars.githubusercontent.com/u/627285?s=40&v=4 + supermarin + https://github.com/supermarin - https://avatars.githubusercontent.com/u/38934577?s=40&v=4 - youwen5 - https://github.com/youwen5 + https://avatars.githubusercontent.com/u/8778?s=40&v=4 + fud + https://github.com/fud - https://avatars.githubusercontent.com/u/48935949?s=40&v=4 - Reckfullies - https://github.com/Reckfullies + https://avatars.githubusercontent.com/u/332968?s=40&v=4 + lucamaraschi + https://github.com/lucamaraschi @@ -613,33 +717,5 @@ - - lilyinstarlight/nixos-cosmic - https://github.com/lilyinstarlight/nixos-cosmic - Flake for using COSMIC on NixOS - https://github.com/lilyinstarlight/nixos-cosmic - Nix - #7e7eff - 434 - 27 - 12 - - - https://avatars.githubusercontent.com/u/298109?s=40&v=4 - lilyinstarlight - https://github.com/lilyinstarlight - - - https://avatars.githubusercontent.com/u/6444703?s=40&v=4 - drakon64 - https://github.com/drakon64 - - - https://avatars.githubusercontent.com/u/11548989?s=40&v=4 - atagen - https://github.com/atagen - - - \ No newline at end of file diff --git a/data/weekly/nl.json b/data/weekly/nl.json index b6bb49c1645c..b235a94cfe3e 100644 --- a/data/weekly/nl.json +++ b/data/weekly/nl.json @@ -2,6 +2,6 @@ "title": "GitHub Nl Languages Weekly Trending", "description": "Weekly Trending of Nl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nl.xml b/data/weekly/nl.xml index ac4af1e47e82..114db34dcc4b 100644 --- a/data/weekly/nl.xml +++ b/data/weekly/nl.xml @@ -3,6 +3,6 @@ GitHub Nl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nmodl.json b/data/weekly/nmodl.json index 2523907c9c5a..09d8e438367d 100644 --- a/data/weekly/nmodl.json +++ b/data/weekly/nmodl.json @@ -2,6 +2,6 @@ "title": "GitHub Nmodl Languages Weekly Trending", "description": "Weekly Trending of Nmodl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nmodl.xml b/data/weekly/nmodl.xml index a9a7f8c6f89b..f5296a26701f 100644 --- a/data/weekly/nmodl.xml +++ b/data/weekly/nmodl.xml @@ -3,6 +3,6 @@ GitHub Nmodl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nmodl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/npm-config.json b/data/weekly/npm-config.json index f848441f7844..48378ec823c6 100644 --- a/data/weekly/npm-config.json +++ b/data/weekly/npm-config.json @@ -2,6 +2,6 @@ "title": "GitHub Npm-config Languages Weekly Trending", "description": "Weekly Trending of Npm-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/npm-config.xml b/data/weekly/npm-config.xml index 60452b2c6a15..d4f011f97bc3 100644 --- a/data/weekly/npm-config.xml +++ b/data/weekly/npm-config.xml @@ -3,6 +3,6 @@ GitHub Npm-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Npm-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nsis.json b/data/weekly/nsis.json index 4480e6f148d8..312d959dce11 100644 --- a/data/weekly/nsis.json +++ b/data/weekly/nsis.json @@ -2,7 +2,7 @@ "title": "GitHub Nsis Languages Weekly Trending", "description": "Weekly Trending of Nsis Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "itsmattkc/dotnet9x", diff --git a/data/weekly/nsis.xml b/data/weekly/nsis.xml index b23496a7f267..9245f59654eb 100644 --- a/data/weekly/nsis.xml +++ b/data/weekly/nsis.xml @@ -3,7 +3,7 @@ GitHub Nsis Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nsis Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT itsmattkc/dotnet9x https://github.com/itsmattkc/dotnet9x diff --git a/data/weekly/nu.json b/data/weekly/nu.json index 179ab9f86940..8fe68ff050a8 100644 --- a/data/weekly/nu.json +++ b/data/weekly/nu.json @@ -2,6 +2,6 @@ "title": "GitHub Nu Languages Weekly Trending", "description": "Weekly Trending of Nu Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nu.xml b/data/weekly/nu.xml index 6fa3dee5d323..25511b3a50d4 100644 --- a/data/weekly/nu.xml +++ b/data/weekly/nu.xml @@ -3,6 +3,6 @@ GitHub Nu Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nu Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/numpy.json b/data/weekly/numpy.json index 52943abefbd1..78888a273ee8 100644 --- a/data/weekly/numpy.json +++ b/data/weekly/numpy.json @@ -2,6 +2,6 @@ "title": "GitHub Numpy Languages Weekly Trending", "description": "Weekly Trending of Numpy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/numpy.xml b/data/weekly/numpy.xml index d2819ba45e0a..cd55dcb52e06 100644 --- a/data/weekly/numpy.xml +++ b/data/weekly/numpy.xml @@ -3,6 +3,6 @@ GitHub Numpy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Numpy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/nunjucks.json b/data/weekly/nunjucks.json index ef4813070000..c94e6abef2b5 100644 --- a/data/weekly/nunjucks.json +++ b/data/weekly/nunjucks.json @@ -2,7 +2,7 @@ "title": "GitHub Nunjucks Languages Weekly Trending", "description": "Weekly Trending of Nunjucks Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "h5bp/Front-end-Developer-Interview-Questions", @@ -10,7 +10,7 @@ "description": "A list of helpful front-end related questions you can use to interview potential candidates, test yourself or completely ignore.", "language": "Nunjucks", "languageColor": "#3d8137", - "stars": "59,714", + "stars": "59,715", "forks": "11,063", "addStars": "30", "contributors": [ diff --git a/data/weekly/nunjucks.xml b/data/weekly/nunjucks.xml index dbc880b993c8..6c92cdf4abdf 100644 --- a/data/weekly/nunjucks.xml +++ b/data/weekly/nunjucks.xml @@ -3,7 +3,7 @@ GitHub Nunjucks Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nunjucks Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT h5bp/Front-end-Developer-Interview-Questions https://github.com/h5bp/Front-end-Developer-Interview-Questions @@ -11,7 +11,7 @@ https://github.com/h5bp/Front-end-Developer-Interview-Questions Nunjucks #3d8137 - 59,714 + 59,715 11,063 30 diff --git a/data/weekly/nushell.json b/data/weekly/nushell.json index 5cdc56745811..65626d2ef9c6 100644 --- a/data/weekly/nushell.json +++ b/data/weekly/nushell.json @@ -2,7 +2,7 @@ "title": "GitHub Nushell Languages Weekly Trending", "description": "Weekly Trending of Nushell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "omerxx/dotfiles", @@ -10,7 +10,7 @@ "description": "My dotfiles synced from localhost and remote machines", "language": "Nushell", "languageColor": "#4E9906", - "stars": "1,157", + "stars": "1,161", "forks": "96", "addStars": "47", "contributors": [ diff --git a/data/weekly/nushell.xml b/data/weekly/nushell.xml index f4ad924234ee..bf717d383867 100644 --- a/data/weekly/nushell.xml +++ b/data/weekly/nushell.xml @@ -3,7 +3,7 @@ GitHub Nushell Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nushell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT omerxx/dotfiles https://github.com/omerxx/dotfiles @@ -11,7 +11,7 @@ https://github.com/omerxx/dotfiles Nushell #4E9906 - 1,157 + 1,161 96 47 diff --git a/data/weekly/nwscript.json b/data/weekly/nwscript.json index fb47a7624abe..4fffdffd4ea8 100644 --- a/data/weekly/nwscript.json +++ b/data/weekly/nwscript.json @@ -2,6 +2,6 @@ "title": "GitHub Nwscript Languages Weekly Trending", "description": "Weekly Trending of Nwscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/nwscript.xml b/data/weekly/nwscript.xml index b6995d53a37b..09b984f6d39b 100644 --- a/data/weekly/nwscript.xml +++ b/data/weekly/nwscript.xml @@ -3,6 +3,6 @@ GitHub Nwscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Nwscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/oasv2-json.json b/data/weekly/oasv2-json.json index 5516929e075a..a9b7a4f239a1 100644 --- a/data/weekly/oasv2-json.json +++ b/data/weekly/oasv2-json.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv2-json Languages Weekly Trending", "description": "Weekly Trending of Oasv2-json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/oasv2-json.xml b/data/weekly/oasv2-json.xml index 6eae1c6b9353..e20daf175366 100644 --- a/data/weekly/oasv2-json.xml +++ b/data/weekly/oasv2-json.xml @@ -3,6 +3,6 @@ GitHub Oasv2-json Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Oasv2-json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/oasv2-yaml.json b/data/weekly/oasv2-yaml.json index 3a8f94204ac5..500bb76f47ef 100644 --- a/data/weekly/oasv2-yaml.json +++ b/data/weekly/oasv2-yaml.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv2-yaml Languages Weekly Trending", "description": "Weekly Trending of Oasv2-yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/oasv2-yaml.xml b/data/weekly/oasv2-yaml.xml index 021a4f941134..5c3928ae05e4 100644 --- a/data/weekly/oasv2-yaml.xml +++ b/data/weekly/oasv2-yaml.xml @@ -3,6 +3,6 @@ GitHub Oasv2-yaml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Oasv2-yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/oasv3-json.json b/data/weekly/oasv3-json.json index 31cfadad2e7d..68484fc50aac 100644 --- a/data/weekly/oasv3-json.json +++ b/data/weekly/oasv3-json.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv3-json Languages Weekly Trending", "description": "Weekly Trending of Oasv3-json Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/oasv3-json.xml b/data/weekly/oasv3-json.xml index bc92dee11fb5..6e8565449c79 100644 --- a/data/weekly/oasv3-json.xml +++ b/data/weekly/oasv3-json.xml @@ -3,6 +3,6 @@ GitHub Oasv3-json Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Oasv3-json Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/oasv3-yaml.json b/data/weekly/oasv3-yaml.json index ee99e372af1a..b8059f168308 100644 --- a/data/weekly/oasv3-yaml.json +++ b/data/weekly/oasv3-yaml.json @@ -2,6 +2,6 @@ "title": "GitHub Oasv3-yaml Languages Weekly Trending", "description": "Weekly Trending of Oasv3-yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/oasv3-yaml.xml b/data/weekly/oasv3-yaml.xml index 6e7185c6676e..ee0a94fd84ba 100644 --- a/data/weekly/oasv3-yaml.xml +++ b/data/weekly/oasv3-yaml.xml @@ -3,6 +3,6 @@ GitHub Oasv3-yaml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Oasv3-yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/oberon.json b/data/weekly/oberon.json index eba241fef883..b87a5ed40397 100644 --- a/data/weekly/oberon.json +++ b/data/weekly/oberon.json @@ -2,6 +2,6 @@ "title": "GitHub Oberon Languages Weekly Trending", "description": "Weekly Trending of Oberon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/oberon.xml b/data/weekly/oberon.xml index 7b1441e428bc..4934bb67762e 100644 --- a/data/weekly/oberon.xml +++ b/data/weekly/oberon.xml @@ -3,6 +3,6 @@ GitHub Oberon Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Oberon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/objdump.json b/data/weekly/objdump.json index a86dcbade218..fa6a00921cab 100644 --- a/data/weekly/objdump.json +++ b/data/weekly/objdump.json @@ -2,6 +2,6 @@ "title": "GitHub Objdump Languages Weekly Trending", "description": "Weekly Trending of Objdump Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/objdump.xml b/data/weekly/objdump.xml index 1eb5146e9350..808880cf5b82 100644 --- a/data/weekly/objdump.xml +++ b/data/weekly/objdump.xml @@ -3,6 +3,6 @@ GitHub Objdump Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Objdump Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/object-data-instance-notation.json b/data/weekly/object-data-instance-notation.json index f480ccd9ef4e..4449d1c125f3 100644 --- a/data/weekly/object-data-instance-notation.json +++ b/data/weekly/object-data-instance-notation.json @@ -2,6 +2,6 @@ "title": "GitHub Object-data-instance-notation Languages Weekly Trending", "description": "Weekly Trending of Object-data-instance-notation Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/object-data-instance-notation.xml b/data/weekly/object-data-instance-notation.xml index fcbadb8abf52..ad6811dbad3e 100644 --- a/data/weekly/object-data-instance-notation.xml +++ b/data/weekly/object-data-instance-notation.xml @@ -3,6 +3,6 @@ GitHub Object-data-instance-notation Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Object-data-instance-notation Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/objective-c++.json b/data/weekly/objective-c++.json index a9a74522da2a..eea2ae34e483 100644 --- a/data/weekly/objective-c++.json +++ b/data/weekly/objective-c++.json @@ -2,42 +2,42 @@ "title": "GitHub Objective-c++ Languages Weekly Trending", "description": "Weekly Trending of Objective-c++ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "KhronosGroup/MoltenVK", - "url": "https://github.com/KhronosGroup/MoltenVK", - "description": "MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS.", + "title": "facebookarchive/pop", + "url": "https://github.com/facebookarchive/pop", + "description": "An extensible iOS and OS X animation library, useful for physics-based interactions.", "language": "Objective-C++", "languageColor": "#6866fb", - "stars": "4,840", - "forks": "429", - "addStars": "11", + "stars": "19,656", + "forks": "2,876", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/356402?s=40&v=4", - "name": "billhollings", - "url": "https://github.com/billhollings" + "avatar": "https://avatars.githubusercontent.com/u/164821?s=40&v=4", + "name": "kimon", + "url": "https://github.com/kimon" }, { - "avatar": "https://avatars.githubusercontent.com/u/42747760?s=40&v=4", - "name": "cdavis5e", - "url": "https://github.com/cdavis5e" + "avatar": "https://avatars.githubusercontent.com/u/163390?s=40&v=4", + "name": "grp", + "url": "https://github.com/grp" }, { - "avatar": "https://avatars.githubusercontent.com/u/4086836?s=40&v=4", - "name": "js6i", - "url": "https://github.com/js6i" + "avatar": "https://avatars.githubusercontent.com/u/1981722?s=40&v=4", + "name": "b3ll", + "url": "https://github.com/b3ll" }, { - "avatar": "https://avatars.githubusercontent.com/u/2567?s=40&v=4", - "name": "tmm1", - "url": "https://github.com/tmm1" + "avatar": "https://avatars.githubusercontent.com/u/107292?s=40&v=4", + "name": "jcbertin", + "url": "https://github.com/jcbertin" }, { - "avatar": "https://avatars.githubusercontent.com/u/43609023?s=40&v=4", - "name": "spnda", - "url": "https://github.com/spnda" + "avatar": "https://avatars.githubusercontent.com/u/606991?s=40&v=4", + "name": "nlutsenko", + "url": "https://github.com/nlutsenko" } ] }, @@ -79,39 +79,61 @@ ] }, { - "title": "facebookarchive/pop", - "url": "https://github.com/facebookarchive/pop", - "description": "An extensible iOS and OS X animation library, useful for physics-based interactions.", + "title": "KhronosGroup/MoltenVK", + "url": "https://github.com/KhronosGroup/MoltenVK", + "description": "MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS.", "language": "Objective-C++", "languageColor": "#6866fb", - "stars": "19,656", - "forks": "2,876", - "addStars": "1", + "stars": "4,841", + "forks": "430", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/164821?s=40&v=4", - "name": "kimon", - "url": "https://github.com/kimon" + "avatar": "https://avatars.githubusercontent.com/u/356402?s=40&v=4", + "name": "billhollings", + "url": "https://github.com/billhollings" }, { - "avatar": "https://avatars.githubusercontent.com/u/163390?s=40&v=4", - "name": "grp", - "url": "https://github.com/grp" + "avatar": "https://avatars.githubusercontent.com/u/42747760?s=40&v=4", + "name": "cdavis5e", + "url": "https://github.com/cdavis5e" }, { - "avatar": "https://avatars.githubusercontent.com/u/1981722?s=40&v=4", - "name": "b3ll", - "url": "https://github.com/b3ll" + "avatar": "https://avatars.githubusercontent.com/u/4086836?s=40&v=4", + "name": "js6i", + "url": "https://github.com/js6i" }, { - "avatar": "https://avatars.githubusercontent.com/u/107292?s=40&v=4", - "name": "jcbertin", - "url": "https://github.com/jcbertin" + "avatar": "https://avatars.githubusercontent.com/u/2567?s=40&v=4", + "name": "tmm1", + "url": "https://github.com/tmm1" }, { - "avatar": "https://avatars.githubusercontent.com/u/606991?s=40&v=4", - "name": "nlutsenko", - "url": "https://github.com/nlutsenko" + "avatar": "https://avatars.githubusercontent.com/u/43609023?s=40&v=4", + "name": "spnda", + "url": "https://github.com/spnda" + } + ] + }, + { + "title": "avibrazil/RDM", + "url": "https://github.com/avibrazil/RDM", + "description": "Easily set Mac Retina display to higher unsupported resolutions", + "language": "Objective-C++", + "languageColor": "#6866fb", + "stars": "4,142", + "forks": "356", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3484242?s=40&v=4", + "name": "avibrazil", + "url": "https://github.com/avibrazil" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5395205?s=40&v=4", + "name": "aryamccarthy", + "url": "https://github.com/aryamccarthy" } ] }, @@ -153,24 +175,39 @@ ] }, { - "title": "avibrazil/RDM", - "url": "https://github.com/avibrazil/RDM", - "description": "Easily set Mac Retina display to higher unsupported resolutions", + "title": "TextureGroup/Texture", + "url": "https://github.com/TextureGroup/Texture", + "description": "Smooth asynchronous user interfaces for iOS apps.", "language": "Objective-C++", "languageColor": "#6866fb", - "stars": "4,142", - "forks": "356", - "addStars": "7", + "stars": "8,024", + "forks": "1,295", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3484242?s=40&v=4", - "name": "avibrazil", - "url": "https://github.com/avibrazil" + "avatar": "https://avatars.githubusercontent.com/u/565251?s=40&v=4", + "name": "appleguy", + "url": "https://github.com/appleguy" }, { - "avatar": "https://avatars.githubusercontent.com/u/5395205?s=40&v=4", - "name": "aryamccarthy", - "url": "https://github.com/aryamccarthy" + "avatar": "https://avatars.githubusercontent.com/u/25288?s=40&v=4", + "name": "maicki", + "url": "https://github.com/maicki" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2466893?s=40&v=4", + "name": "Adlai-Holler", + "url": "https://github.com/Adlai-Holler" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/587874?s=40&v=4", + "name": "nguyenhuy", + "url": "https://github.com/nguyenhuy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/90045?s=40&v=4", + "name": "garrettmoon", + "url": "https://github.com/garrettmoon" } ] }, @@ -210,43 +247,6 @@ "url": "https://github.com/dlalic" } ] - }, - { - "title": "TextureGroup/Texture", - "url": "https://github.com/TextureGroup/Texture", - "description": "Smooth asynchronous user interfaces for iOS apps.", - "language": "Objective-C++", - "languageColor": "#6866fb", - "stars": "8,024", - "forks": "1,295", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/565251?s=40&v=4", - "name": "appleguy", - "url": "https://github.com/appleguy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25288?s=40&v=4", - "name": "maicki", - "url": "https://github.com/maicki" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2466893?s=40&v=4", - "name": "Adlai-Holler", - "url": "https://github.com/Adlai-Holler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/587874?s=40&v=4", - "name": "nguyenhuy", - "url": "https://github.com/nguyenhuy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/90045?s=40&v=4", - "name": "garrettmoon", - "url": "https://github.com/garrettmoon" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/objective-c++.xml b/data/weekly/objective-c++.xml index 578d48d96d57..16f0d539a13c 100644 --- a/data/weekly/objective-c++.xml +++ b/data/weekly/objective-c++.xml @@ -3,42 +3,42 @@ GitHub Objective-c++ Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Objective-c++ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - KhronosGroup/MoltenVK - https://github.com/KhronosGroup/MoltenVK - MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS. - https://github.com/KhronosGroup/MoltenVK + facebookarchive/pop + https://github.com/facebookarchive/pop + An extensible iOS and OS X animation library, useful for physics-based interactions. + https://github.com/facebookarchive/pop Objective-C++ #6866fb - 4,840 - 429 - 11 + 19,656 + 2,876 + 1 - https://avatars.githubusercontent.com/u/356402?s=40&v=4 - billhollings - https://github.com/billhollings + https://avatars.githubusercontent.com/u/164821?s=40&v=4 + kimon + https://github.com/kimon - https://avatars.githubusercontent.com/u/42747760?s=40&v=4 - cdavis5e - https://github.com/cdavis5e + https://avatars.githubusercontent.com/u/163390?s=40&v=4 + grp + https://github.com/grp - https://avatars.githubusercontent.com/u/4086836?s=40&v=4 - js6i - https://github.com/js6i + https://avatars.githubusercontent.com/u/1981722?s=40&v=4 + b3ll + https://github.com/b3ll - https://avatars.githubusercontent.com/u/2567?s=40&v=4 - tmm1 - https://github.com/tmm1 + https://avatars.githubusercontent.com/u/107292?s=40&v=4 + jcbertin + https://github.com/jcbertin - https://avatars.githubusercontent.com/u/43609023?s=40&v=4 - spnda - https://github.com/spnda + https://avatars.githubusercontent.com/u/606991?s=40&v=4 + nlutsenko + https://github.com/nlutsenko @@ -81,40 +81,63 @@ - facebookarchive/pop - https://github.com/facebookarchive/pop - An extensible iOS and OS X animation library, useful for physics-based interactions. - https://github.com/facebookarchive/pop + KhronosGroup/MoltenVK + https://github.com/KhronosGroup/MoltenVK + MoltenVK is a Vulkan Portability implementation. It layers a subset of the high-performance, industry-standard Vulkan graphics and compute API over Apple's Metal graphics framework, enabling Vulkan applications to run on macOS, iOS and tvOS. + https://github.com/KhronosGroup/MoltenVK Objective-C++ #6866fb - 19,656 - 2,876 - 1 + 4,841 + 430 + 11 - https://avatars.githubusercontent.com/u/164821?s=40&v=4 - kimon - https://github.com/kimon + https://avatars.githubusercontent.com/u/356402?s=40&v=4 + billhollings + https://github.com/billhollings - https://avatars.githubusercontent.com/u/163390?s=40&v=4 - grp - https://github.com/grp + https://avatars.githubusercontent.com/u/42747760?s=40&v=4 + cdavis5e + https://github.com/cdavis5e - https://avatars.githubusercontent.com/u/1981722?s=40&v=4 - b3ll - https://github.com/b3ll + https://avatars.githubusercontent.com/u/4086836?s=40&v=4 + js6i + https://github.com/js6i - https://avatars.githubusercontent.com/u/107292?s=40&v=4 - jcbertin - https://github.com/jcbertin + https://avatars.githubusercontent.com/u/2567?s=40&v=4 + tmm1 + https://github.com/tmm1 - https://avatars.githubusercontent.com/u/606991?s=40&v=4 - nlutsenko - https://github.com/nlutsenko + https://avatars.githubusercontent.com/u/43609023?s=40&v=4 + spnda + https://github.com/spnda + + + + + avibrazil/RDM + https://github.com/avibrazil/RDM + Easily set Mac Retina display to higher unsupported resolutions + https://github.com/avibrazil/RDM + Objective-C++ + #6866fb + 4,142 + 356 + 7 + + + https://avatars.githubusercontent.com/u/3484242?s=40&v=4 + avibrazil + https://github.com/avibrazil + + + https://avatars.githubusercontent.com/u/5395205?s=40&v=4 + aryamccarthy + https://github.com/aryamccarthy @@ -157,25 +180,40 @@ - avibrazil/RDM - https://github.com/avibrazil/RDM - Easily set Mac Retina display to higher unsupported resolutions - https://github.com/avibrazil/RDM + TextureGroup/Texture + https://github.com/TextureGroup/Texture + Smooth asynchronous user interfaces for iOS apps. + https://github.com/TextureGroup/Texture Objective-C++ #6866fb - 4,142 - 356 - 7 + 8,024 + 1,295 + 3 - https://avatars.githubusercontent.com/u/3484242?s=40&v=4 - avibrazil - https://github.com/avibrazil + https://avatars.githubusercontent.com/u/565251?s=40&v=4 + appleguy + https://github.com/appleguy - https://avatars.githubusercontent.com/u/5395205?s=40&v=4 - aryamccarthy - https://github.com/aryamccarthy + https://avatars.githubusercontent.com/u/25288?s=40&v=4 + maicki + https://github.com/maicki + + + https://avatars.githubusercontent.com/u/2466893?s=40&v=4 + Adlai-Holler + https://github.com/Adlai-Holler + + + https://avatars.githubusercontent.com/u/587874?s=40&v=4 + nguyenhuy + https://github.com/nguyenhuy + + + https://avatars.githubusercontent.com/u/90045?s=40&v=4 + garrettmoon + https://github.com/garrettmoon @@ -217,43 +255,5 @@ - - TextureGroup/Texture - https://github.com/TextureGroup/Texture - Smooth asynchronous user interfaces for iOS apps. - https://github.com/TextureGroup/Texture - Objective-C++ - #6866fb - 8,024 - 1,295 - 3 - - - https://avatars.githubusercontent.com/u/565251?s=40&v=4 - appleguy - https://github.com/appleguy - - - https://avatars.githubusercontent.com/u/25288?s=40&v=4 - maicki - https://github.com/maicki - - - https://avatars.githubusercontent.com/u/2466893?s=40&v=4 - Adlai-Holler - https://github.com/Adlai-Holler - - - https://avatars.githubusercontent.com/u/587874?s=40&v=4 - nguyenhuy - https://github.com/nguyenhuy - - - https://avatars.githubusercontent.com/u/90045?s=40&v=4 - garrettmoon - https://github.com/garrettmoon - - - \ No newline at end of file diff --git a/data/weekly/objective-c.json b/data/weekly/objective-c.json index 0f3fa5d0f020..639db0968b5b 100644 --- a/data/weekly/objective-c.json +++ b/data/weekly/objective-c.json @@ -2,7 +2,7 @@ "title": "GitHub Objective-c Languages Weekly Trending", "description": "Weekly Trending of Objective-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "gnachman/iTerm2", @@ -10,7 +10,7 @@ "description": "iTerm2 is a terminal emulator for Mac OS X that does amazing things.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "15,397", + "stars": "15,398", "forks": "1,201", "addStars": "48", "contributors": [ @@ -42,29 +42,39 @@ ] }, { - "title": "noah-nuebling/mac-mouse-fix", - "url": "https://github.com/noah-nuebling/mac-mouse-fix", - "description": "Mac Mouse Fix - Make Your $10 Mouse Better Than an Apple Trackpad!", + "title": "react-native-maps/react-native-maps", + "url": "https://github.com/react-native-maps/react-native-maps", + "description": "React Native Mapview component for iOS + Android", "language": "Objective-C", "languageColor": "#438eff", - "stars": "5,722", - "forks": "161", - "addStars": "61", + "stars": "15,160", + "forks": "4,857", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/40808343?s=40&v=4", - "name": "noah-nuebling", - "url": "https://github.com/noah-nuebling" + "avatar": "https://avatars.githubusercontent.com/u/73996878?s=40&v=4", + "name": "monholm", + "url": "https://github.com/monholm" }, { - "avatar": "https://avatars.githubusercontent.com/u/45519323?s=40&v=4", - "name": "jeongtae", - "url": "https://github.com/jeongtae" + "avatar": "https://avatars.githubusercontent.com/u/1885623?s=40&v=4", + "name": "lelandrichardson", + "url": "https://github.com/lelandrichardson" }, { - "avatar": "https://avatars.githubusercontent.com/u/19650585?s=40&v=4", - "name": "nghlt", - "url": "https://github.com/nghlt" + "avatar": "https://avatars.githubusercontent.com/u/3741055?s=40&v=4", + "name": "christopherdro", + "url": "https://github.com/christopherdro" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", + "name": "semantic-release-bot", + "url": "https://github.com/semantic-release-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1613032?s=40&v=4", + "name": "salah-ghanim", + "url": "https://github.com/salah-ghanim" } ] }, @@ -74,7 +84,7 @@ "description": "Asynchronous image downloader with cache support as a UIImageView category", "language": "Objective-C", "languageColor": "#438eff", - "stars": "25,061", + "stars": "25,062", "forks": "5,960", "addStars": "14", "contributors": [ @@ -111,7 +121,7 @@ "description": "Realm is a mobile database: a replacement for Core Data & SQLite", "language": "Objective-C", "languageColor": "#438eff", - "stars": "16,336", + "stars": "16,337", "forks": "2,147", "addStars": "13", "contributors": [ @@ -143,39 +153,66 @@ ] }, { - "title": "react-native-maps/react-native-maps", - "url": "https://github.com/react-native-maps/react-native-maps", - "description": "React Native Mapview component for iOS + Android", + "title": "noah-nuebling/mac-mouse-fix", + "url": "https://github.com/noah-nuebling/mac-mouse-fix", + "description": "Mac Mouse Fix - Make Your $10 Mouse Better Than an Apple Trackpad!", "language": "Objective-C", "languageColor": "#438eff", - "stars": "15,159", - "forks": "4,857", - "addStars": "16", + "stars": "5,729", + "forks": "161", + "addStars": "61", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/73996878?s=40&v=4", - "name": "monholm", - "url": "https://github.com/monholm" + "avatar": "https://avatars.githubusercontent.com/u/40808343?s=40&v=4", + "name": "noah-nuebling", + "url": "https://github.com/noah-nuebling" }, { - "avatar": "https://avatars.githubusercontent.com/u/1885623?s=40&v=4", - "name": "lelandrichardson", - "url": "https://github.com/lelandrichardson" + "avatar": "https://avatars.githubusercontent.com/u/45519323?s=40&v=4", + "name": "jeongtae", + "url": "https://github.com/jeongtae" }, { - "avatar": "https://avatars.githubusercontent.com/u/3741055?s=40&v=4", - "name": "christopherdro", - "url": "https://github.com/christopherdro" + "avatar": "https://avatars.githubusercontent.com/u/19650585?s=40&v=4", + "name": "nghlt", + "url": "https://github.com/nghlt" + } + ] + }, + { + "title": "openid/AppAuth-iOS", + "url": "https://github.com/openid/AppAuth-iOS", + "description": "iOS and macOS SDK for communicating with OAuth 2.0 and OpenID Connect providers.", + "language": "Objective-C", + "languageColor": "#438eff", + "stars": "1,777", + "forks": "775", + "addStars": "5", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/445150?s=40&v=4", + "name": "WilliamDenniss", + "url": "https://github.com/WilliamDenniss" }, { - "avatar": "https://avatars.githubusercontent.com/u/32174276?s=40&v=4", - "name": "semantic-release-bot", - "url": "https://github.com/semantic-release-bot" + "avatar": "https://avatars.githubusercontent.com/u/102201?s=40&v=4", + "name": "petea", + "url": "https://github.com/petea" }, { - "avatar": "https://avatars.githubusercontent.com/u/1613032?s=40&v=4", - "name": "salah-ghanim", - "url": "https://github.com/salah-ghanim" + "avatar": "https://avatars.githubusercontent.com/u/3465275?s=40&v=4", + "name": "julienbodet", + "url": "https://github.com/julienbodet" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4029922?s=40&v=4", + "name": "mdmathias", + "url": "https://github.com/mdmathias" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/309153?s=40&v=4", + "name": "iainmcgin", + "url": "https://github.com/iainmcgin" } ] }, @@ -222,8 +259,8 @@ "description": "一个简洁优雅的词典翻译 macOS App。开箱即用,支持离线 OCR 识别,支持有道词典,🍎 苹果系统词典,🍎 苹果系统翻译,OpenAI,Gemini,DeepL,Google,Bing,腾讯,百度,阿里,小牛,彩云和火山翻译。A concise and elegant Dictionary and Translator macOS App for looking up words and translating text.", "language": "Objective-C", "languageColor": "#438eff", - "stars": "7,403", - "forks": "371", + "stars": "7,404", + "forks": "372", "addStars": "69", "contributors": [ { @@ -253,82 +290,13 @@ } ] }, - { - "title": "openid/AppAuth-iOS", - "url": "https://github.com/openid/AppAuth-iOS", - "description": "iOS and macOS SDK for communicating with OAuth 2.0 and OpenID Connect providers.", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "1,776", - "forks": "774", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/445150?s=40&v=4", - "name": "WilliamDenniss", - "url": "https://github.com/WilliamDenniss" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/102201?s=40&v=4", - "name": "petea", - "url": "https://github.com/petea" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3465275?s=40&v=4", - "name": "julienbodet", - "url": "https://github.com/julienbodet" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4029922?s=40&v=4", - "name": "mdmathias", - "url": "https://github.com/mdmathias" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/309153?s=40&v=4", - "name": "iainmcgin", - "url": "https://github.com/iainmcgin" - } - ] - }, - { - "title": "google/GoogleSignIn-iOS", - "url": "https://github.com/google/GoogleSignIn-iOS", - "description": "Enables iOS and macOS apps to sign in with Google.", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "519", - "forks": "205", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/102201?s=40&v=4", - "name": "petea", - "url": "https://github.com/petea" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4029922?s=40&v=4", - "name": "mdmathias", - "url": "https://github.com/mdmathias" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9356545?s=40&v=4", - "name": "Alex-4-Git", - "url": "https://github.com/Alex-4-Git" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19537619?s=40&v=4", - "name": "XiangtianDai", - "url": "https://github.com/XiangtianDai" - } - ] - }, { "title": "Hammerspoon/hammerspoon", "url": "https://github.com/Hammerspoon/hammerspoon", "description": "Staggeringly powerful macOS desktop automation with Lua", "language": "Objective-C", "languageColor": "#438eff", - "stars": "12,139", + "stars": "12,141", "forks": "587", "addStars": "33", "contributors": [ @@ -360,76 +328,39 @@ ] }, { - "title": "PojavLauncherTeam/PojavLauncher_iOS", - "url": "https://github.com/PojavLauncherTeam/PojavLauncher_iOS", - "description": "A Minecraft: Java Edition Launcher for Android and iOS based on Boardwalk. This repository contains source code for iOS/iPadOS platform.", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "2,360", - "forks": "508", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/40482367?s=40&v=4", - "name": "khanhduytran0", - "url": "https://github.com/khanhduytran0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/55281754?s=40&v=4", - "name": "crystall1nedev", - "url": "https://github.com/crystall1nedev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/39605206?s=40&v=4", - "name": "HongyiMC", - "url": "https://github.com/HongyiMC" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8144478?s=40&v=4", - "name": "Diatrus", - "url": "https://github.com/Diatrus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10524728?s=40&v=4", - "name": "ThatStella7922", - "url": "https://github.com/ThatStella7922" - } - ] - }, - { - "title": "FLEXTool/FLEX", - "url": "https://github.com/FLEXTool/FLEX", - "description": "An in-app debugging and exploration tool for iOS", + "title": "adjust/ios_sdk", + "url": "https://github.com/adjust/ios_sdk", + "description": "This is the iOS SDK of", "language": "Objective-C", "languageColor": "#438eff", - "stars": "14,096", - "forks": "1,700", - "addStars": "8", + "stars": "623", + "forks": "294", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8371943?s=40&v=4", - "name": "NSExceptional", - "url": "https://github.com/NSExceptional" + "avatar": "https://avatars.githubusercontent.com/u/1741635?s=40&v=4", + "name": "uerceg", + "url": "https://github.com/uerceg" }, { - "avatar": "https://avatars.githubusercontent.com/u/1422245?s=40&v=4", - "name": "ryanolsonk", - "url": "https://github.com/ryanolsonk" + "avatar": "https://avatars.githubusercontent.com/u/1449604?s=40&v=4", + "name": "nonelse", + "url": "https://github.com/nonelse" }, { - "avatar": "https://avatars.githubusercontent.com/u/627231?s=40&v=4", - "name": "matrush", - "url": "https://github.com/matrush" + "avatar": "https://avatars.githubusercontent.com/u/474504?s=40&v=4", + "name": "wellle", + "url": "https://github.com/wellle" }, { - "avatar": "https://avatars.githubusercontent.com/u/666807?s=40&v=4", - "name": "JaviSoto", - "url": "https://github.com/JaviSoto" + "avatar": "https://avatars.githubusercontent.com/u/11608002?s=40&v=4", + "name": "Aditi3", + "url": "https://github.com/Aditi3" }, { - "avatar": "https://avatars.githubusercontent.com/u/429119?s=40&v=4", - "name": "TimOliver", - "url": "https://github.com/TimOliver" + "avatar": "https://avatars.githubusercontent.com/u/4160695?s=40&v=4", + "name": "genadyb", + "url": "https://github.com/genadyb" } ] }, @@ -439,7 +370,7 @@ "description": "A dynamic library tweak for WeChat macOS - 首款微信 macOS 客户端撤回拦截与多开 🔨", "language": "Objective-C", "languageColor": "#438eff", - "stars": "11,360", + "stars": "11,362", "forks": "1,392", "addStars": "34", "contributors": [ @@ -477,7 +408,7 @@ "language": "Objective-C", "languageColor": "#438eff", "stars": "265", - "forks": "141", + "forks": "142", "addStars": "1", "contributors": [ { @@ -507,80 +438,6 @@ } ] }, - { - "title": "adjust/ios_sdk", - "url": "https://github.com/adjust/ios_sdk", - "description": "This is the iOS SDK of", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "623", - "forks": "294", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1741635?s=40&v=4", - "name": "uerceg", - "url": "https://github.com/uerceg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1449604?s=40&v=4", - "name": "nonelse", - "url": "https://github.com/nonelse" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/474504?s=40&v=4", - "name": "wellle", - "url": "https://github.com/wellle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11608002?s=40&v=4", - "name": "Aditi3", - "url": "https://github.com/Aditi3" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4160695?s=40&v=4", - "name": "genadyb", - "url": "https://github.com/genadyb" - } - ] - }, - { - "title": "AppLovin/AppLovin-MAX-SDK-iOS", - "url": "https://github.com/AppLovin/AppLovin-MAX-SDK-iOS", - "description": "", - "language": "Objective-C", - "languageColor": "#438eff", - "stars": "92", - "forks": "74", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5104410?s=40&v=4", - "name": "thomasmso", - "url": "https://github.com/thomasmso" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/13296512?s=40&v=4", - "name": "harryarakkal", - "url": "https://github.com/harryarakkal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15932774?s=40&v=4", - "name": "tiandrew", - "url": "https://github.com/tiandrew" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48224559?s=40&v=4", - "name": "alvarshahanji", - "url": "https://github.com/alvarshahanji" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/95258998?s=40&v=4", - "name": "Billy-Hu", - "url": "https://github.com/Billy-Hu" - } - ] - }, { "title": "google/promises", "url": "https://github.com/google/promises", @@ -691,6 +548,38 @@ "url": "https://github.com/andrewheard" } ] + }, + { + "title": "google/GoogleSignIn-iOS", + "url": "https://github.com/google/GoogleSignIn-iOS", + "description": "Enables iOS and macOS apps to sign in with Google.", + "language": "Objective-C", + "languageColor": "#438eff", + "stars": "519", + "forks": "205", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/102201?s=40&v=4", + "name": "petea", + "url": "https://github.com/petea" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4029922?s=40&v=4", + "name": "mdmathias", + "url": "https://github.com/mdmathias" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9356545?s=40&v=4", + "name": "Alex-4-Git", + "url": "https://github.com/Alex-4-Git" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19537619?s=40&v=4", + "name": "XiangtianDai", + "url": "https://github.com/XiangtianDai" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/objective-c.xml b/data/weekly/objective-c.xml index 886f9d4fb2e0..42baa339a73d 100644 --- a/data/weekly/objective-c.xml +++ b/data/weekly/objective-c.xml @@ -3,7 +3,7 @@ GitHub Objective-c Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Objective-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT gnachman/iTerm2 https://github.com/gnachman/iTerm2 @@ -11,7 +11,7 @@ https://github.com/gnachman/iTerm2 Objective-C #438eff - 15,397 + 15,398 1,201 48 @@ -43,30 +43,40 @@ - noah-nuebling/mac-mouse-fix - https://github.com/noah-nuebling/mac-mouse-fix - Mac Mouse Fix - Make Your $10 Mouse Better Than an Apple Trackpad! - https://github.com/noah-nuebling/mac-mouse-fix + react-native-maps/react-native-maps + https://github.com/react-native-maps/react-native-maps + React Native Mapview component for iOS + Android + https://github.com/react-native-maps/react-native-maps Objective-C #438eff - 5,722 - 161 - 61 + 15,160 + 4,857 + 16 - https://avatars.githubusercontent.com/u/40808343?s=40&v=4 - noah-nuebling - https://github.com/noah-nuebling + https://avatars.githubusercontent.com/u/73996878?s=40&v=4 + monholm + https://github.com/monholm - https://avatars.githubusercontent.com/u/45519323?s=40&v=4 - jeongtae - https://github.com/jeongtae + https://avatars.githubusercontent.com/u/1885623?s=40&v=4 + lelandrichardson + https://github.com/lelandrichardson - https://avatars.githubusercontent.com/u/19650585?s=40&v=4 - nghlt - https://github.com/nghlt + https://avatars.githubusercontent.com/u/3741055?s=40&v=4 + christopherdro + https://github.com/christopherdro + + + https://avatars.githubusercontent.com/u/32174276?s=40&v=4 + semantic-release-bot + https://github.com/semantic-release-bot + + + https://avatars.githubusercontent.com/u/1613032?s=40&v=4 + salah-ghanim + https://github.com/salah-ghanim @@ -77,7 +87,7 @@ https://github.com/SDWebImage/SDWebImage Objective-C #438eff - 25,061 + 25,062 5,960 14 @@ -115,7 +125,7 @@ https://github.com/realm/realm-swift Objective-C #438eff - 16,336 + 16,337 2,147 13 @@ -147,40 +157,68 @@ - react-native-maps/react-native-maps - https://github.com/react-native-maps/react-native-maps - React Native Mapview component for iOS + Android - https://github.com/react-native-maps/react-native-maps + noah-nuebling/mac-mouse-fix + https://github.com/noah-nuebling/mac-mouse-fix + Mac Mouse Fix - Make Your $10 Mouse Better Than an Apple Trackpad! + https://github.com/noah-nuebling/mac-mouse-fix Objective-C #438eff - 15,159 - 4,857 - 16 + 5,729 + 161 + 61 - https://avatars.githubusercontent.com/u/73996878?s=40&v=4 - monholm - https://github.com/monholm + https://avatars.githubusercontent.com/u/40808343?s=40&v=4 + noah-nuebling + https://github.com/noah-nuebling - https://avatars.githubusercontent.com/u/1885623?s=40&v=4 - lelandrichardson - https://github.com/lelandrichardson + https://avatars.githubusercontent.com/u/45519323?s=40&v=4 + jeongtae + https://github.com/jeongtae - https://avatars.githubusercontent.com/u/3741055?s=40&v=4 - christopherdro - https://github.com/christopherdro + https://avatars.githubusercontent.com/u/19650585?s=40&v=4 + nghlt + https://github.com/nghlt + + + + openid/AppAuth-iOS + https://github.com/openid/AppAuth-iOS + iOS and macOS SDK for communicating with OAuth 2.0 and OpenID Connect providers. + https://github.com/openid/AppAuth-iOS + Objective-C + #438eff + 1,777 + 775 + 5 + - https://avatars.githubusercontent.com/u/32174276?s=40&v=4 - semantic-release-bot - https://github.com/semantic-release-bot + https://avatars.githubusercontent.com/u/445150?s=40&v=4 + WilliamDenniss + https://github.com/WilliamDenniss - https://avatars.githubusercontent.com/u/1613032?s=40&v=4 - salah-ghanim - https://github.com/salah-ghanim + https://avatars.githubusercontent.com/u/102201?s=40&v=4 + petea + https://github.com/petea + + + https://avatars.githubusercontent.com/u/3465275?s=40&v=4 + julienbodet + https://github.com/julienbodet + + + https://avatars.githubusercontent.com/u/4029922?s=40&v=4 + mdmathias + https://github.com/mdmathias + + + https://avatars.githubusercontent.com/u/309153?s=40&v=4 + iainmcgin + https://github.com/iainmcgin @@ -229,8 +267,8 @@ https://github.com/tisfeng/Easydict Objective-C #438eff - 7,403 - 371 + 7,404 + 372 69 @@ -260,77 +298,6 @@ - - openid/AppAuth-iOS - https://github.com/openid/AppAuth-iOS - iOS and macOS SDK for communicating with OAuth 2.0 and OpenID Connect providers. - https://github.com/openid/AppAuth-iOS - Objective-C - #438eff - 1,776 - 774 - 5 - - - https://avatars.githubusercontent.com/u/445150?s=40&v=4 - WilliamDenniss - https://github.com/WilliamDenniss - - - https://avatars.githubusercontent.com/u/102201?s=40&v=4 - petea - https://github.com/petea - - - https://avatars.githubusercontent.com/u/3465275?s=40&v=4 - julienbodet - https://github.com/julienbodet - - - https://avatars.githubusercontent.com/u/4029922?s=40&v=4 - mdmathias - https://github.com/mdmathias - - - https://avatars.githubusercontent.com/u/309153?s=40&v=4 - iainmcgin - https://github.com/iainmcgin - - - - - google/GoogleSignIn-iOS - https://github.com/google/GoogleSignIn-iOS - Enables iOS and macOS apps to sign in with Google. - https://github.com/google/GoogleSignIn-iOS - Objective-C - #438eff - 519 - 205 - 3 - - - https://avatars.githubusercontent.com/u/102201?s=40&v=4 - petea - https://github.com/petea - - - https://avatars.githubusercontent.com/u/4029922?s=40&v=4 - mdmathias - https://github.com/mdmathias - - - https://avatars.githubusercontent.com/u/9356545?s=40&v=4 - Alex-4-Git - https://github.com/Alex-4-Git - - - https://avatars.githubusercontent.com/u/19537619?s=40&v=4 - XiangtianDai - https://github.com/XiangtianDai - - - Hammerspoon/hammerspoon https://github.com/Hammerspoon/hammerspoon @@ -338,7 +305,7 @@ https://github.com/Hammerspoon/hammerspoon Objective-C #438eff - 12,139 + 12,141 587 33 @@ -370,78 +337,40 @@ - PojavLauncherTeam/PojavLauncher_iOS - https://github.com/PojavLauncherTeam/PojavLauncher_iOS - A Minecraft: Java Edition Launcher for Android and iOS based on Boardwalk. This repository contains source code for iOS/iPadOS platform. - https://github.com/PojavLauncherTeam/PojavLauncher_iOS - Objective-C - #438eff - 2,360 - 508 - 13 - - - https://avatars.githubusercontent.com/u/40482367?s=40&v=4 - khanhduytran0 - https://github.com/khanhduytran0 - - - https://avatars.githubusercontent.com/u/55281754?s=40&v=4 - crystall1nedev - https://github.com/crystall1nedev - - - https://avatars.githubusercontent.com/u/39605206?s=40&v=4 - HongyiMC - https://github.com/HongyiMC - - - https://avatars.githubusercontent.com/u/8144478?s=40&v=4 - Diatrus - https://github.com/Diatrus - - - https://avatars.githubusercontent.com/u/10524728?s=40&v=4 - ThatStella7922 - https://github.com/ThatStella7922 - - - - - FLEXTool/FLEX - https://github.com/FLEXTool/FLEX - An in-app debugging and exploration tool for iOS - https://github.com/FLEXTool/FLEX + adjust/ios_sdk + https://github.com/adjust/ios_sdk + This is the iOS SDK of + https://github.com/adjust/ios_sdk Objective-C #438eff - 14,096 - 1,700 - 8 + 623 + 294 + 1 - https://avatars.githubusercontent.com/u/8371943?s=40&v=4 - NSExceptional - https://github.com/NSExceptional + https://avatars.githubusercontent.com/u/1741635?s=40&v=4 + uerceg + https://github.com/uerceg - https://avatars.githubusercontent.com/u/1422245?s=40&v=4 - ryanolsonk - https://github.com/ryanolsonk + https://avatars.githubusercontent.com/u/1449604?s=40&v=4 + nonelse + https://github.com/nonelse - https://avatars.githubusercontent.com/u/627231?s=40&v=4 - matrush - https://github.com/matrush + https://avatars.githubusercontent.com/u/474504?s=40&v=4 + wellle + https://github.com/wellle - https://avatars.githubusercontent.com/u/666807?s=40&v=4 - JaviSoto - https://github.com/JaviSoto + https://avatars.githubusercontent.com/u/11608002?s=40&v=4 + Aditi3 + https://github.com/Aditi3 - https://avatars.githubusercontent.com/u/429119?s=40&v=4 - TimOliver - https://github.com/TimOliver + https://avatars.githubusercontent.com/u/4160695?s=40&v=4 + genadyb + https://github.com/genadyb @@ -452,7 +381,7 @@ https://github.com/sunnyyoung/WeChatTweak-macOS Objective-C #438eff - 11,360 + 11,362 1,392 34 @@ -491,7 +420,7 @@ Objective-C #438eff 265 - 141 + 142 1 @@ -521,82 +450,6 @@ - - adjust/ios_sdk - https://github.com/adjust/ios_sdk - This is the iOS SDK of - https://github.com/adjust/ios_sdk - Objective-C - #438eff - 623 - 294 - 1 - - - https://avatars.githubusercontent.com/u/1741635?s=40&v=4 - uerceg - https://github.com/uerceg - - - https://avatars.githubusercontent.com/u/1449604?s=40&v=4 - nonelse - https://github.com/nonelse - - - https://avatars.githubusercontent.com/u/474504?s=40&v=4 - wellle - https://github.com/wellle - - - https://avatars.githubusercontent.com/u/11608002?s=40&v=4 - Aditi3 - https://github.com/Aditi3 - - - https://avatars.githubusercontent.com/u/4160695?s=40&v=4 - genadyb - https://github.com/genadyb - - - - - AppLovin/AppLovin-MAX-SDK-iOS - https://github.com/AppLovin/AppLovin-MAX-SDK-iOS - - https://github.com/AppLovin/AppLovin-MAX-SDK-iOS - Objective-C - #438eff - 92 - 74 - 1 - - - https://avatars.githubusercontent.com/u/5104410?s=40&v=4 - thomasmso - https://github.com/thomasmso - - - https://avatars.githubusercontent.com/u/13296512?s=40&v=4 - harryarakkal - https://github.com/harryarakkal - - - https://avatars.githubusercontent.com/u/15932774?s=40&v=4 - tiandrew - https://github.com/tiandrew - - - https://avatars.githubusercontent.com/u/48224559?s=40&v=4 - alvarshahanji - https://github.com/alvarshahanji - - - https://avatars.githubusercontent.com/u/95258998?s=40&v=4 - Billy-Hu - https://github.com/Billy-Hu - - - google/promises https://github.com/google/promises @@ -711,5 +564,38 @@ + + google/GoogleSignIn-iOS + https://github.com/google/GoogleSignIn-iOS + Enables iOS and macOS apps to sign in with Google. + https://github.com/google/GoogleSignIn-iOS + Objective-C + #438eff + 519 + 205 + 3 + + + https://avatars.githubusercontent.com/u/102201?s=40&v=4 + petea + https://github.com/petea + + + https://avatars.githubusercontent.com/u/4029922?s=40&v=4 + mdmathias + https://github.com/mdmathias + + + https://avatars.githubusercontent.com/u/9356545?s=40&v=4 + Alex-4-Git + https://github.com/Alex-4-Git + + + https://avatars.githubusercontent.com/u/19537619?s=40&v=4 + XiangtianDai + https://github.com/XiangtianDai + + + \ No newline at end of file diff --git a/data/weekly/objective-j.json b/data/weekly/objective-j.json index 2755d719ec20..48a0ce23cfd9 100644 --- a/data/weekly/objective-j.json +++ b/data/weekly/objective-j.json @@ -2,6 +2,6 @@ "title": "GitHub Objective-j Languages Weekly Trending", "description": "Weekly Trending of Objective-j Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/objective-j.xml b/data/weekly/objective-j.xml index d173cdf51c13..c261f05dbe28 100644 --- a/data/weekly/objective-j.xml +++ b/data/weekly/objective-j.xml @@ -3,6 +3,6 @@ GitHub Objective-j Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Objective-j Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/objectscript.json b/data/weekly/objectscript.json index 8d1a92a0645a..765807fec67d 100644 --- a/data/weekly/objectscript.json +++ b/data/weekly/objectscript.json @@ -2,6 +2,6 @@ "title": "GitHub Objectscript Languages Weekly Trending", "description": "Weekly Trending of Objectscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/objectscript.xml b/data/weekly/objectscript.xml index 56c87f0ec836..90de9eb7c4fb 100644 --- a/data/weekly/objectscript.xml +++ b/data/weekly/objectscript.xml @@ -3,6 +3,6 @@ GitHub Objectscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Objectscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ocaml.json b/data/weekly/ocaml.json index 35370011e69a..eecfe9e4dbbb 100644 --- a/data/weekly/ocaml.json +++ b/data/weekly/ocaml.json @@ -2,7 +2,7 @@ "title": "GitHub Ocaml Languages Weekly Trending", "description": "Weekly Trending of Ocaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "facebook/infer", @@ -10,7 +10,7 @@ "description": "A static analyzer for Java, C, C++, and Objective-C", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "14,995", + "stars": "14,996", "forks": "2,016", "addStars": "11", "contributors": [ @@ -41,6 +41,43 @@ } ] }, + { + "title": "semgrep/semgrep", + "url": "https://github.com/semgrep/semgrep", + "description": "Lightweight static analysis for many languages. Find bug variants with patterns that look like source code.", + "language": "OCaml", + "languageColor": "#ef7a08", + "stars": "10,695", + "forks": "627", + "addStars": "37", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/332336?s=40&v=4", + "name": "aryx", + "url": "https://github.com/aryx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6498855?s=40&v=4", + "name": "brendongo", + "url": "https://github.com/brendongo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/343265?s=40&v=4", + "name": "mjambon", + "url": "https://github.com/mjambon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5313615?s=40&v=4", + "name": "IagoAbal", + "url": "https://github.com/IagoAbal" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5942585?s=40&v=4", + "name": "emjin", + "url": "https://github.com/emjin" + } + ] + }, { "title": "ocaml/ocaml", "url": "https://github.com/ocaml/ocaml", @@ -78,50 +115,13 @@ } ] }, - { - "title": "semgrep/semgrep", - "url": "https://github.com/semgrep/semgrep", - "description": "Lightweight static analysis for many languages. Find bug variants with patterns that look like source code.", - "language": "OCaml", - "languageColor": "#ef7a08", - "stars": "10,694", - "forks": "627", - "addStars": "37", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/332336?s=40&v=4", - "name": "aryx", - "url": "https://github.com/aryx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6498855?s=40&v=4", - "name": "brendongo", - "url": "https://github.com/brendongo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/343265?s=40&v=4", - "name": "mjambon", - "url": "https://github.com/mjambon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5313615?s=40&v=4", - "name": "IagoAbal", - "url": "https://github.com/IagoAbal" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5942585?s=40&v=4", - "name": "emjin", - "url": "https://github.com/emjin" - } - ] - }, { "title": "janestreet/magic-trace", "url": "https://github.com/janestreet/magic-trace", "description": "magic-trace collects and displays high-resolution traces of what a process is doing", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "4,686", + "stars": "4,687", "forks": "91", "addStars": "29", "contributors": [ @@ -158,7 +158,7 @@ "description": "Mina is a cryptocurrency protocol with a constant size blockchain, improving scaling while maintaining decentralization and security.", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "1,996", + "stars": "1,997", "forks": "529", "addStars": "2", "contributors": [ @@ -263,43 +263,6 @@ } ] }, - { - "title": "bcpierce00/unison", - "url": "https://github.com/bcpierce00/unison", - "description": "Unison file synchronizer", - "language": "OCaml", - "languageColor": "#ef7a08", - "stars": "4,190", - "forks": "234", - "addStars": "15", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/69477666?s=40&v=4", - "name": "tleedjarv", - "url": "https://github.com/tleedjarv" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/515284?s=40&v=4", - "name": "gdt", - "url": "https://github.com/gdt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7014411?s=40&v=4", - "name": "bcpierce00", - "url": "https://github.com/bcpierce00" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3010005?s=40&v=4", - "name": "g-raud", - "url": "https://github.com/g-raud" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/956926?s=40&v=4", - "name": "ben-willmore", - "url": "https://github.com/ben-willmore" - } - ] - }, { "title": "mattjbray/ocaml-decoders", "url": "https://github.com/mattjbray/ocaml-decoders", @@ -333,39 +296,39 @@ ] }, { - "title": "ocaml/opam", - "url": "https://github.com/ocaml/opam", - "description": "opam is a source-based package manager. It supports multiple simultaneous compiler installations, flexible package constraints, and a Git-friendly development workflow.", + "title": "bcpierce00/unison", + "url": "https://github.com/bcpierce00/unison", + "description": "Unison file synchronizer", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "1,251", - "forks": "363", - "addStars": "6", + "stars": "4,191", + "forks": "234", + "addStars": "15", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/807966?s=40&v=4", - "name": "AltGr", - "url": "https://github.com/AltGr" + "avatar": "https://avatars.githubusercontent.com/u/69477666?s=40&v=4", + "name": "tleedjarv", + "url": "https://github.com/tleedjarv" }, { - "avatar": "https://avatars.githubusercontent.com/u/37832926?s=40&v=4", - "name": "rjbou", - "url": "https://github.com/rjbou" + "avatar": "https://avatars.githubusercontent.com/u/515284?s=40&v=4", + "name": "gdt", + "url": "https://github.com/gdt" }, { - "avatar": "https://avatars.githubusercontent.com/u/103693?s=40&v=4", - "name": "samoht", - "url": "https://github.com/samoht" + "avatar": "https://avatars.githubusercontent.com/u/7014411?s=40&v=4", + "name": "bcpierce00", + "url": "https://github.com/bcpierce00" }, { - "avatar": "https://avatars.githubusercontent.com/u/5250680?s=40&v=4", - "name": "dra27", - "url": "https://github.com/dra27" + "avatar": "https://avatars.githubusercontent.com/u/3010005?s=40&v=4", + "name": "g-raud", + "url": "https://github.com/g-raud" }, { - "avatar": "https://avatars.githubusercontent.com/u/2611789?s=40&v=4", - "name": "kit-ty-kate", - "url": "https://github.com/kit-ty-kate" + "avatar": "https://avatars.githubusercontent.com/u/956926?s=40&v=4", + "name": "ben-willmore", + "url": "https://github.com/ben-willmore" } ] }, @@ -375,8 +338,8 @@ "description": "Coq is a formal proof management system. It provides a formal language to write mathematical definitions, executable algorithms and theorems together with an environment for semi-interactive development of machine-checked proofs.", "language": "OCaml", "languageColor": "#ef7a08", - "stars": "4,869", - "forks": "654", + "stars": "4,870", + "forks": "655", "addStars": "9", "contributors": [ { @@ -400,43 +363,6 @@ "url": "https://github.com/maximedenes" } ] - }, - { - "title": "facebook/flow", - "url": "https://github.com/facebook/flow", - "description": "Adds static typing to JavaScript to improve developer productivity and code quality.", - "language": "OCaml", - "languageColor": "#ef7a08", - "stars": "22,097", - "forks": "1,860", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3012?s=40&v=4", - "name": "mroch", - "url": "https://github.com/mroch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4290500?s=40&v=4", - "name": "SamChou19815", - "url": "https://github.com/SamChou19815" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/254842?s=40&v=4", - "name": "samwgoldman", - "url": "https://github.com/samwgoldman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1099437?s=40&v=4", - "name": "panagosg7", - "url": "https://github.com/panagosg7" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1887264?s=40&v=4", - "name": "gabelevi", - "url": "https://github.com/gabelevi" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/ocaml.xml b/data/weekly/ocaml.xml index a234da8dd0fe..554ec65bad27 100644 --- a/data/weekly/ocaml.xml +++ b/data/weekly/ocaml.xml @@ -3,7 +3,7 @@ GitHub Ocaml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ocaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT facebook/infer https://github.com/facebook/infer @@ -11,7 +11,7 @@ https://github.com/facebook/infer OCaml #ef7a08 - 14,995 + 14,996 2,016 11 @@ -42,6 +42,44 @@ + + semgrep/semgrep + https://github.com/semgrep/semgrep + Lightweight static analysis for many languages. Find bug variants with patterns that look like source code. + https://github.com/semgrep/semgrep + OCaml + #ef7a08 + 10,695 + 627 + 37 + + + https://avatars.githubusercontent.com/u/332336?s=40&v=4 + aryx + https://github.com/aryx + + + https://avatars.githubusercontent.com/u/6498855?s=40&v=4 + brendongo + https://github.com/brendongo + + + https://avatars.githubusercontent.com/u/343265?s=40&v=4 + mjambon + https://github.com/mjambon + + + https://avatars.githubusercontent.com/u/5313615?s=40&v=4 + IagoAbal + https://github.com/IagoAbal + + + https://avatars.githubusercontent.com/u/5942585?s=40&v=4 + emjin + https://github.com/emjin + + + ocaml/ocaml https://github.com/ocaml/ocaml @@ -80,44 +118,6 @@ - - semgrep/semgrep - https://github.com/semgrep/semgrep - Lightweight static analysis for many languages. Find bug variants with patterns that look like source code. - https://github.com/semgrep/semgrep - OCaml - #ef7a08 - 10,694 - 627 - 37 - - - https://avatars.githubusercontent.com/u/332336?s=40&v=4 - aryx - https://github.com/aryx - - - https://avatars.githubusercontent.com/u/6498855?s=40&v=4 - brendongo - https://github.com/brendongo - - - https://avatars.githubusercontent.com/u/343265?s=40&v=4 - mjambon - https://github.com/mjambon - - - https://avatars.githubusercontent.com/u/5313615?s=40&v=4 - IagoAbal - https://github.com/IagoAbal - - - https://avatars.githubusercontent.com/u/5942585?s=40&v=4 - emjin - https://github.com/emjin - - - janestreet/magic-trace https://github.com/janestreet/magic-trace @@ -125,7 +125,7 @@ https://github.com/janestreet/magic-trace OCaml #ef7a08 - 4,686 + 4,687 91 29 @@ -163,7 +163,7 @@ https://github.com/MinaProtocol/mina OCaml #ef7a08 - 1,996 + 1,997 529 2 @@ -270,44 +270,6 @@ - - bcpierce00/unison - https://github.com/bcpierce00/unison - Unison file synchronizer - https://github.com/bcpierce00/unison - OCaml - #ef7a08 - 4,190 - 234 - 15 - - - https://avatars.githubusercontent.com/u/69477666?s=40&v=4 - tleedjarv - https://github.com/tleedjarv - - - https://avatars.githubusercontent.com/u/515284?s=40&v=4 - gdt - https://github.com/gdt - - - https://avatars.githubusercontent.com/u/7014411?s=40&v=4 - bcpierce00 - https://github.com/bcpierce00 - - - https://avatars.githubusercontent.com/u/3010005?s=40&v=4 - g-raud - https://github.com/g-raud - - - https://avatars.githubusercontent.com/u/956926?s=40&v=4 - ben-willmore - https://github.com/ben-willmore - - - mattjbray/ocaml-decoders https://github.com/mattjbray/ocaml-decoders @@ -342,40 +304,40 @@ - ocaml/opam - https://github.com/ocaml/opam - opam is a source-based package manager. It supports multiple simultaneous compiler installations, flexible package constraints, and a Git-friendly development workflow. - https://github.com/ocaml/opam + bcpierce00/unison + https://github.com/bcpierce00/unison + Unison file synchronizer + https://github.com/bcpierce00/unison OCaml #ef7a08 - 1,251 - 363 - 6 + 4,191 + 234 + 15 - https://avatars.githubusercontent.com/u/807966?s=40&v=4 - AltGr - https://github.com/AltGr + https://avatars.githubusercontent.com/u/69477666?s=40&v=4 + tleedjarv + https://github.com/tleedjarv - https://avatars.githubusercontent.com/u/37832926?s=40&v=4 - rjbou - https://github.com/rjbou + https://avatars.githubusercontent.com/u/515284?s=40&v=4 + gdt + https://github.com/gdt - https://avatars.githubusercontent.com/u/103693?s=40&v=4 - samoht - https://github.com/samoht + https://avatars.githubusercontent.com/u/7014411?s=40&v=4 + bcpierce00 + https://github.com/bcpierce00 - https://avatars.githubusercontent.com/u/5250680?s=40&v=4 - dra27 - https://github.com/dra27 + https://avatars.githubusercontent.com/u/3010005?s=40&v=4 + g-raud + https://github.com/g-raud - https://avatars.githubusercontent.com/u/2611789?s=40&v=4 - kit-ty-kate - https://github.com/kit-ty-kate + https://avatars.githubusercontent.com/u/956926?s=40&v=4 + ben-willmore + https://github.com/ben-willmore @@ -386,8 +348,8 @@ https://github.com/coq/coq OCaml #ef7a08 - 4,869 - 654 + 4,870 + 655 9 @@ -412,43 +374,5 @@ - - facebook/flow - https://github.com/facebook/flow - Adds static typing to JavaScript to improve developer productivity and code quality. - https://github.com/facebook/flow - OCaml - #ef7a08 - 22,097 - 1,860 - 9 - - - https://avatars.githubusercontent.com/u/3012?s=40&v=4 - mroch - https://github.com/mroch - - - https://avatars.githubusercontent.com/u/4290500?s=40&v=4 - SamChou19815 - https://github.com/SamChou19815 - - - https://avatars.githubusercontent.com/u/254842?s=40&v=4 - samwgoldman - https://github.com/samwgoldman - - - https://avatars.githubusercontent.com/u/1099437?s=40&v=4 - panagosg7 - https://github.com/panagosg7 - - - https://avatars.githubusercontent.com/u/1887264?s=40&v=4 - gabelevi - https://github.com/gabelevi - - - \ No newline at end of file diff --git a/data/weekly/odin.json b/data/weekly/odin.json index 50db540bacb8..9e8dce02a0f3 100644 --- a/data/weekly/odin.json +++ b/data/weekly/odin.json @@ -2,7 +2,7 @@ "title": "GitHub Odin Languages Weekly Trending", "description": "Weekly Trending of Odin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "odin-lang/Odin", @@ -11,7 +11,7 @@ "language": "Odin", "languageColor": "#60AFFE", "stars": "7,009", - "forks": "620", + "forks": "621", "addStars": "41", "contributors": [ { diff --git a/data/weekly/odin.xml b/data/weekly/odin.xml index 333b4bbad84c..4801a1becf72 100644 --- a/data/weekly/odin.xml +++ b/data/weekly/odin.xml @@ -3,7 +3,7 @@ GitHub Odin Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Odin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT odin-lang/Odin https://github.com/odin-lang/Odin @@ -12,7 +12,7 @@ Odin #60AFFE 7,009 - 620 + 621 41 diff --git a/data/weekly/omgrofl.json b/data/weekly/omgrofl.json index 96edea53c4e8..2059aafcce24 100644 --- a/data/weekly/omgrofl.json +++ b/data/weekly/omgrofl.json @@ -2,6 +2,6 @@ "title": "GitHub Omgrofl Languages Weekly Trending", "description": "Weekly Trending of Omgrofl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/omgrofl.xml b/data/weekly/omgrofl.xml index 789f89d1cc1c..e4d83da6004c 100644 --- a/data/weekly/omgrofl.xml +++ b/data/weekly/omgrofl.xml @@ -3,6 +3,6 @@ GitHub Omgrofl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Omgrofl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ooc.json b/data/weekly/ooc.json index ec25c40c633a..054682317434 100644 --- a/data/weekly/ooc.json +++ b/data/weekly/ooc.json @@ -2,6 +2,6 @@ "title": "GitHub Ooc Languages Weekly Trending", "description": "Weekly Trending of Ooc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ooc.xml b/data/weekly/ooc.xml index 6ebb3abcab5a..c377dc55c705 100644 --- a/data/weekly/ooc.xml +++ b/data/weekly/ooc.xml @@ -3,6 +3,6 @@ GitHub Ooc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ooc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/opa.json b/data/weekly/opa.json index 7a0455561618..137fed906493 100644 --- a/data/weekly/opa.json +++ b/data/weekly/opa.json @@ -2,6 +2,6 @@ "title": "GitHub Opa Languages Weekly Trending", "description": "Weekly Trending of Opa Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/opa.xml b/data/weekly/opa.xml index 5fb203a4879c..4298b83350fb 100644 --- a/data/weekly/opa.xml +++ b/data/weekly/opa.xml @@ -3,6 +3,6 @@ GitHub Opa Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Opa Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/opal.json b/data/weekly/opal.json index 19067fefd48d..44e98fccb7f8 100644 --- a/data/weekly/opal.json +++ b/data/weekly/opal.json @@ -2,6 +2,6 @@ "title": "GitHub Opal Languages Weekly Trending", "description": "Weekly Trending of Opal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/opal.xml b/data/weekly/opal.xml index f248be44f5f1..a8031665823b 100644 --- a/data/weekly/opal.xml +++ b/data/weekly/opal.xml @@ -3,6 +3,6 @@ GitHub Opal Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Opal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/open-policy-agent.json b/data/weekly/open-policy-agent.json index 573d3b080e10..b9455129143f 100644 --- a/data/weekly/open-policy-agent.json +++ b/data/weekly/open-policy-agent.json @@ -2,7 +2,7 @@ "title": "GitHub Open-policy-agent Languages Weekly Trending", "description": "Weekly Trending of Open-policy-agent Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "open-telemetry/semantic-conventions", diff --git a/data/weekly/open-policy-agent.xml b/data/weekly/open-policy-agent.xml index d5f24751642f..a85174673e55 100644 --- a/data/weekly/open-policy-agent.xml +++ b/data/weekly/open-policy-agent.xml @@ -3,7 +3,7 @@ GitHub Open-policy-agent Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Open-policy-agent Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT open-telemetry/semantic-conventions https://github.com/open-telemetry/semantic-conventions diff --git a/data/weekly/openapi-specification-v2.json b/data/weekly/openapi-specification-v2.json index 53134fef8c84..d93a4d694c48 100644 --- a/data/weekly/openapi-specification-v2.json +++ b/data/weekly/openapi-specification-v2.json @@ -2,6 +2,6 @@ "title": "GitHub Openapi-specification-v2 Languages Weekly Trending", "description": "Weekly Trending of Openapi-specification-v2 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/openapi-specification-v2.xml b/data/weekly/openapi-specification-v2.xml index 963bc1225b34..67771dd35513 100644 --- a/data/weekly/openapi-specification-v2.xml +++ b/data/weekly/openapi-specification-v2.xml @@ -3,6 +3,6 @@ GitHub Openapi-specification-v2 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Openapi-specification-v2 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/openapi-specification-v3.json b/data/weekly/openapi-specification-v3.json index 1e54932263cd..3ba8fa9d30ff 100644 --- a/data/weekly/openapi-specification-v3.json +++ b/data/weekly/openapi-specification-v3.json @@ -2,6 +2,6 @@ "title": "GitHub Openapi-specification-v3 Languages Weekly Trending", "description": "Weekly Trending of Openapi-specification-v3 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/openapi-specification-v3.xml b/data/weekly/openapi-specification-v3.xml index a6c38980513d..515078a7c9b7 100644 --- a/data/weekly/openapi-specification-v3.xml +++ b/data/weekly/openapi-specification-v3.xml @@ -3,6 +3,6 @@ GitHub Openapi-specification-v3 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Openapi-specification-v3 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/opencl.json b/data/weekly/opencl.json index 6cf862d8d45a..7090e719316f 100644 --- a/data/weekly/opencl.json +++ b/data/weekly/opencl.json @@ -2,6 +2,6 @@ "title": "GitHub Opencl Languages Weekly Trending", "description": "Weekly Trending of Opencl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/opencl.xml b/data/weekly/opencl.xml index 4e6e9a5d4d33..2ebeb11266d9 100644 --- a/data/weekly/opencl.xml +++ b/data/weekly/opencl.xml @@ -3,6 +3,6 @@ GitHub Opencl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Opencl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/openedge-abl.json b/data/weekly/openedge-abl.json index d671a8c7d1b1..3178e906720f 100644 --- a/data/weekly/openedge-abl.json +++ b/data/weekly/openedge-abl.json @@ -2,6 +2,6 @@ "title": "GitHub Openedge-abl Languages Weekly Trending", "description": "Weekly Trending of Openedge-abl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/openedge-abl.xml b/data/weekly/openedge-abl.xml index 4438de0eeb09..4899404818f6 100644 --- a/data/weekly/openedge-abl.xml +++ b/data/weekly/openedge-abl.xml @@ -3,6 +3,6 @@ GitHub Openedge-abl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Openedge-abl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/openqasm.json b/data/weekly/openqasm.json index 924a9bf5015b..4eb31e08b10f 100644 --- a/data/weekly/openqasm.json +++ b/data/weekly/openqasm.json @@ -2,6 +2,6 @@ "title": "GitHub Openqasm Languages Weekly Trending", "description": "Weekly Trending of Openqasm Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/openqasm.xml b/data/weekly/openqasm.xml index aad4a45100c1..ca6a1cfdb4fa 100644 --- a/data/weekly/openqasm.xml +++ b/data/weekly/openqasm.xml @@ -3,6 +3,6 @@ GitHub Openqasm Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Openqasm Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/openrc-runscript.json b/data/weekly/openrc-runscript.json index b7ed01de220e..3b02572bbc04 100644 --- a/data/weekly/openrc-runscript.json +++ b/data/weekly/openrc-runscript.json @@ -2,6 +2,6 @@ "title": "GitHub Openrc-runscript Languages Weekly Trending", "description": "Weekly Trending of Openrc-runscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/openrc-runscript.xml b/data/weekly/openrc-runscript.xml index 0cd0515502f1..c9c2e0f75540 100644 --- a/data/weekly/openrc-runscript.xml +++ b/data/weekly/openrc-runscript.xml @@ -3,6 +3,6 @@ GitHub Openrc-runscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Openrc-runscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/openscad.json b/data/weekly/openscad.json index 7eae2aaa9fe7..67fcea77c12c 100644 --- a/data/weekly/openscad.json +++ b/data/weekly/openscad.json @@ -2,8 +2,45 @@ "title": "GitHub Openscad Languages Weekly Trending", "description": "Weekly Trending of Openscad Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "kennetek/gridfinity-rebuilt-openscad", + "url": "https://github.com/kennetek/gridfinity-rebuilt-openscad", + "description": "A ground-up rebuild of the stock gridfinity bins in OpenSCAD", + "language": "OpenSCAD", + "languageColor": "#e5cd45", + "stars": "1,351", + "forks": "199", + "addStars": "14", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/40445044?s=40&v=4", + "name": "kennetek", + "url": "https://github.com/kennetek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1515637?s=40&v=4", + "name": "EmperorArthur", + "url": "https://github.com/EmperorArthur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31508944?s=40&v=4", + "name": "Ruudjhuu", + "url": "https://github.com/Ruudjhuu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2831985?s=40&v=4", + "name": "smkent", + "url": "https://github.com/smkent" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/392683?s=40&v=4", + "name": "rcarmo", + "url": "https://github.com/rcarmo" + } + ] + }, { "title": "BelfrySCAD/BOSL2", "url": "https://github.com/BelfrySCAD/BOSL2", @@ -78,50 +115,13 @@ } ] }, - { - "title": "kennetek/gridfinity-rebuilt-openscad", - "url": "https://github.com/kennetek/gridfinity-rebuilt-openscad", - "description": "A ground-up rebuild of the stock gridfinity bins in OpenSCAD", - "language": "OpenSCAD", - "languageColor": "#e5cd45", - "stars": "1,351", - "forks": "199", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/40445044?s=40&v=4", - "name": "kennetek", - "url": "https://github.com/kennetek" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1515637?s=40&v=4", - "name": "EmperorArthur", - "url": "https://github.com/EmperorArthur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31508944?s=40&v=4", - "name": "Ruudjhuu", - "url": "https://github.com/Ruudjhuu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2831985?s=40&v=4", - "name": "smkent", - "url": "https://github.com/smkent" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/392683?s=40&v=4", - "name": "rcarmo", - "url": "https://github.com/rcarmo" - } - ] - }, { "title": "tompi/cheapino", "url": "https://github.com/tompi/cheapino", "description": "An affordable split 36 keys keyboard", "language": "OpenSCAD", "languageColor": "#e5cd45", - "stars": "642", + "stars": "643", "forks": "25", "addStars": "11", "contributors": [ diff --git a/data/weekly/openscad.xml b/data/weekly/openscad.xml index 6766368010db..546323cbba1a 100644 --- a/data/weekly/openscad.xml +++ b/data/weekly/openscad.xml @@ -3,7 +3,45 @@ GitHub Openscad Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Openscad Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + kennetek/gridfinity-rebuilt-openscad + https://github.com/kennetek/gridfinity-rebuilt-openscad + A ground-up rebuild of the stock gridfinity bins in OpenSCAD + https://github.com/kennetek/gridfinity-rebuilt-openscad + OpenSCAD + #e5cd45 + 1,351 + 199 + 14 + + + https://avatars.githubusercontent.com/u/40445044?s=40&v=4 + kennetek + https://github.com/kennetek + + + https://avatars.githubusercontent.com/u/1515637?s=40&v=4 + EmperorArthur + https://github.com/EmperorArthur + + + https://avatars.githubusercontent.com/u/31508944?s=40&v=4 + Ruudjhuu + https://github.com/Ruudjhuu + + + https://avatars.githubusercontent.com/u/2831985?s=40&v=4 + smkent + https://github.com/smkent + + + https://avatars.githubusercontent.com/u/392683?s=40&v=4 + rcarmo + https://github.com/rcarmo + + + BelfrySCAD/BOSL2 https://github.com/BelfrySCAD/BOSL2 @@ -80,44 +118,6 @@ - - kennetek/gridfinity-rebuilt-openscad - https://github.com/kennetek/gridfinity-rebuilt-openscad - A ground-up rebuild of the stock gridfinity bins in OpenSCAD - https://github.com/kennetek/gridfinity-rebuilt-openscad - OpenSCAD - #e5cd45 - 1,351 - 199 - 14 - - - https://avatars.githubusercontent.com/u/40445044?s=40&v=4 - kennetek - https://github.com/kennetek - - - https://avatars.githubusercontent.com/u/1515637?s=40&v=4 - EmperorArthur - https://github.com/EmperorArthur - - - https://avatars.githubusercontent.com/u/31508944?s=40&v=4 - Ruudjhuu - https://github.com/Ruudjhuu - - - https://avatars.githubusercontent.com/u/2831985?s=40&v=4 - smkent - https://github.com/smkent - - - https://avatars.githubusercontent.com/u/392683?s=40&v=4 - rcarmo - https://github.com/rcarmo - - - tompi/cheapino https://github.com/tompi/cheapino @@ -125,7 +125,7 @@ https://github.com/tompi/cheapino OpenSCAD #e5cd45 - 642 + 643 25 11 diff --git a/data/weekly/openstep-property-list.json b/data/weekly/openstep-property-list.json index 8d772eb30830..5d01546e8e2e 100644 --- a/data/weekly/openstep-property-list.json +++ b/data/weekly/openstep-property-list.json @@ -2,6 +2,6 @@ "title": "GitHub Openstep-property-list Languages Weekly Trending", "description": "Weekly Trending of Openstep-property-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/openstep-property-list.xml b/data/weekly/openstep-property-list.xml index 5c6a30f65d81..341daf4e4b73 100644 --- a/data/weekly/openstep-property-list.xml +++ b/data/weekly/openstep-property-list.xml @@ -3,6 +3,6 @@ GitHub Openstep-property-list Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Openstep-property-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/opentype-feature-file.json b/data/weekly/opentype-feature-file.json index 19e5aa87e2ad..8f130bc8aed2 100644 --- a/data/weekly/opentype-feature-file.json +++ b/data/weekly/opentype-feature-file.json @@ -2,6 +2,6 @@ "title": "GitHub Opentype-feature-file Languages Weekly Trending", "description": "Weekly Trending of Opentype-feature-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/opentype-feature-file.xml b/data/weekly/opentype-feature-file.xml index 276fc2c4230d..c78b63ff6dab 100644 --- a/data/weekly/opentype-feature-file.xml +++ b/data/weekly/opentype-feature-file.xml @@ -3,6 +3,6 @@ GitHub Opentype-feature-file Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Opentype-feature-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/option-list.json b/data/weekly/option-list.json index fd209e342fa0..2eda42411b60 100644 --- a/data/weekly/option-list.json +++ b/data/weekly/option-list.json @@ -2,6 +2,6 @@ "title": "GitHub Option-list Languages Weekly Trending", "description": "Weekly Trending of Option-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/option-list.xml b/data/weekly/option-list.xml index e58e9be65722..d846ad66e074 100644 --- a/data/weekly/option-list.xml +++ b/data/weekly/option-list.xml @@ -3,6 +3,6 @@ GitHub Option-list Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Option-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/org.json b/data/weekly/org.json index 06676bc74c72..e7ad43973474 100644 --- a/data/weekly/org.json +++ b/data/weekly/org.json @@ -2,6 +2,6 @@ "title": "GitHub Org Languages Weekly Trending", "description": "Weekly Trending of Org Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/org.xml b/data/weekly/org.xml index 13308496f119..0e7d1759fb03 100644 --- a/data/weekly/org.xml +++ b/data/weekly/org.xml @@ -3,6 +3,6 @@ GitHub Org Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Org Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ox.json b/data/weekly/ox.json index debc085a7b01..ba30cca78c35 100644 --- a/data/weekly/ox.json +++ b/data/weekly/ox.json @@ -2,6 +2,6 @@ "title": "GitHub Ox Languages Weekly Trending", "description": "Weekly Trending of Ox Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ox.xml b/data/weekly/ox.xml index 62232a79719d..dbe04cf8dfae 100644 --- a/data/weekly/ox.xml +++ b/data/weekly/ox.xml @@ -3,6 +3,6 @@ GitHub Ox Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ox Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/oxygene.json b/data/weekly/oxygene.json index af5d28811d8f..1d1b0dc9c107 100644 --- a/data/weekly/oxygene.json +++ b/data/weekly/oxygene.json @@ -2,6 +2,6 @@ "title": "GitHub Oxygene Languages Weekly Trending", "description": "Weekly Trending of Oxygene Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/oxygene.xml b/data/weekly/oxygene.xml index f3fd3bfbf242..8a250b451caf 100644 --- a/data/weekly/oxygene.xml +++ b/data/weekly/oxygene.xml @@ -3,6 +3,6 @@ GitHub Oxygene Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Oxygene Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/oz.json b/data/weekly/oz.json index afccd75bd8b2..eb052a71b2c7 100644 --- a/data/weekly/oz.json +++ b/data/weekly/oz.json @@ -2,6 +2,6 @@ "title": "GitHub Oz Languages Weekly Trending", "description": "Weekly Trending of Oz Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/oz.xml b/data/weekly/oz.xml index ccf988ef3757..34e251380120 100644 --- a/data/weekly/oz.xml +++ b/data/weekly/oz.xml @@ -3,6 +3,6 @@ GitHub Oz Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Oz Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/p4.json b/data/weekly/p4.json index c081e751518a..72579921edb7 100644 --- a/data/weekly/p4.json +++ b/data/weekly/p4.json @@ -2,6 +2,6 @@ "title": "GitHub P4 Languages Weekly Trending", "description": "Weekly Trending of P4 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/p4.xml b/data/weekly/p4.xml index 4d3139450a8e..ec65028464c9 100644 --- a/data/weekly/p4.xml +++ b/data/weekly/p4.xml @@ -3,6 +3,6 @@ GitHub P4 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of P4 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pact.json b/data/weekly/pact.json index 77678b029c36..5bbe2c18f366 100644 --- a/data/weekly/pact.json +++ b/data/weekly/pact.json @@ -2,6 +2,6 @@ "title": "GitHub Pact Languages Weekly Trending", "description": "Weekly Trending of Pact Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pact.xml b/data/weekly/pact.xml index af8907258242..b0f6d845de10 100644 --- a/data/weekly/pact.xml +++ b/data/weekly/pact.xml @@ -3,6 +3,6 @@ GitHub Pact Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pact Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pan.json b/data/weekly/pan.json index 57666d4be2c2..df1557286cbb 100644 --- a/data/weekly/pan.json +++ b/data/weekly/pan.json @@ -2,6 +2,6 @@ "title": "GitHub Pan Languages Weekly Trending", "description": "Weekly Trending of Pan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pan.xml b/data/weekly/pan.xml index 4378f7067e83..3e76fbe0858c 100644 --- a/data/weekly/pan.xml +++ b/data/weekly/pan.xml @@ -3,6 +3,6 @@ GitHub Pan Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/papyrus.json b/data/weekly/papyrus.json index a23e8af30f70..a4191056c96f 100644 --- a/data/weekly/papyrus.json +++ b/data/weekly/papyrus.json @@ -2,7 +2,7 @@ "title": "GitHub Papyrus Languages Weekly Trending", "description": "Weekly Trending of Papyrus Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ProbablyManuel/requiem", diff --git a/data/weekly/papyrus.xml b/data/weekly/papyrus.xml index d2fe71ba0099..fdaa648bb6ab 100644 --- a/data/weekly/papyrus.xml +++ b/data/weekly/papyrus.xml @@ -3,7 +3,7 @@ GitHub Papyrus Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Papyrus Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ProbablyManuel/requiem https://github.com/ProbablyManuel/requiem diff --git a/data/weekly/parrot-assembly.json b/data/weekly/parrot-assembly.json index 4dd68fa406fb..1d0ffbd5d075 100644 --- a/data/weekly/parrot-assembly.json +++ b/data/weekly/parrot-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot-assembly Languages Weekly Trending", "description": "Weekly Trending of Parrot-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/parrot-assembly.xml b/data/weekly/parrot-assembly.xml index 9d1c56e23c09..e7f5fce6c532 100644 --- a/data/weekly/parrot-assembly.xml +++ b/data/weekly/parrot-assembly.xml @@ -3,6 +3,6 @@ GitHub Parrot-assembly Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Parrot-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/parrot-internal-representation.json b/data/weekly/parrot-internal-representation.json index aeb718c4c483..3dda5e07cf7d 100644 --- a/data/weekly/parrot-internal-representation.json +++ b/data/weekly/parrot-internal-representation.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot-internal-representation Languages Weekly Trending", "description": "Weekly Trending of Parrot-internal-representation Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/parrot-internal-representation.xml b/data/weekly/parrot-internal-representation.xml index 54d48dd25905..0269de023692 100644 --- a/data/weekly/parrot-internal-representation.xml +++ b/data/weekly/parrot-internal-representation.xml @@ -3,6 +3,6 @@ GitHub Parrot-internal-representation Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Parrot-internal-representation Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/parrot.json b/data/weekly/parrot.json index 11d063a1cf40..ff7a544e6c04 100644 --- a/data/weekly/parrot.json +++ b/data/weekly/parrot.json @@ -2,6 +2,6 @@ "title": "GitHub Parrot Languages Weekly Trending", "description": "Weekly Trending of Parrot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/parrot.xml b/data/weekly/parrot.xml index afa0d53b443c..93fff96475fd 100644 --- a/data/weekly/parrot.xml +++ b/data/weekly/parrot.xml @@ -3,6 +3,6 @@ GitHub Parrot Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Parrot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pascal.json b/data/weekly/pascal.json index 04c892f75d56..6434ffe1f505 100644 --- a/data/weekly/pascal.json +++ b/data/weekly/pascal.json @@ -2,7 +2,7 @@ "title": "GitHub Pascal Languages Weekly Trending", "description": "Weekly Trending of Pascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "cheat-engine/cheat-engine", @@ -10,8 +10,8 @@ "description": "Cheat Engine. A development environment focused on modding", "language": "Pascal", "languageColor": "#E3F171", - "stars": "15,076", - "forks": "2,235", + "stars": "15,078", + "forks": "2,236", "addStars": "52", "contributors": [ { @@ -47,7 +47,7 @@ "description": "RDP Wrapper Library", "language": "Pascal", "languageColor": "#E3F171", - "stars": "14,756", + "stars": "14,759", "forks": "3,832", "addStars": "33", "contributors": [ @@ -78,43 +78,6 @@ } ] }, - { - "title": "UltraStar-Deluxe/USDX", - "url": "https://github.com/UltraStar-Deluxe/USDX", - "description": "The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "872", - "forks": "162", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/10870269?s=40&v=4", - "name": "basisbit", - "url": "https://github.com/basisbit" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10702429?s=40&v=4", - "name": "s09bQ5", - "url": "https://github.com/s09bQ5" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5775429?s=40&v=4", - "name": "barbeque-squared", - "url": "https://github.com/barbeque-squared" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6833006?s=40&v=4", - "name": "RattleSN4K3", - "url": "https://github.com/RattleSN4K3" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1264014?s=40&v=4", - "name": "daniel-j", - "url": "https://github.com/daniel-j" - } - ] - }, { "title": "jrsoftware/issrc", "url": "https://github.com/jrsoftware/issrc", @@ -152,6 +115,43 @@ } ] }, + { + "title": "UltraStar-Deluxe/USDX", + "url": "https://github.com/UltraStar-Deluxe/USDX", + "description": "The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "873", + "forks": "162", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/10870269?s=40&v=4", + "name": "basisbit", + "url": "https://github.com/basisbit" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10702429?s=40&v=4", + "name": "s09bQ5", + "url": "https://github.com/s09bQ5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5775429?s=40&v=4", + "name": "barbeque-squared", + "url": "https://github.com/barbeque-squared" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6833006?s=40&v=4", + "name": "RattleSN4K3", + "url": "https://github.com/RattleSN4K3" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1264014?s=40&v=4", + "name": "daniel-j", + "url": "https://github.com/daniel-j" + } + ] + }, { "title": "transmission-remote-gui/transgui", "url": "https://github.com/transmission-remote-gui/transgui", @@ -189,6 +189,43 @@ } ] }, + { + "title": "HeidiSQL/HeidiSQL", + "url": "https://github.com/HeidiSQL/HeidiSQL", + "description": "A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi", + "language": "Pascal", + "languageColor": "#E3F171", + "stars": "4,826", + "forks": "458", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7986591?s=40&v=4", + "name": "ansgarbecker", + "url": "https://github.com/ansgarbecker" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1563399?s=40&v=4", + "name": "netinhoteixeira", + "url": "https://github.com/netinhoteixeira" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/145854?s=40&v=4", + "name": "igitur", + "url": "https://github.com/igitur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/421851?s=40&v=4", + "name": "rentalhost", + "url": "https://github.com/rentalhost" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11897521?s=40&v=4", + "name": "tgoncuoglu", + "url": "https://github.com/tgoncuoglu" + } + ] + }, { "title": "nodemcu/nodemcu-flasher", "url": "https://github.com/nodemcu/nodemcu-flasher", @@ -243,80 +280,6 @@ } ] }, - { - "title": "HeidiSQL/HeidiSQL", - "url": "https://github.com/HeidiSQL/HeidiSQL", - "description": "A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "4,825", - "forks": "458", - "addStars": "15", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7986591?s=40&v=4", - "name": "ansgarbecker", - "url": "https://github.com/ansgarbecker" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1563399?s=40&v=4", - "name": "netinhoteixeira", - "url": "https://github.com/netinhoteixeira" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/145854?s=40&v=4", - "name": "igitur", - "url": "https://github.com/igitur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/421851?s=40&v=4", - "name": "rentalhost", - "url": "https://github.com/rentalhost" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11897521?s=40&v=4", - "name": "tgoncuoglu", - "url": "https://github.com/tgoncuoglu" - } - ] - }, - { - "title": "nofeletru/UsbAsp-flash", - "url": "https://github.com/nofeletru/UsbAsp-flash", - "description": "Program allow you to program flash memory on the protocols spi, I2C, MicroWire. Supports CH341a, CH347, UsbAsp, AVRISP(LUFA), Arduino, FT232H.", - "language": "Pascal", - "languageColor": "#E3F171", - "stars": "926", - "forks": "192", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12898237?s=40&v=4", - "name": "nofeletru", - "url": "https://github.com/nofeletru" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/56371107?s=40&v=4", - "name": "direstraits96", - "url": "https://github.com/direstraits96" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/74053663?s=40&v=4", - "name": "wc7086", - "url": "https://github.com/wc7086" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/647633?s=40&v=4", - "name": "systemcrash", - "url": "https://github.com/systemcrash" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1040116?s=40&v=4", - "name": "MELERIX", - "url": "https://github.com/MELERIX" - } - ] - }, { "title": "pyscripter/pyscripter", "url": "https://github.com/pyscripter/pyscripter", diff --git a/data/weekly/pascal.xml b/data/weekly/pascal.xml index a7e5e56b9853..cd05bfc5a8cc 100644 --- a/data/weekly/pascal.xml +++ b/data/weekly/pascal.xml @@ -3,7 +3,7 @@ GitHub Pascal Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT cheat-engine/cheat-engine https://github.com/cheat-engine/cheat-engine @@ -11,8 +11,8 @@ https://github.com/cheat-engine/cheat-engine Pascal #E3F171 - 15,076 - 2,235 + 15,078 + 2,236 52 @@ -49,7 +49,7 @@ https://github.com/stascorp/rdpwrap Pascal #E3F171 - 14,756 + 14,759 3,832 33 @@ -80,44 +80,6 @@ - - UltraStar-Deluxe/USDX - https://github.com/UltraStar-Deluxe/USDX - The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™ - https://github.com/UltraStar-Deluxe/USDX - Pascal - #E3F171 - 872 - 162 - 3 - - - https://avatars.githubusercontent.com/u/10870269?s=40&v=4 - basisbit - https://github.com/basisbit - - - https://avatars.githubusercontent.com/u/10702429?s=40&v=4 - s09bQ5 - https://github.com/s09bQ5 - - - https://avatars.githubusercontent.com/u/5775429?s=40&v=4 - barbeque-squared - https://github.com/barbeque-squared - - - https://avatars.githubusercontent.com/u/6833006?s=40&v=4 - RattleSN4K3 - https://github.com/RattleSN4K3 - - - https://avatars.githubusercontent.com/u/1264014?s=40&v=4 - daniel-j - https://github.com/daniel-j - - - jrsoftware/issrc https://github.com/jrsoftware/issrc @@ -156,6 +118,44 @@ + + UltraStar-Deluxe/USDX + https://github.com/UltraStar-Deluxe/USDX + The free and open source karaoke singing game UltraStar Deluxe, inspired by Sony SingStar™ + https://github.com/UltraStar-Deluxe/USDX + Pascal + #E3F171 + 873 + 162 + 3 + + + https://avatars.githubusercontent.com/u/10870269?s=40&v=4 + basisbit + https://github.com/basisbit + + + https://avatars.githubusercontent.com/u/10702429?s=40&v=4 + s09bQ5 + https://github.com/s09bQ5 + + + https://avatars.githubusercontent.com/u/5775429?s=40&v=4 + barbeque-squared + https://github.com/barbeque-squared + + + https://avatars.githubusercontent.com/u/6833006?s=40&v=4 + RattleSN4K3 + https://github.com/RattleSN4K3 + + + https://avatars.githubusercontent.com/u/1264014?s=40&v=4 + daniel-j + https://github.com/daniel-j + + + transmission-remote-gui/transgui https://github.com/transmission-remote-gui/transgui @@ -194,6 +194,44 @@ + + HeidiSQL/HeidiSQL + https://github.com/HeidiSQL/HeidiSQL + A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi + https://github.com/HeidiSQL/HeidiSQL + Pascal + #E3F171 + 4,826 + 458 + 15 + + + https://avatars.githubusercontent.com/u/7986591?s=40&v=4 + ansgarbecker + https://github.com/ansgarbecker + + + https://avatars.githubusercontent.com/u/1563399?s=40&v=4 + netinhoteixeira + https://github.com/netinhoteixeira + + + https://avatars.githubusercontent.com/u/145854?s=40&v=4 + igitur + https://github.com/igitur + + + https://avatars.githubusercontent.com/u/421851?s=40&v=4 + rentalhost + https://github.com/rentalhost + + + https://avatars.githubusercontent.com/u/11897521?s=40&v=4 + tgoncuoglu + https://github.com/tgoncuoglu + + + nodemcu/nodemcu-flasher https://github.com/nodemcu/nodemcu-flasher @@ -250,82 +288,6 @@ - - HeidiSQL/HeidiSQL - https://github.com/HeidiSQL/HeidiSQL - A lightweight client for managing MariaDB, MySQL, SQL Server, PostgreSQL, SQLite, Interbase and Firebird, written in Delphi - https://github.com/HeidiSQL/HeidiSQL - Pascal - #E3F171 - 4,825 - 458 - 15 - - - https://avatars.githubusercontent.com/u/7986591?s=40&v=4 - ansgarbecker - https://github.com/ansgarbecker - - - https://avatars.githubusercontent.com/u/1563399?s=40&v=4 - netinhoteixeira - https://github.com/netinhoteixeira - - - https://avatars.githubusercontent.com/u/145854?s=40&v=4 - igitur - https://github.com/igitur - - - https://avatars.githubusercontent.com/u/421851?s=40&v=4 - rentalhost - https://github.com/rentalhost - - - https://avatars.githubusercontent.com/u/11897521?s=40&v=4 - tgoncuoglu - https://github.com/tgoncuoglu - - - - - nofeletru/UsbAsp-flash - https://github.com/nofeletru/UsbAsp-flash - Program allow you to program flash memory on the protocols spi, I2C, MicroWire. Supports CH341a, CH347, UsbAsp, AVRISP(LUFA), Arduino, FT232H. - https://github.com/nofeletru/UsbAsp-flash - Pascal - #E3F171 - 926 - 192 - 3 - - - https://avatars.githubusercontent.com/u/12898237?s=40&v=4 - nofeletru - https://github.com/nofeletru - - - https://avatars.githubusercontent.com/u/56371107?s=40&v=4 - direstraits96 - https://github.com/direstraits96 - - - https://avatars.githubusercontent.com/u/74053663?s=40&v=4 - wc7086 - https://github.com/wc7086 - - - https://avatars.githubusercontent.com/u/647633?s=40&v=4 - systemcrash - https://github.com/systemcrash - - - https://avatars.githubusercontent.com/u/1040116?s=40&v=4 - MELERIX - https://github.com/MELERIX - - - pyscripter/pyscripter https://github.com/pyscripter/pyscripter diff --git a/data/weekly/pawn.json b/data/weekly/pawn.json index d8f29cb89019..6ba82de3cd88 100644 --- a/data/weekly/pawn.json +++ b/data/weekly/pawn.json @@ -2,6 +2,6 @@ "title": "GitHub Pawn Languages Weekly Trending", "description": "Weekly Trending of Pawn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pawn.xml b/data/weekly/pawn.xml index 381322f3f29f..c727bd64f839 100644 --- a/data/weekly/pawn.xml +++ b/data/weekly/pawn.xml @@ -3,6 +3,6 @@ GitHub Pawn Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pawn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pddl.json b/data/weekly/pddl.json index f0ee1c788cb3..82ef08af64fd 100644 --- a/data/weekly/pddl.json +++ b/data/weekly/pddl.json @@ -2,6 +2,6 @@ "title": "GitHub Pddl Languages Weekly Trending", "description": "Weekly Trending of Pddl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pddl.xml b/data/weekly/pddl.xml index 35c7c71497f6..2be7fd5909e1 100644 --- a/data/weekly/pddl.xml +++ b/data/weekly/pddl.xml @@ -3,6 +3,6 @@ GitHub Pddl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pddl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/peg.js.json b/data/weekly/peg.js.json index 9d4af8822deb..f969d5a9d892 100644 --- a/data/weekly/peg.js.json +++ b/data/weekly/peg.js.json @@ -2,6 +2,6 @@ "title": "GitHub Peg.js Languages Weekly Trending", "description": "Weekly Trending of Peg.js Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/peg.js.xml b/data/weekly/peg.js.xml index c51d0773afdb..72377dd062d0 100644 --- a/data/weekly/peg.js.xml +++ b/data/weekly/peg.js.xml @@ -3,6 +3,6 @@ GitHub Peg.js Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Peg.js Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pep8.json b/data/weekly/pep8.json index 9bd6e471e433..31b60c9ea20f 100644 --- a/data/weekly/pep8.json +++ b/data/weekly/pep8.json @@ -2,6 +2,6 @@ "title": "GitHub Pep8 Languages Weekly Trending", "description": "Weekly Trending of Pep8 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pep8.xml b/data/weekly/pep8.xml index 427f04ddce1f..1d9608073a01 100644 --- a/data/weekly/pep8.xml +++ b/data/weekly/pep8.xml @@ -3,6 +3,6 @@ GitHub Pep8 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pep8 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/perl.json b/data/weekly/perl.json index 6a395f246866..f7f2248c1110 100644 --- a/data/weekly/perl.json +++ b/data/weekly/perl.json @@ -2,7 +2,7 @@ "title": "GitHub Perl Languages Weekly Trending", "description": "Weekly Trending of Perl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "brendangregg/FlameGraph", @@ -47,7 +47,7 @@ "description": "cloc counts blank lines, comment lines, and physical lines of source code in many programming languages.", "language": "Perl", "languageColor": "#0298c3", - "stars": "19,730", + "stars": "19,734", "forks": "1,022", "addStars": "60", "contributors": [ @@ -133,39 +133,39 @@ ] }, { - "title": "inverse-inc/packetfence", - "url": "https://github.com/inverse-inc/packetfence", - "description": "PacketFence is a fully supported, trusted, Free and Open Source network access control (NAC) solution. Boasting an impressive feature set including a captive-portal for registration and remediation, centralized wired and wireless management, powerful BYOD management options, 802.1X support, layer-2 isolation of problematic devices; PacketFence c…", + "title": "adrienverge/openfortivpn", + "url": "https://github.com/adrienverge/openfortivpn", + "description": "Client for PPP+TLS VPN tunnel services", "language": "Perl", "languageColor": "#0298c3", - "stars": "1,388", - "forks": "291", - "addStars": "6", + "stars": "2,746", + "forks": "322", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2727473?s=40&v=4", - "name": "jrouzierinverse", - "url": "https://github.com/jrouzierinverse" + "avatar": "https://avatars.githubusercontent.com/u/3234522?s=40&v=4", + "name": "DimitriPapadopoulos", + "url": "https://github.com/DimitriPapadopoulos" }, { - "avatar": "https://avatars.githubusercontent.com/u/3857942?s=40&v=4", - "name": "julsemaan", - "url": "https://github.com/julsemaan" + "avatar": "https://avatars.githubusercontent.com/u/11367971?s=40&v=4", + "name": "mrbaseman", + "url": "https://github.com/mrbaseman" }, { - "avatar": "https://avatars.githubusercontent.com/u/1553962?s=40&v=4", - "name": "fdurand", - "url": "https://github.com/fdurand" + "avatar": "https://avatars.githubusercontent.com/u/5244945?s=40&v=4", + "name": "adrienverge", + "url": "https://github.com/adrienverge" }, { - "avatar": "https://avatars.githubusercontent.com/u/3904468?s=40&v=4", - "name": "satkunas", - "url": "https://github.com/satkunas" + "avatar": "https://avatars.githubusercontent.com/u/92695?s=40&v=4", + "name": "lkundrak", + "url": "https://github.com/lkundrak" }, { - "avatar": "https://avatars.githubusercontent.com/u/10830260?s=40&v=4", - "name": "nqb", - "url": "https://github.com/nqb" + "avatar": "https://avatars.githubusercontent.com/u/1729331?s=40&v=4", + "name": "martinetd", + "url": "https://github.com/martinetd" } ] }, @@ -175,7 +175,7 @@ "description": "The Open Charge Point Interface (OCPI) allows for a scalable, automated roaming setup between Charge Point Operators and e-Mobility Service Providers. It supports authorisation, charge point information exchange (incl transaction events), charge detail record exchange and finally, the exchange of smart-charging commands between parties.", "language": "Perl", "languageColor": "#0298c3", - "stars": "456", + "stars": "457", "forks": "205", "addStars": "1", "contributors": [ @@ -206,6 +206,43 @@ } ] }, + { + "title": "Difegue/LANraragi", + "url": "https://github.com/Difegue/LANraragi", + "description": "Web application for archival and reading of manga/doujinshi. Lightweight and Docker-ready for NAS/servers.", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "2,270", + "forks": "160", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8237712?s=40&v=4", + "name": "Difegue", + "url": "https://github.com/Difegue" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/124937394?s=40&v=4", + "name": "siliconfeces", + "url": "https://github.com/siliconfeces" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10560038?s=40&v=4", + "name": "xiazeyu", + "url": "https://github.com/xiazeyu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6740726?s=40&v=4", + "name": "Bl4Cc4t", + "url": "https://github.com/Bl4Cc4t" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1447794?s=40&v=4", + "name": "CirnoT", + "url": "https://github.com/CirnoT" + } + ] + }, { "title": "awakecoding/FreeRDP-Manuals", "url": "https://github.com/awakecoding/FreeRDP-Manuals", @@ -275,87 +312,13 @@ } ] }, - { - "title": "adrienverge/openfortivpn", - "url": "https://github.com/adrienverge/openfortivpn", - "description": "Client for PPP+TLS VPN tunnel services", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "2,746", - "forks": "322", - "addStars": "12", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3234522?s=40&v=4", - "name": "DimitriPapadopoulos", - "url": "https://github.com/DimitriPapadopoulos" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11367971?s=40&v=4", - "name": "mrbaseman", - "url": "https://github.com/mrbaseman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5244945?s=40&v=4", - "name": "adrienverge", - "url": "https://github.com/adrienverge" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/92695?s=40&v=4", - "name": "lkundrak", - "url": "https://github.com/lkundrak" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1729331?s=40&v=4", - "name": "martinetd", - "url": "https://github.com/martinetd" - } - ] - }, - { - "title": "Difegue/LANraragi", - "url": "https://github.com/Difegue/LANraragi", - "description": "Web application for archival and reading of manga/doujinshi. Lightweight and Docker-ready for NAS/servers.", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "2,269", - "forks": "160", - "addStars": "17", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8237712?s=40&v=4", - "name": "Difegue", - "url": "https://github.com/Difegue" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/124937394?s=40&v=4", - "name": "siliconfeces", - "url": "https://github.com/siliconfeces" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10560038?s=40&v=4", - "name": "xiazeyu", - "url": "https://github.com/xiazeyu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6740726?s=40&v=4", - "name": "Bl4Cc4t", - "url": "https://github.com/Bl4Cc4t" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1447794?s=40&v=4", - "name": "CirnoT", - "url": "https://github.com/CirnoT" - } - ] - }, { "title": "darold/pgbadger", "url": "https://github.com/darold/pgbadger", "description": "A fast PostgreSQL Log Analyzer", "language": "Perl", "languageColor": "#0298c3", - "stars": "3,567", + "stars": "3,569", "forks": "353", "addStars": "5", "contributors": [ @@ -387,76 +350,39 @@ ] }, { - "title": "tseemann/prokka", - "url": "https://github.com/tseemann/prokka", - "description": "⚡ ♒ Rapid prokaryotic genome annotation", + "title": "inverse-inc/packetfence", + "url": "https://github.com/inverse-inc/packetfence", + "description": "PacketFence is a fully supported, trusted, Free and Open Source network access control (NAC) solution. Boasting an impressive feature set including a captive-portal for registration and remediation, centralized wired and wireless management, powerful BYOD management options, 802.1X support, layer-2 isolation of problematic devices; PacketFence c…", "language": "Perl", "languageColor": "#0298c3", - "stars": "849", - "forks": "226", + "stars": "1,388", + "forks": "291", "addStars": "6", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/453972?s=40&v=4", - "name": "tseemann", - "url": "https://github.com/tseemann" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/63959?s=40&v=4", - "name": "peterjc", - "url": "https://github.com/peterjc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/566823?s=40&v=4", - "name": "standage", - "url": "https://github.com/standage" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/291551?s=40&v=4", - "name": "sjackman", - "url": "https://github.com/sjackman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2942215?s=40&v=4", - "name": "smsaladi", - "url": "https://github.com/smsaladi" - } - ] - }, - { - "title": "SpiderLabs/owasp-modsecurity-crs", - "url": "https://github.com/SpiderLabs/owasp-modsecurity-crs", - "description": "OWASP ModSecurity Core Rule Set (CRS) Project (Official Repository)", - "language": "Perl", - "languageColor": "#0298c3", - "stars": "2,450", - "forks": "727", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3464056?s=40&v=4", - "name": "lifeforms", - "url": "https://github.com/lifeforms" + "avatar": "https://avatars.githubusercontent.com/u/2727473?s=40&v=4", + "name": "jrouzierinverse", + "url": "https://github.com/jrouzierinverse" }, { - "avatar": "https://avatars.githubusercontent.com/u/618722?s=40&v=4", - "name": "dune73", - "url": "https://github.com/dune73" + "avatar": "https://avatars.githubusercontent.com/u/3857942?s=40&v=4", + "name": "julsemaan", + "url": "https://github.com/julsemaan" }, { - "avatar": "https://avatars.githubusercontent.com/u/241785?s=40&v=4", - "name": "fgsch", - "url": "https://github.com/fgsch" + "avatar": "https://avatars.githubusercontent.com/u/1553962?s=40&v=4", + "name": "fdurand", + "url": "https://github.com/fdurand" }, { - "avatar": "https://avatars.githubusercontent.com/u/4632287?s=40&v=4", - "name": "csanders-git", - "url": "https://github.com/csanders-git" + "avatar": "https://avatars.githubusercontent.com/u/3904468?s=40&v=4", + "name": "satkunas", + "url": "https://github.com/satkunas" }, { - "avatar": "https://avatars.githubusercontent.com/u/12847733?s=40&v=4", - "name": "spartantri", - "url": "https://github.com/spartantri" + "avatar": "https://avatars.githubusercontent.com/u/10830260?s=40&v=4", + "name": "nqb", + "url": "https://github.com/nqb" } ] }, @@ -498,39 +424,76 @@ ] }, { - "title": "LMS-Community/slimserver", - "url": "https://github.com/LMS-Community/slimserver", - "description": "Server for Squeezebox and compatible players. This server is also called Lyrion Music Server.", + "title": "tseemann/prokka", + "url": "https://github.com/tseemann/prokka", + "description": "⚡ ♒ Rapid prokaryotic genome annotation", "language": "Perl", "languageColor": "#0298c3", - "stars": "1,202", - "forks": "299", - "addStars": "1", + "stars": "849", + "forks": "226", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/453972?s=40&v=4", + "name": "tseemann", + "url": "https://github.com/tseemann" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/63959?s=40&v=4", + "name": "peterjc", + "url": "https://github.com/peterjc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/566823?s=40&v=4", + "name": "standage", + "url": "https://github.com/standage" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/291551?s=40&v=4", + "name": "sjackman", + "url": "https://github.com/sjackman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2942215?s=40&v=4", + "name": "smsaladi", + "url": "https://github.com/smsaladi" + } + ] + }, + { + "title": "jimsalterjrs/sanoid", + "url": "https://github.com/jimsalterjrs/sanoid", + "description": "These are policy-driven snapshot management and replication tools which use OpenZFS for underlying next-gen storage. (Btrfs support plans are shelved unless and until btrfs becomes reliable.)", + "language": "Perl", + "languageColor": "#0298c3", + "stars": "3,141", + "forks": "308", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1515327?s=40&v=4", - "name": "mherger", - "url": "https://github.com/mherger" + "avatar": "https://avatars.githubusercontent.com/u/1280796?s=40&v=4", + "name": "jimsalterjrs", + "url": "https://github.com/jimsalterjrs" }, { - "avatar": "https://avatars.githubusercontent.com/u/1750332?s=40&v=4", - "name": "triode", - "url": "https://github.com/triode" + "avatar": "https://avatars.githubusercontent.com/u/12198141?s=40&v=4", + "name": "phreaker0", + "url": "https://github.com/phreaker0" }, { - "avatar": "https://avatars.githubusercontent.com/u/1647178?s=40&v=4", - "name": "logitech-replication", - "url": "https://github.com/logitech-replication" + "avatar": "https://avatars.githubusercontent.com/u/13137622?s=40&v=4", + "name": "shodanshok", + "url": "https://github.com/shodanshok" }, { - "avatar": "https://avatars.githubusercontent.com/u/9712599?s=40&v=4", - "name": "philippe44", - "url": "https://github.com/philippe44" + "avatar": "https://avatars.githubusercontent.com/u/4007992?s=40&v=4", + "name": "LordAro", + "url": "https://github.com/LordAro" }, { - "avatar": "https://avatars.githubusercontent.com/u/2789989?s=40&v=4", - "name": "michaelherger", - "url": "https://github.com/michaelherger" + "avatar": "https://avatars.githubusercontent.com/u/113383?s=40&v=4", + "name": "rlaager", + "url": "https://github.com/rlaager" } ] } diff --git a/data/weekly/perl.xml b/data/weekly/perl.xml index c8c7a3d498bc..0f683a035ce9 100644 --- a/data/weekly/perl.xml +++ b/data/weekly/perl.xml @@ -3,7 +3,7 @@ GitHub Perl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Perl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT brendangregg/FlameGraph https://github.com/brendangregg/FlameGraph @@ -49,7 +49,7 @@ https://github.com/AlDanial/cloc Perl #0298c3 - 19,730 + 19,734 1,022 60 @@ -137,40 +137,40 @@ - inverse-inc/packetfence - https://github.com/inverse-inc/packetfence - PacketFence is a fully supported, trusted, Free and Open Source network access control (NAC) solution. Boasting an impressive feature set including a captive-portal for registration and remediation, centralized wired and wireless management, powerful BYOD management options, 802.1X support, layer-2 isolation of problematic devices; PacketFence c… - https://github.com/inverse-inc/packetfence + adrienverge/openfortivpn + https://github.com/adrienverge/openfortivpn + Client for PPP+TLS VPN tunnel services + https://github.com/adrienverge/openfortivpn Perl #0298c3 - 1,388 - 291 - 6 + 2,746 + 322 + 12 - https://avatars.githubusercontent.com/u/2727473?s=40&v=4 - jrouzierinverse - https://github.com/jrouzierinverse + https://avatars.githubusercontent.com/u/3234522?s=40&v=4 + DimitriPapadopoulos + https://github.com/DimitriPapadopoulos - https://avatars.githubusercontent.com/u/3857942?s=40&v=4 - julsemaan - https://github.com/julsemaan + https://avatars.githubusercontent.com/u/11367971?s=40&v=4 + mrbaseman + https://github.com/mrbaseman - https://avatars.githubusercontent.com/u/1553962?s=40&v=4 - fdurand - https://github.com/fdurand + https://avatars.githubusercontent.com/u/5244945?s=40&v=4 + adrienverge + https://github.com/adrienverge - https://avatars.githubusercontent.com/u/3904468?s=40&v=4 - satkunas - https://github.com/satkunas + https://avatars.githubusercontent.com/u/92695?s=40&v=4 + lkundrak + https://github.com/lkundrak - https://avatars.githubusercontent.com/u/10830260?s=40&v=4 - nqb - https://github.com/nqb + https://avatars.githubusercontent.com/u/1729331?s=40&v=4 + martinetd + https://github.com/martinetd @@ -181,7 +181,7 @@ https://github.com/ocpi/ocpi Perl #0298c3 - 456 + 457 205 1 @@ -212,6 +212,44 @@ + + Difegue/LANraragi + https://github.com/Difegue/LANraragi + Web application for archival and reading of manga/doujinshi. Lightweight and Docker-ready for NAS/servers. + https://github.com/Difegue/LANraragi + Perl + #0298c3 + 2,270 + 160 + 17 + + + https://avatars.githubusercontent.com/u/8237712?s=40&v=4 + Difegue + https://github.com/Difegue + + + https://avatars.githubusercontent.com/u/124937394?s=40&v=4 + siliconfeces + https://github.com/siliconfeces + + + https://avatars.githubusercontent.com/u/10560038?s=40&v=4 + xiazeyu + https://github.com/xiazeyu + + + https://avatars.githubusercontent.com/u/6740726?s=40&v=4 + Bl4Cc4t + https://github.com/Bl4Cc4t + + + https://avatars.githubusercontent.com/u/1447794?s=40&v=4 + CirnoT + https://github.com/CirnoT + + + awakecoding/FreeRDP-Manuals https://github.com/awakecoding/FreeRDP-Manuals @@ -283,82 +321,6 @@ - - adrienverge/openfortivpn - https://github.com/adrienverge/openfortivpn - Client for PPP+TLS VPN tunnel services - https://github.com/adrienverge/openfortivpn - Perl - #0298c3 - 2,746 - 322 - 12 - - - https://avatars.githubusercontent.com/u/3234522?s=40&v=4 - DimitriPapadopoulos - https://github.com/DimitriPapadopoulos - - - https://avatars.githubusercontent.com/u/11367971?s=40&v=4 - mrbaseman - https://github.com/mrbaseman - - - https://avatars.githubusercontent.com/u/5244945?s=40&v=4 - adrienverge - https://github.com/adrienverge - - - https://avatars.githubusercontent.com/u/92695?s=40&v=4 - lkundrak - https://github.com/lkundrak - - - https://avatars.githubusercontent.com/u/1729331?s=40&v=4 - martinetd - https://github.com/martinetd - - - - - Difegue/LANraragi - https://github.com/Difegue/LANraragi - Web application for archival and reading of manga/doujinshi. Lightweight and Docker-ready for NAS/servers. - https://github.com/Difegue/LANraragi - Perl - #0298c3 - 2,269 - 160 - 17 - - - https://avatars.githubusercontent.com/u/8237712?s=40&v=4 - Difegue - https://github.com/Difegue - - - https://avatars.githubusercontent.com/u/124937394?s=40&v=4 - siliconfeces - https://github.com/siliconfeces - - - https://avatars.githubusercontent.com/u/10560038?s=40&v=4 - xiazeyu - https://github.com/xiazeyu - - - https://avatars.githubusercontent.com/u/6740726?s=40&v=4 - Bl4Cc4t - https://github.com/Bl4Cc4t - - - https://avatars.githubusercontent.com/u/1447794?s=40&v=4 - CirnoT - https://github.com/CirnoT - - - darold/pgbadger https://github.com/darold/pgbadger @@ -366,7 +328,7 @@ https://github.com/darold/pgbadger Perl #0298c3 - 3,567 + 3,569 353 5 @@ -398,78 +360,40 @@ - tseemann/prokka - https://github.com/tseemann/prokka - ⚡ ♒ Rapid prokaryotic genome annotation - https://github.com/tseemann/prokka + inverse-inc/packetfence + https://github.com/inverse-inc/packetfence + PacketFence is a fully supported, trusted, Free and Open Source network access control (NAC) solution. Boasting an impressive feature set including a captive-portal for registration and remediation, centralized wired and wireless management, powerful BYOD management options, 802.1X support, layer-2 isolation of problematic devices; PacketFence c… + https://github.com/inverse-inc/packetfence Perl #0298c3 - 849 - 226 + 1,388 + 291 6 - https://avatars.githubusercontent.com/u/453972?s=40&v=4 - tseemann - https://github.com/tseemann - - - https://avatars.githubusercontent.com/u/63959?s=40&v=4 - peterjc - https://github.com/peterjc - - - https://avatars.githubusercontent.com/u/566823?s=40&v=4 - standage - https://github.com/standage - - - https://avatars.githubusercontent.com/u/291551?s=40&v=4 - sjackman - https://github.com/sjackman - - - https://avatars.githubusercontent.com/u/2942215?s=40&v=4 - smsaladi - https://github.com/smsaladi - - - - - SpiderLabs/owasp-modsecurity-crs - https://github.com/SpiderLabs/owasp-modsecurity-crs - OWASP ModSecurity Core Rule Set (CRS) Project (Official Repository) - https://github.com/SpiderLabs/owasp-modsecurity-crs - Perl - #0298c3 - 2,450 - 727 - 1 - - - https://avatars.githubusercontent.com/u/3464056?s=40&v=4 - lifeforms - https://github.com/lifeforms + https://avatars.githubusercontent.com/u/2727473?s=40&v=4 + jrouzierinverse + https://github.com/jrouzierinverse - https://avatars.githubusercontent.com/u/618722?s=40&v=4 - dune73 - https://github.com/dune73 + https://avatars.githubusercontent.com/u/3857942?s=40&v=4 + julsemaan + https://github.com/julsemaan - https://avatars.githubusercontent.com/u/241785?s=40&v=4 - fgsch - https://github.com/fgsch + https://avatars.githubusercontent.com/u/1553962?s=40&v=4 + fdurand + https://github.com/fdurand - https://avatars.githubusercontent.com/u/4632287?s=40&v=4 - csanders-git - https://github.com/csanders-git + https://avatars.githubusercontent.com/u/3904468?s=40&v=4 + satkunas + https://github.com/satkunas - https://avatars.githubusercontent.com/u/12847733?s=40&v=4 - spartantri - https://github.com/spartantri + https://avatars.githubusercontent.com/u/10830260?s=40&v=4 + nqb + https://github.com/nqb @@ -512,40 +436,78 @@ - LMS-Community/slimserver - https://github.com/LMS-Community/slimserver - Server for Squeezebox and compatible players. This server is also called Lyrion Music Server. - https://github.com/LMS-Community/slimserver + tseemann/prokka + https://github.com/tseemann/prokka + ⚡ ♒ Rapid prokaryotic genome annotation + https://github.com/tseemann/prokka Perl #0298c3 - 1,202 - 299 - 1 + 849 + 226 + 6 + + + https://avatars.githubusercontent.com/u/453972?s=40&v=4 + tseemann + https://github.com/tseemann + + + https://avatars.githubusercontent.com/u/63959?s=40&v=4 + peterjc + https://github.com/peterjc + + + https://avatars.githubusercontent.com/u/566823?s=40&v=4 + standage + https://github.com/standage + + + https://avatars.githubusercontent.com/u/291551?s=40&v=4 + sjackman + https://github.com/sjackman + + + https://avatars.githubusercontent.com/u/2942215?s=40&v=4 + smsaladi + https://github.com/smsaladi + + + + + jimsalterjrs/sanoid + https://github.com/jimsalterjrs/sanoid + These are policy-driven snapshot management and replication tools which use OpenZFS for underlying next-gen storage. (Btrfs support plans are shelved unless and until btrfs becomes reliable.) + https://github.com/jimsalterjrs/sanoid + Perl + #0298c3 + 3,141 + 308 + 9 - https://avatars.githubusercontent.com/u/1515327?s=40&v=4 - mherger - https://github.com/mherger + https://avatars.githubusercontent.com/u/1280796?s=40&v=4 + jimsalterjrs + https://github.com/jimsalterjrs - https://avatars.githubusercontent.com/u/1750332?s=40&v=4 - triode - https://github.com/triode + https://avatars.githubusercontent.com/u/12198141?s=40&v=4 + phreaker0 + https://github.com/phreaker0 - https://avatars.githubusercontent.com/u/1647178?s=40&v=4 - logitech-replication - https://github.com/logitech-replication + https://avatars.githubusercontent.com/u/13137622?s=40&v=4 + shodanshok + https://github.com/shodanshok - https://avatars.githubusercontent.com/u/9712599?s=40&v=4 - philippe44 - https://github.com/philippe44 + https://avatars.githubusercontent.com/u/4007992?s=40&v=4 + LordAro + https://github.com/LordAro - https://avatars.githubusercontent.com/u/2789989?s=40&v=4 - michaelherger - https://github.com/michaelherger + https://avatars.githubusercontent.com/u/113383?s=40&v=4 + rlaager + https://github.com/rlaager diff --git a/data/weekly/php.json b/data/weekly/php.json index aaa05370e667..e3354c432e45 100644 --- a/data/weekly/php.json +++ b/data/weekly/php.json @@ -2,7 +2,7 @@ "title": "GitHub Php Languages Weekly Trending", "description": "Weekly Trending of Php Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "laravel/framework", @@ -10,7 +10,7 @@ "description": "The Laravel Framework.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "32,659", + "stars": "32,662", "forks": "11,040", "addStars": "126", "contributors": [ @@ -47,7 +47,7 @@ "description": "SecLists is the security tester's companion. It's a collection of multiple types of lists used during security assessments, collected in one place. List types include usernames, passwords, URLs, sensitive data patterns, fuzzing payloads, web shells, and many more.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "58,819", + "stars": "58,822", "forks": "23,945", "addStars": "275", "contributors": [ @@ -79,8 +79,8 @@ "description": "WordPress Develop, Git-ified. Synced from git://develop.git.wordpress.org/, including branches and tags! This repository is just a mirror of the WordPress subversion repository. Please include a link to a pre-existing ticket on https://core.trac.wordpress.org/ with every pull request.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "2,601", - "forks": "2,643", + "stars": "2,602", + "forks": "2,645", "addStars": "18", "contributors": [ { @@ -110,51 +110,14 @@ } ] }, - { - "title": "PHPOffice/PHPWord", - "url": "https://github.com/PHPOffice/PHPWord", - "description": "A pure PHP library for reading and writing word processing documents", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "7,286", - "forks": "2,697", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6959414?s=40&v=4", - "name": "troosan", - "url": "https://github.com/troosan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15313?s=40&v=4", - "name": "ivanlanin", - "url": "https://github.com/ivanlanin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1533248?s=40&v=4", - "name": "Progi1984", - "url": "https://github.com/Progi1984" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/671923?s=40&v=4", - "name": "gabrielbull", - "url": "https://github.com/gabrielbull" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/72603?s=40&v=4", - "name": "PowerKiKi", - "url": "https://github.com/PowerKiKi" - } - ] - }, { "title": "BookStackApp/BookStack", "url": "https://github.com/BookStackApp/BookStack", "description": "A platform to create documentation/wiki content built with PHP & Laravel", "language": "PHP", "languageColor": "#4F5D95", - "stars": "15,451", - "forks": "1,939", + "stars": "15,455", + "forks": "1,940", "addStars": "78", "contributors": [ { @@ -185,39 +148,39 @@ ] }, { - "title": "glpi-project/glpi", - "url": "https://github.com/glpi-project/glpi", - "description": "GLPI is a Free Asset and IT Management Software package, Data center management, ITIL Service Desk, licenses tracking and software auditing.", + "title": "PHPOffice/PHPWord", + "url": "https://github.com/PHPOffice/PHPWord", + "description": "A pure PHP library for reading and writing word processing documents", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,339", - "forks": "1,295", - "addStars": "32", + "stars": "7,287", + "forks": "2,697", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4816762?s=40&v=4", - "name": "moyooo", - "url": "https://github.com/moyooo" + "avatar": "https://avatars.githubusercontent.com/u/6959414?s=40&v=4", + "name": "troosan", + "url": "https://github.com/troosan" }, { - "avatar": "https://avatars.githubusercontent.com/u/33253653?s=40&v=4", - "name": "cedric-anne", - "url": "https://github.com/cedric-anne" + "avatar": "https://avatars.githubusercontent.com/u/15313?s=40&v=4", + "name": "ivanlanin", + "url": "https://github.com/ivanlanin" }, { - "avatar": "https://avatars.githubusercontent.com/u/224733?s=40&v=4", - "name": "trasher", - "url": "https://github.com/trasher" + "avatar": "https://avatars.githubusercontent.com/u/1533248?s=40&v=4", + "name": "Progi1984", + "url": "https://github.com/Progi1984" }, { - "avatar": "https://avatars.githubusercontent.com/u/418844?s=40&v=4", - "name": "orthagh", - "url": "https://github.com/orthagh" + "avatar": "https://avatars.githubusercontent.com/u/671923?s=40&v=4", + "name": "gabrielbull", + "url": "https://github.com/gabrielbull" }, { - "avatar": "https://avatars.githubusercontent.com/u/17678637?s=40&v=4", - "name": "cconard96", - "url": "https://github.com/cconard96" + "avatar": "https://avatars.githubusercontent.com/u/72603?s=40&v=4", + "name": "PowerKiKi", + "url": "https://github.com/PowerKiKi" } ] }, @@ -227,8 +190,8 @@ "description": "A free open source IT asset/license management system", "language": "PHP", "languageColor": "#4F5D95", - "stars": "11,176", - "forks": "3,196", + "stars": "11,178", + "forks": "3,197", "addStars": "53", "contributors": [ { @@ -296,7 +259,7 @@ "description": "Twig, the flexible, fast, and secure template language for PHP", "language": "PHP", "languageColor": "#4F5D95", - "stars": "8,192", + "stars": "8,193", "forks": "1,256", "addStars": "18", "contributors": [ @@ -327,45 +290,13 @@ } ] }, - { - "title": "PrivateBin/PrivateBin", - "url": "https://github.com/PrivateBin/PrivateBin", - "description": "A minimalist, open source online pastebin where the server has zero knowledge of pasted data. Data is encrypted/decrypted in the browser using 256 bits AES.", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "6,562", - "forks": "815", - "addStars": "32", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1017622?s=40&v=4", - "name": "elrido", - "url": "https://github.com/elrido" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/72346835?s=40&v=4", - "name": "privatebin-translator", - "url": "https://github.com/privatebin-translator" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11966684?s=40&v=4", - "name": "rugk", - "url": "https://github.com/rugk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10842569?s=40&v=4", - "name": "Haocen", - "url": "https://github.com/Haocen" - } - ] - }, { "title": "Leantime/leantime", "url": "https://github.com/Leantime/leantime", "description": "Leantime is a goals focused project management system for non-project managers. Building with ADHD, Autism, and dyslexia in mind.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,784", + "stars": "4,787", "forks": "597", "addStars": "190", "contributors": [ @@ -397,140 +328,76 @@ ] }, { - "title": "PHPMailer/PHPMailer", - "url": "https://github.com/PHPMailer/PHPMailer", - "description": "The classic email sending library for PHP", + "title": "glpi-project/glpi", + "url": "https://github.com/glpi-project/glpi", + "description": "GLPI is a Free Asset and IT Management Software package, Data center management, ITIL Service Desk, licenses tracking and software auditing.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "21,102", - "forks": "9,738", - "addStars": "38", + "stars": "4,339", + "forks": "1,297", + "addStars": "32", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/81561?s=40&v=4", - "name": "Synchro", - "url": "https://github.com/Synchro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/663378?s=40&v=4", - "name": "jrfnl", - "url": "https://github.com/jrfnl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/84076?s=40&v=4", - "name": "jimjag", - "url": "https://github.com/jimjag" + "avatar": "https://avatars.githubusercontent.com/u/4816762?s=40&v=4", + "name": "moyooo", + "url": "https://github.com/moyooo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1116761?s=40&v=4", - "name": "fbonzon", - "url": "https://github.com/fbonzon" - } - ] - }, - { - "title": "ellite/Wallos", - "url": "https://github.com/ellite/Wallos", - "description": "Wallos: Open-Source Personal Subscription Tracker", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "3,133", - "forks": "140", - "addStars": "69", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3831180?s=40&v=4", - "name": "ellite", - "url": "https://github.com/ellite" + "avatar": "https://avatars.githubusercontent.com/u/33253653?s=40&v=4", + "name": "cedric-anne", + "url": "https://github.com/cedric-anne" }, { - "avatar": "https://avatars.githubusercontent.com/u/25246962?s=40&v=4", - "name": "devzwf", - "url": "https://github.com/devzwf" + "avatar": "https://avatars.githubusercontent.com/u/224733?s=40&v=4", + "name": "trasher", + "url": "https://github.com/trasher" }, { - "avatar": "https://avatars.githubusercontent.com/u/177120?s=40&v=4", - "name": "ttam", - "url": "https://github.com/ttam" + "avatar": "https://avatars.githubusercontent.com/u/418844?s=40&v=4", + "name": "orthagh", + "url": "https://github.com/orthagh" }, { - "avatar": "https://avatars.githubusercontent.com/u/39442192?s=40&v=4", - "name": "RoguedBear", - "url": "https://github.com/RoguedBear" + "avatar": "https://avatars.githubusercontent.com/u/17678637?s=40&v=4", + "name": "cconard96", + "url": "https://github.com/cconard96" } ] }, { - "title": "PrestaShop/PrestaShop", - "url": "https://github.com/PrestaShop/PrestaShop", - "description": "PrestaShop is the universal open-source software platform to build your e-commerce solution.", + "title": "PHPOffice/PhpSpreadsheet", + "url": "https://github.com/PHPOffice/PhpSpreadsheet", + "description": "A pure PHP library for reading and writing spreadsheet files", "language": "PHP", "languageColor": "#4F5D95", - "stars": "8,238", - "forks": "4,812", - "addStars": "10", + "stars": "13,368", + "forks": "3,473", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13801017?s=40&v=4", - "name": "jolelievre", - "url": "https://github.com/jolelievre" + "avatar": "https://avatars.githubusercontent.com/u/10341515?s=40&v=4", + "name": "oleibman", + "url": "https://github.com/oleibman" }, { - "avatar": "https://avatars.githubusercontent.com/u/3099551?s=40&v=4", - "name": "gRoussac", - "url": "https://github.com/gRoussac" + "avatar": "https://avatars.githubusercontent.com/u/72603?s=40&v=4", + "name": "PowerKiKi", + "url": "https://github.com/PowerKiKi" }, { - "avatar": "https://avatars.githubusercontent.com/u/31609858?s=40&v=4", - "name": "zuk3975", - "url": "https://github.com/zuk3975" + "avatar": "https://avatars.githubusercontent.com/u/770298?s=40&v=4", + "name": "MarkBaker", + "url": "https://github.com/MarkBaker" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" }, { "avatar": "https://avatars.githubusercontent.com/u/1533248?s=40&v=4", "name": "Progi1984", "url": "https://github.com/Progi1984" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2787216?s=40&v=4", - "name": "rGaillard", - "url": "https://github.com/rGaillard" - } - ] - }, - { - "title": "pterodactyl/panel", - "url": "https://github.com/pterodactyl/panel", - "description": "Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users.", - "language": "PHP", - "languageColor": "#4F5D95", - "stars": "6,828", - "forks": "1,741", - "addStars": "34", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/418376?s=40&v=4", - "name": "DaneEveritt", - "url": "https://github.com/DaneEveritt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26559841?s=40&v=4", - "name": "matthewpi", - "url": "https://github.com/matthewpi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1710904?s=40&v=4", - "name": "schrej", - "url": "https://github.com/schrej" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1757840?s=40&v=4", - "name": "notAreYouScared", - "url": "https://github.com/notAreYouScared" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1296882?s=40&v=4", - "name": "lancepioch", - "url": "https://github.com/lancepioch" } ] }, @@ -572,204 +439,209 @@ ] }, { - "title": "kanboard/kanboard", - "url": "https://github.com/kanboard/kanboard", - "description": "Kanban project management software", + "title": "phpipam/phpipam", + "url": "https://github.com/phpipam/phpipam", + "description": "phpipam development repository", "language": "PHP", "languageColor": "#4F5D95", - "stars": "8,532", - "forks": "1,809", - "addStars": "19", + "stars": "2,268", + "forks": "743", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/323546?s=40&v=4", - "name": "fguillot", - "url": "https://github.com/fguillot" + "avatar": "https://avatars.githubusercontent.com/u/12947690?s=40&v=4", + "name": "phpipam", + "url": "https://github.com/phpipam" }, { - "avatar": "https://avatars.githubusercontent.com/u/1821064?s=40&v=4", - "name": "desendijital", - "url": "https://github.com/desendijital" + "avatar": "https://avatars.githubusercontent.com/u/18753294?s=40&v=4", + "name": "GaryAllan", + "url": "https://github.com/GaryAllan" }, { - "avatar": "https://avatars.githubusercontent.com/u/671793?s=40&v=4", - "name": "eSkiSo", - "url": "https://github.com/eSkiSo" + "avatar": "https://avatars.githubusercontent.com/u/20866025?s=40&v=4", + "name": "mrbrown8", + "url": "https://github.com/mrbrown8" }, { - "avatar": "https://avatars.githubusercontent.com/u/1961634?s=40&v=4", - "name": "TimoStahl", - "url": "https://github.com/TimoStahl" + "avatar": "https://avatars.githubusercontent.com/u/2527057?s=40&v=4", + "name": "dcec", + "url": "https://github.com/dcec" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5089973?s=40&v=4", + "name": "malaiam", + "url": "https://github.com/malaiam" } ] }, { - "title": "DenverCoder1/github-readme-streak-stats", - "url": "https://github.com/DenverCoder1/github-readme-streak-stats", - "description": "🔥 Stay motivated and show off your contribution streak! 🌟 Display your total contributions, current streak, and longest streak on your GitHub profile README", + "title": "PrestaShop/PrestaShop", + "url": "https://github.com/PrestaShop/PrestaShop", + "description": "PrestaShop is the universal open-source software platform to build your e-commerce solution.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,889", - "forks": "766", - "addStars": "34", + "stars": "8,238", + "forks": "4,813", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20955511?s=40&v=4", - "name": "DenverCoder1", - "url": "https://github.com/DenverCoder1" + "avatar": "https://avatars.githubusercontent.com/u/13801017?s=40&v=4", + "name": "jolelievre", + "url": "https://github.com/jolelievre" }, { - "avatar": "https://avatars.githubusercontent.com/u/30869493?s=40&v=4", - "name": "schmelto", - "url": "https://github.com/schmelto" + "avatar": "https://avatars.githubusercontent.com/u/3099551?s=40&v=4", + "name": "gRoussac", + "url": "https://github.com/gRoussac" }, { - "avatar": "https://avatars.githubusercontent.com/u/86386385?s=40&v=4", - "name": "FajarKim", - "url": "https://github.com/FajarKim" + "avatar": "https://avatars.githubusercontent.com/u/31609858?s=40&v=4", + "name": "zuk3975", + "url": "https://github.com/zuk3975" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1533248?s=40&v=4", + "name": "Progi1984", + "url": "https://github.com/Progi1984" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2787216?s=40&v=4", + "name": "rGaillard", + "url": "https://github.com/rGaillard" } ] }, { - "title": "PHPOffice/PhpSpreadsheet", - "url": "https://github.com/PHPOffice/PhpSpreadsheet", - "description": "A pure PHP library for reading and writing spreadsheet files", + "title": "PrivateBin/PrivateBin", + "url": "https://github.com/PrivateBin/PrivateBin", + "description": "A minimalist, open source online pastebin where the server has zero knowledge of pasted data. Data is encrypted/decrypted in the browser using 256 bits AES.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "13,366", - "forks": "3,474", - "addStars": "16", + "stars": "6,564", + "forks": "815", + "addStars": "32", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10341515?s=40&v=4", - "name": "oleibman", - "url": "https://github.com/oleibman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/72603?s=40&v=4", - "name": "PowerKiKi", - "url": "https://github.com/PowerKiKi" + "avatar": "https://avatars.githubusercontent.com/u/1017622?s=40&v=4", + "name": "elrido", + "url": "https://github.com/elrido" }, { - "avatar": "https://avatars.githubusercontent.com/u/770298?s=40&v=4", - "name": "MarkBaker", - "url": "https://github.com/MarkBaker" + "avatar": "https://avatars.githubusercontent.com/u/72346835?s=40&v=4", + "name": "privatebin-translator", + "url": "https://github.com/privatebin-translator" }, { - "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", - "name": "traviscibot", - "url": "https://github.com/traviscibot" + "avatar": "https://avatars.githubusercontent.com/u/11966684?s=40&v=4", + "name": "rugk", + "url": "https://github.com/rugk" }, { - "avatar": "https://avatars.githubusercontent.com/u/1533248?s=40&v=4", - "name": "Progi1984", - "url": "https://github.com/Progi1984" + "avatar": "https://avatars.githubusercontent.com/u/10842569?s=40&v=4", + "name": "Haocen", + "url": "https://github.com/Haocen" } ] }, { - "title": "rectorphp/rector", - "url": "https://github.com/rectorphp/rector", - "description": "Instant Upgrades and Automated Refactoring of any PHP 5.3+ code", + "title": "ellite/Wallos", + "url": "https://github.com/ellite/Wallos", + "description": "Wallos: Open-Source Personal Subscription Tracker", "language": "PHP", "languageColor": "#4F5D95", - "stars": "8,767", - "forks": "687", - "addStars": "29", + "stars": "3,136", + "forks": "140", + "addStars": "69", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/924196?s=40&v=4", - "name": "TomasVotruba", - "url": "https://github.com/TomasVotruba" + "avatar": "https://avatars.githubusercontent.com/u/3831180?s=40&v=4", + "name": "ellite", + "url": "https://github.com/ellite" }, { - "avatar": "https://avatars.githubusercontent.com/u/459648?s=40&v=4", - "name": "samsonasik", - "url": "https://github.com/samsonasik" + "avatar": "https://avatars.githubusercontent.com/u/25246962?s=40&v=4", + "name": "devzwf", + "url": "https://github.com/devzwf" }, { - "avatar": "https://avatars.githubusercontent.com/u/16328050?s=40&v=4", - "name": "carusogabriel", - "url": "https://github.com/carusogabriel" + "avatar": "https://avatars.githubusercontent.com/u/177120?s=40&v=4", + "name": "ttam", + "url": "https://github.com/ttam" }, { - "avatar": "https://avatars.githubusercontent.com/u/310134?s=40&v=4", - "name": "gnutix", - "url": "https://github.com/gnutix" + "avatar": "https://avatars.githubusercontent.com/u/39442192?s=40&v=4", + "name": "RoguedBear", + "url": "https://github.com/RoguedBear" } ] }, { - "title": "phpipam/phpipam", - "url": "https://github.com/phpipam/phpipam", - "description": "phpipam development repository", + "title": "magento/magento2", + "url": "https://github.com/magento/magento2", + "description": "Prior to making any Submission(s), you must sign an Adobe Contributor License Agreement, available here at: https://opensource.adobe.com/cla.html. All Submissions you make to Adobe Inc. and its affiliates, assigns and subsidiaries (collectively “Adobe”) are subject to the terms of the Adobe Contributor License Agreement.", "language": "PHP", "languageColor": "#4F5D95", - "stars": "2,268", - "forks": "743", + "stars": "11,573", + "forks": "9,319", "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12947690?s=40&v=4", - "name": "phpipam", - "url": "https://github.com/phpipam" + "avatar": "https://avatars.githubusercontent.com/u/31669971?s=40&v=4", + "name": "magento-engcom-team", + "url": "https://github.com/magento-engcom-team" }, { - "avatar": "https://avatars.githubusercontent.com/u/18753294?s=40&v=4", - "name": "GaryAllan", - "url": "https://github.com/GaryAllan" + "avatar": "https://avatars.githubusercontent.com/u/9741905?s=40&v=4", + "name": "slavvka", + "url": "https://github.com/slavvka" }, { - "avatar": "https://avatars.githubusercontent.com/u/20866025?s=40&v=4", - "name": "mrbrown8", - "url": "https://github.com/mrbrown8" + "avatar": "https://avatars.githubusercontent.com/u/12795788?s=40&v=4", + "name": "zakdma", + "url": "https://github.com/zakdma" }, { - "avatar": "https://avatars.githubusercontent.com/u/2527057?s=40&v=4", - "name": "dcec", - "url": "https://github.com/dcec" + "avatar": "https://avatars.githubusercontent.com/u/7325075?s=40&v=4", + "name": "okorshenko", + "url": "https://github.com/okorshenko" }, { - "avatar": "https://avatars.githubusercontent.com/u/5089973?s=40&v=4", - "name": "malaiam", - "url": "https://github.com/malaiam" + "avatar": "https://avatars.githubusercontent.com/u/1119622?s=40&v=4", + "name": "rganin", + "url": "https://github.com/rganin" } ] }, { - "title": "pfsense/pfsense", - "url": "https://github.com/pfsense/pfsense", - "description": "Main repository for pfSense", + "title": "rectorphp/rector", + "url": "https://github.com/rectorphp/rector", + "description": "Instant Upgrades and Automated Refactoring of any PHP 5.3+ code", "language": "PHP", "languageColor": "#4F5D95", - "stars": "4,941", - "forks": "1,483", - "addStars": "12", + "stars": "8,767", + "forks": "687", + "addStars": "29", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/149872?s=40&v=4", - "name": "rbgarga", - "url": "https://github.com/rbgarga" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/174434?s=40&v=4", - "name": "jim-p", - "url": "https://github.com/jim-p" + "avatar": "https://avatars.githubusercontent.com/u/924196?s=40&v=4", + "name": "TomasVotruba", + "url": "https://github.com/TomasVotruba" }, { - "avatar": "https://avatars.githubusercontent.com/u/11539696?s=40&v=4", - "name": "sbeaver-netgate", - "url": "https://github.com/sbeaver-netgate" + "avatar": "https://avatars.githubusercontent.com/u/459648?s=40&v=4", + "name": "samsonasik", + "url": "https://github.com/samsonasik" }, { - "avatar": "https://avatars.githubusercontent.com/u/185253?s=40&v=4", - "name": "ermal", - "url": "https://github.com/ermal" + "avatar": "https://avatars.githubusercontent.com/u/16328050?s=40&v=4", + "name": "carusogabriel", + "url": "https://github.com/carusogabriel" }, { - "avatar": "https://avatars.githubusercontent.com/u/54282673?s=40&v=4", - "name": "vktg", - "url": "https://github.com/vktg" + "avatar": "https://avatars.githubusercontent.com/u/310134?s=40&v=4", + "name": "gnutix", + "url": "https://github.com/gnutix" } ] }, @@ -779,7 +651,7 @@ "description": "Sends your logs to files, sockets, inboxes, databases and various web services", "language": "PHP", "languageColor": "#4F5D95", - "stars": "21,043", + "stars": "21,044", "forks": "1,905", "addStars": "14", "contributors": [ @@ -809,6 +681,38 @@ "url": "https://github.com/akalongman" } ] + }, + { + "title": "kanboard/kanboard", + "url": "https://github.com/kanboard/kanboard", + "description": "Kanban project management software", + "language": "PHP", + "languageColor": "#4F5D95", + "stars": "8,532", + "forks": "1,809", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/323546?s=40&v=4", + "name": "fguillot", + "url": "https://github.com/fguillot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1821064?s=40&v=4", + "name": "desendijital", + "url": "https://github.com/desendijital" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/671793?s=40&v=4", + "name": "eSkiSo", + "url": "https://github.com/eSkiSo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1961634?s=40&v=4", + "name": "TimoStahl", + "url": "https://github.com/TimoStahl" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/php.xml b/data/weekly/php.xml index 4004d5aed964..168164a5773a 100644 --- a/data/weekly/php.xml +++ b/data/weekly/php.xml @@ -3,7 +3,7 @@ GitHub Php Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Php Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT laravel/framework https://github.com/laravel/framework @@ -11,7 +11,7 @@ https://github.com/laravel/framework PHP #4F5D95 - 32,659 + 32,662 11,040 126 @@ -49,7 +49,7 @@ https://github.com/danielmiessler/SecLists PHP #4F5D95 - 58,819 + 58,822 23,945 275 @@ -82,8 +82,8 @@ https://github.com/WordPress/wordpress-develop PHP #4F5D95 - 2,601 - 2,643 + 2,602 + 2,645 18 @@ -113,44 +113,6 @@ - - PHPOffice/PHPWord - https://github.com/PHPOffice/PHPWord - A pure PHP library for reading and writing word processing documents - https://github.com/PHPOffice/PHPWord - PHP - #4F5D95 - 7,286 - 2,697 - 14 - - - https://avatars.githubusercontent.com/u/6959414?s=40&v=4 - troosan - https://github.com/troosan - - - https://avatars.githubusercontent.com/u/15313?s=40&v=4 - ivanlanin - https://github.com/ivanlanin - - - https://avatars.githubusercontent.com/u/1533248?s=40&v=4 - Progi1984 - https://github.com/Progi1984 - - - https://avatars.githubusercontent.com/u/671923?s=40&v=4 - gabrielbull - https://github.com/gabrielbull - - - https://avatars.githubusercontent.com/u/72603?s=40&v=4 - PowerKiKi - https://github.com/PowerKiKi - - - BookStackApp/BookStack https://github.com/BookStackApp/BookStack @@ -158,8 +120,8 @@ https://github.com/BookStackApp/BookStack PHP #4F5D95 - 15,451 - 1,939 + 15,455 + 1,940 78 @@ -190,40 +152,40 @@ - glpi-project/glpi - https://github.com/glpi-project/glpi - GLPI is a Free Asset and IT Management Software package, Data center management, ITIL Service Desk, licenses tracking and software auditing. - https://github.com/glpi-project/glpi + PHPOffice/PHPWord + https://github.com/PHPOffice/PHPWord + A pure PHP library for reading and writing word processing documents + https://github.com/PHPOffice/PHPWord PHP #4F5D95 - 4,339 - 1,295 - 32 + 7,287 + 2,697 + 14 - https://avatars.githubusercontent.com/u/4816762?s=40&v=4 - moyooo - https://github.com/moyooo + https://avatars.githubusercontent.com/u/6959414?s=40&v=4 + troosan + https://github.com/troosan - https://avatars.githubusercontent.com/u/33253653?s=40&v=4 - cedric-anne - https://github.com/cedric-anne + https://avatars.githubusercontent.com/u/15313?s=40&v=4 + ivanlanin + https://github.com/ivanlanin - https://avatars.githubusercontent.com/u/224733?s=40&v=4 - trasher - https://github.com/trasher + https://avatars.githubusercontent.com/u/1533248?s=40&v=4 + Progi1984 + https://github.com/Progi1984 - https://avatars.githubusercontent.com/u/418844?s=40&v=4 - orthagh - https://github.com/orthagh + https://avatars.githubusercontent.com/u/671923?s=40&v=4 + gabrielbull + https://github.com/gabrielbull - https://avatars.githubusercontent.com/u/17678637?s=40&v=4 - cconard96 - https://github.com/cconard96 + https://avatars.githubusercontent.com/u/72603?s=40&v=4 + PowerKiKi + https://github.com/PowerKiKi @@ -234,8 +196,8 @@ https://github.com/snipe/snipe-it PHP #4F5D95 - 11,176 - 3,196 + 11,178 + 3,197 53 @@ -305,7 +267,7 @@ https://github.com/twigphp/Twig PHP #4F5D95 - 8,192 + 8,193 1,256 18 @@ -336,39 +298,6 @@ - - PrivateBin/PrivateBin - https://github.com/PrivateBin/PrivateBin - A minimalist, open source online pastebin where the server has zero knowledge of pasted data. Data is encrypted/decrypted in the browser using 256 bits AES. - https://github.com/PrivateBin/PrivateBin - PHP - #4F5D95 - 6,562 - 815 - 32 - - - https://avatars.githubusercontent.com/u/1017622?s=40&v=4 - elrido - https://github.com/elrido - - - https://avatars.githubusercontent.com/u/72346835?s=40&v=4 - privatebin-translator - https://github.com/privatebin-translator - - - https://avatars.githubusercontent.com/u/11966684?s=40&v=4 - rugk - https://github.com/rugk - - - https://avatars.githubusercontent.com/u/10842569?s=40&v=4 - Haocen - https://github.com/Haocen - - - Leantime/leantime https://github.com/Leantime/leantime @@ -376,7 +305,7 @@ https://github.com/Leantime/leantime PHP #4F5D95 - 4,784 + 4,787 597 190 @@ -408,145 +337,79 @@ - PHPMailer/PHPMailer - https://github.com/PHPMailer/PHPMailer - The classic email sending library for PHP - https://github.com/PHPMailer/PHPMailer + glpi-project/glpi + https://github.com/glpi-project/glpi + GLPI is a Free Asset and IT Management Software package, Data center management, ITIL Service Desk, licenses tracking and software auditing. + https://github.com/glpi-project/glpi PHP #4F5D95 - 21,102 - 9,738 - 38 + 4,339 + 1,297 + 32 - https://avatars.githubusercontent.com/u/81561?s=40&v=4 - Synchro - https://github.com/Synchro - - - https://avatars.githubusercontent.com/u/663378?s=40&v=4 - jrfnl - https://github.com/jrfnl - - - https://avatars.githubusercontent.com/u/84076?s=40&v=4 - jimjag - https://github.com/jimjag - - - https://avatars.githubusercontent.com/u/1116761?s=40&v=4 - fbonzon - https://github.com/fbonzon + https://avatars.githubusercontent.com/u/4816762?s=40&v=4 + moyooo + https://github.com/moyooo - - - - ellite/Wallos - https://github.com/ellite/Wallos - Wallos: Open-Source Personal Subscription Tracker - https://github.com/ellite/Wallos - PHP - #4F5D95 - 3,133 - 140 - 69 - - https://avatars.githubusercontent.com/u/3831180?s=40&v=4 - ellite - https://github.com/ellite + https://avatars.githubusercontent.com/u/33253653?s=40&v=4 + cedric-anne + https://github.com/cedric-anne - https://avatars.githubusercontent.com/u/25246962?s=40&v=4 - devzwf - https://github.com/devzwf + https://avatars.githubusercontent.com/u/224733?s=40&v=4 + trasher + https://github.com/trasher - https://avatars.githubusercontent.com/u/177120?s=40&v=4 - ttam - https://github.com/ttam + https://avatars.githubusercontent.com/u/418844?s=40&v=4 + orthagh + https://github.com/orthagh - https://avatars.githubusercontent.com/u/39442192?s=40&v=4 - RoguedBear - https://github.com/RoguedBear + https://avatars.githubusercontent.com/u/17678637?s=40&v=4 + cconard96 + https://github.com/cconard96 - PrestaShop/PrestaShop - https://github.com/PrestaShop/PrestaShop - PrestaShop is the universal open-source software platform to build your e-commerce solution. - https://github.com/PrestaShop/PrestaShop + PHPOffice/PhpSpreadsheet + https://github.com/PHPOffice/PhpSpreadsheet + A pure PHP library for reading and writing spreadsheet files + https://github.com/PHPOffice/PhpSpreadsheet PHP #4F5D95 - 8,238 - 4,812 - 10 + 13,368 + 3,473 + 16 - https://avatars.githubusercontent.com/u/13801017?s=40&v=4 - jolelievre - https://github.com/jolelievre + https://avatars.githubusercontent.com/u/10341515?s=40&v=4 + oleibman + https://github.com/oleibman - https://avatars.githubusercontent.com/u/3099551?s=40&v=4 - gRoussac - https://github.com/gRoussac + https://avatars.githubusercontent.com/u/72603?s=40&v=4 + PowerKiKi + https://github.com/PowerKiKi - https://avatars.githubusercontent.com/u/31609858?s=40&v=4 - zuk3975 - https://github.com/zuk3975 + https://avatars.githubusercontent.com/u/770298?s=40&v=4 + MarkBaker + https://github.com/MarkBaker + + + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot https://avatars.githubusercontent.com/u/1533248?s=40&v=4 Progi1984 https://github.com/Progi1984 - - https://avatars.githubusercontent.com/u/2787216?s=40&v=4 - rGaillard - https://github.com/rGaillard - - - - - pterodactyl/panel - https://github.com/pterodactyl/panel - Pterodactyl® is a free, open-source game server management panel built with PHP, React, and Go. Designed with security in mind, Pterodactyl runs all game servers in isolated Docker containers while exposing a beautiful and intuitive UI to end users. - https://github.com/pterodactyl/panel - PHP - #4F5D95 - 6,828 - 1,741 - 34 - - - https://avatars.githubusercontent.com/u/418376?s=40&v=4 - DaneEveritt - https://github.com/DaneEveritt - - - https://avatars.githubusercontent.com/u/26559841?s=40&v=4 - matthewpi - https://github.com/matthewpi - - - https://avatars.githubusercontent.com/u/1710904?s=40&v=4 - schrej - https://github.com/schrej - - - https://avatars.githubusercontent.com/u/1757840?s=40&v=4 - notAreYouScared - https://github.com/notAreYouScared - - - https://avatars.githubusercontent.com/u/1296882?s=40&v=4 - lancepioch - https://github.com/lancepioch - @@ -588,210 +451,215 @@ - kanboard/kanboard - https://github.com/kanboard/kanboard - Kanban project management software - https://github.com/kanboard/kanboard + phpipam/phpipam + https://github.com/phpipam/phpipam + phpipam development repository + https://github.com/phpipam/phpipam PHP #4F5D95 - 8,532 - 1,809 - 19 + 2,268 + 743 + 16 - https://avatars.githubusercontent.com/u/323546?s=40&v=4 - fguillot - https://github.com/fguillot + https://avatars.githubusercontent.com/u/12947690?s=40&v=4 + phpipam + https://github.com/phpipam - https://avatars.githubusercontent.com/u/1821064?s=40&v=4 - desendijital - https://github.com/desendijital + https://avatars.githubusercontent.com/u/18753294?s=40&v=4 + GaryAllan + https://github.com/GaryAllan - https://avatars.githubusercontent.com/u/671793?s=40&v=4 - eSkiSo - https://github.com/eSkiSo + https://avatars.githubusercontent.com/u/20866025?s=40&v=4 + mrbrown8 + https://github.com/mrbrown8 - https://avatars.githubusercontent.com/u/1961634?s=40&v=4 - TimoStahl - https://github.com/TimoStahl + https://avatars.githubusercontent.com/u/2527057?s=40&v=4 + dcec + https://github.com/dcec + + + https://avatars.githubusercontent.com/u/5089973?s=40&v=4 + malaiam + https://github.com/malaiam - DenverCoder1/github-readme-streak-stats - https://github.com/DenverCoder1/github-readme-streak-stats - 🔥 Stay motivated and show off your contribution streak! 🌟 Display your total contributions, current streak, and longest streak on your GitHub profile README - https://github.com/DenverCoder1/github-readme-streak-stats + PrestaShop/PrestaShop + https://github.com/PrestaShop/PrestaShop + PrestaShop is the universal open-source software platform to build your e-commerce solution. + https://github.com/PrestaShop/PrestaShop PHP #4F5D95 - 4,889 - 766 - 34 + 8,238 + 4,813 + 10 - https://avatars.githubusercontent.com/u/20955511?s=40&v=4 - DenverCoder1 - https://github.com/DenverCoder1 + https://avatars.githubusercontent.com/u/13801017?s=40&v=4 + jolelievre + https://github.com/jolelievre - https://avatars.githubusercontent.com/u/30869493?s=40&v=4 - schmelto - https://github.com/schmelto + https://avatars.githubusercontent.com/u/3099551?s=40&v=4 + gRoussac + https://github.com/gRoussac - https://avatars.githubusercontent.com/u/86386385?s=40&v=4 - FajarKim - https://github.com/FajarKim + https://avatars.githubusercontent.com/u/31609858?s=40&v=4 + zuk3975 + https://github.com/zuk3975 + + + https://avatars.githubusercontent.com/u/1533248?s=40&v=4 + Progi1984 + https://github.com/Progi1984 + + + https://avatars.githubusercontent.com/u/2787216?s=40&v=4 + rGaillard + https://github.com/rGaillard - PHPOffice/PhpSpreadsheet - https://github.com/PHPOffice/PhpSpreadsheet - A pure PHP library for reading and writing spreadsheet files - https://github.com/PHPOffice/PhpSpreadsheet + PrivateBin/PrivateBin + https://github.com/PrivateBin/PrivateBin + A minimalist, open source online pastebin where the server has zero knowledge of pasted data. Data is encrypted/decrypted in the browser using 256 bits AES. + https://github.com/PrivateBin/PrivateBin PHP #4F5D95 - 13,366 - 3,474 - 16 + 6,564 + 815 + 32 - https://avatars.githubusercontent.com/u/10341515?s=40&v=4 - oleibman - https://github.com/oleibman - - - https://avatars.githubusercontent.com/u/72603?s=40&v=4 - PowerKiKi - https://github.com/PowerKiKi + https://avatars.githubusercontent.com/u/1017622?s=40&v=4 + elrido + https://github.com/elrido - https://avatars.githubusercontent.com/u/770298?s=40&v=4 - MarkBaker - https://github.com/MarkBaker + https://avatars.githubusercontent.com/u/72346835?s=40&v=4 + privatebin-translator + https://github.com/privatebin-translator - https://avatars.githubusercontent.com/u/33116358?s=40&v=4 - traviscibot - https://github.com/traviscibot + https://avatars.githubusercontent.com/u/11966684?s=40&v=4 + rugk + https://github.com/rugk - https://avatars.githubusercontent.com/u/1533248?s=40&v=4 - Progi1984 - https://github.com/Progi1984 + https://avatars.githubusercontent.com/u/10842569?s=40&v=4 + Haocen + https://github.com/Haocen - rectorphp/rector - https://github.com/rectorphp/rector - Instant Upgrades and Automated Refactoring of any PHP 5.3+ code - https://github.com/rectorphp/rector + ellite/Wallos + https://github.com/ellite/Wallos + Wallos: Open-Source Personal Subscription Tracker + https://github.com/ellite/Wallos PHP #4F5D95 - 8,767 - 687 - 29 + 3,136 + 140 + 69 - https://avatars.githubusercontent.com/u/924196?s=40&v=4 - TomasVotruba - https://github.com/TomasVotruba + https://avatars.githubusercontent.com/u/3831180?s=40&v=4 + ellite + https://github.com/ellite - https://avatars.githubusercontent.com/u/459648?s=40&v=4 - samsonasik - https://github.com/samsonasik + https://avatars.githubusercontent.com/u/25246962?s=40&v=4 + devzwf + https://github.com/devzwf - https://avatars.githubusercontent.com/u/16328050?s=40&v=4 - carusogabriel - https://github.com/carusogabriel + https://avatars.githubusercontent.com/u/177120?s=40&v=4 + ttam + https://github.com/ttam - https://avatars.githubusercontent.com/u/310134?s=40&v=4 - gnutix - https://github.com/gnutix + https://avatars.githubusercontent.com/u/39442192?s=40&v=4 + RoguedBear + https://github.com/RoguedBear - phpipam/phpipam - https://github.com/phpipam/phpipam - phpipam development repository - https://github.com/phpipam/phpipam + magento/magento2 + https://github.com/magento/magento2 + Prior to making any Submission(s), you must sign an Adobe Contributor License Agreement, available here at: https://opensource.adobe.com/cla.html. All Submissions you make to Adobe Inc. and its affiliates, assigns and subsidiaries (collectively “Adobe”) are subject to the terms of the Adobe Contributor License Agreement. + https://github.com/magento/magento2 PHP #4F5D95 - 2,268 - 743 + 11,573 + 9,319 16 - https://avatars.githubusercontent.com/u/12947690?s=40&v=4 - phpipam - https://github.com/phpipam + https://avatars.githubusercontent.com/u/31669971?s=40&v=4 + magento-engcom-team + https://github.com/magento-engcom-team - https://avatars.githubusercontent.com/u/18753294?s=40&v=4 - GaryAllan - https://github.com/GaryAllan + https://avatars.githubusercontent.com/u/9741905?s=40&v=4 + slavvka + https://github.com/slavvka - https://avatars.githubusercontent.com/u/20866025?s=40&v=4 - mrbrown8 - https://github.com/mrbrown8 + https://avatars.githubusercontent.com/u/12795788?s=40&v=4 + zakdma + https://github.com/zakdma - https://avatars.githubusercontent.com/u/2527057?s=40&v=4 - dcec - https://github.com/dcec + https://avatars.githubusercontent.com/u/7325075?s=40&v=4 + okorshenko + https://github.com/okorshenko - https://avatars.githubusercontent.com/u/5089973?s=40&v=4 - malaiam - https://github.com/malaiam + https://avatars.githubusercontent.com/u/1119622?s=40&v=4 + rganin + https://github.com/rganin - pfsense/pfsense - https://github.com/pfsense/pfsense - Main repository for pfSense - https://github.com/pfsense/pfsense + rectorphp/rector + https://github.com/rectorphp/rector + Instant Upgrades and Automated Refactoring of any PHP 5.3+ code + https://github.com/rectorphp/rector PHP #4F5D95 - 4,941 - 1,483 - 12 + 8,767 + 687 + 29 - https://avatars.githubusercontent.com/u/149872?s=40&v=4 - rbgarga - https://github.com/rbgarga - - - https://avatars.githubusercontent.com/u/174434?s=40&v=4 - jim-p - https://github.com/jim-p + https://avatars.githubusercontent.com/u/924196?s=40&v=4 + TomasVotruba + https://github.com/TomasVotruba - https://avatars.githubusercontent.com/u/11539696?s=40&v=4 - sbeaver-netgate - https://github.com/sbeaver-netgate + https://avatars.githubusercontent.com/u/459648?s=40&v=4 + samsonasik + https://github.com/samsonasik - https://avatars.githubusercontent.com/u/185253?s=40&v=4 - ermal - https://github.com/ermal + https://avatars.githubusercontent.com/u/16328050?s=40&v=4 + carusogabriel + https://github.com/carusogabriel - https://avatars.githubusercontent.com/u/54282673?s=40&v=4 - vktg - https://github.com/vktg + https://avatars.githubusercontent.com/u/310134?s=40&v=4 + gnutix + https://github.com/gnutix @@ -802,7 +670,7 @@ https://github.com/Seldaek/monolog PHP #4F5D95 - 21,043 + 21,044 1,905 14 @@ -833,5 +701,38 @@ + + kanboard/kanboard + https://github.com/kanboard/kanboard + Kanban project management software + https://github.com/kanboard/kanboard + PHP + #4F5D95 + 8,532 + 1,809 + 19 + + + https://avatars.githubusercontent.com/u/323546?s=40&v=4 + fguillot + https://github.com/fguillot + + + https://avatars.githubusercontent.com/u/1821064?s=40&v=4 + desendijital + https://github.com/desendijital + + + https://avatars.githubusercontent.com/u/671793?s=40&v=4 + eSkiSo + https://github.com/eSkiSo + + + https://avatars.githubusercontent.com/u/1961634?s=40&v=4 + TimoStahl + https://github.com/TimoStahl + + + \ No newline at end of file diff --git a/data/weekly/pic.json b/data/weekly/pic.json index 02a72a1c2584..ad8fe8ec7a68 100644 --- a/data/weekly/pic.json +++ b/data/weekly/pic.json @@ -2,6 +2,6 @@ "title": "GitHub Pic Languages Weekly Trending", "description": "Weekly Trending of Pic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pic.xml b/data/weekly/pic.xml index b21ea9368cd7..48d9794fba07 100644 --- a/data/weekly/pic.xml +++ b/data/weekly/pic.xml @@ -3,6 +3,6 @@ GitHub Pic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pickle.json b/data/weekly/pickle.json index c9a7fc1e73cd..9531855a4dea 100644 --- a/data/weekly/pickle.json +++ b/data/weekly/pickle.json @@ -2,6 +2,6 @@ "title": "GitHub Pickle Languages Weekly Trending", "description": "Weekly Trending of Pickle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pickle.xml b/data/weekly/pickle.xml index aa163b6eb7d5..4ef04921bac5 100644 --- a/data/weekly/pickle.xml +++ b/data/weekly/pickle.xml @@ -3,6 +3,6 @@ GitHub Pickle Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pickle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/picolisp.json b/data/weekly/picolisp.json index 194029e3327f..84274018aea7 100644 --- a/data/weekly/picolisp.json +++ b/data/weekly/picolisp.json @@ -2,6 +2,6 @@ "title": "GitHub Picolisp Languages Weekly Trending", "description": "Weekly Trending of Picolisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/picolisp.xml b/data/weekly/picolisp.xml index 12b23806691b..f1532a78d228 100644 --- a/data/weekly/picolisp.xml +++ b/data/weekly/picolisp.xml @@ -3,6 +3,6 @@ GitHub Picolisp Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Picolisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/piglatin.json b/data/weekly/piglatin.json index 9883171c699e..bd3fee688b54 100644 --- a/data/weekly/piglatin.json +++ b/data/weekly/piglatin.json @@ -2,6 +2,6 @@ "title": "GitHub Piglatin Languages Weekly Trending", "description": "Weekly Trending of Piglatin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/piglatin.xml b/data/weekly/piglatin.xml index 9b4a0027e4af..a3a385a526bc 100644 --- a/data/weekly/piglatin.xml +++ b/data/weekly/piglatin.xml @@ -3,6 +3,6 @@ GitHub Piglatin Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Piglatin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pike.json b/data/weekly/pike.json index 1410d7a38f0a..03b3a93e1601 100644 --- a/data/weekly/pike.json +++ b/data/weekly/pike.json @@ -2,6 +2,6 @@ "title": "GitHub Pike Languages Weekly Trending", "description": "Weekly Trending of Pike Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pike.xml b/data/weekly/pike.xml index 6799e464797d..e882b5ca779f 100644 --- a/data/weekly/pike.xml +++ b/data/weekly/pike.xml @@ -3,6 +3,6 @@ GitHub Pike Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pike Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pip-requirements.json b/data/weekly/pip-requirements.json index 642fb2ded050..78d364edda00 100644 --- a/data/weekly/pip-requirements.json +++ b/data/weekly/pip-requirements.json @@ -2,6 +2,6 @@ "title": "GitHub Pip-requirements Languages Weekly Trending", "description": "Weekly Trending of Pip-requirements Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pip-requirements.xml b/data/weekly/pip-requirements.xml index ff54e69d9ade..7e9f6b150d5c 100644 --- a/data/weekly/pip-requirements.xml +++ b/data/weekly/pip-requirements.xml @@ -3,6 +3,6 @@ GitHub Pip-requirements Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pip-requirements Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pkl.json b/data/weekly/pkl.json index f9d8f3fce39f..0c40fca1f626 100644 --- a/data/weekly/pkl.json +++ b/data/weekly/pkl.json @@ -2,6 +2,6 @@ "title": "GitHub Pkl Languages Weekly Trending", "description": "Weekly Trending of Pkl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pkl.xml b/data/weekly/pkl.xml index 3d7ec313ac17..09947d5a53be 100644 --- a/data/weekly/pkl.xml +++ b/data/weekly/pkl.xml @@ -3,6 +3,6 @@ GitHub Pkl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pkl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/plantuml.json b/data/weekly/plantuml.json index e985e7ed2d17..26d8e5176d07 100644 --- a/data/weekly/plantuml.json +++ b/data/weekly/plantuml.json @@ -2,6 +2,6 @@ "title": "GitHub Plantuml Languages Weekly Trending", "description": "Weekly Trending of Plantuml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/plantuml.xml b/data/weekly/plantuml.xml index a227deaeb582..6f0f5fe0cfca 100644 --- a/data/weekly/plantuml.xml +++ b/data/weekly/plantuml.xml @@ -3,6 +3,6 @@ GitHub Plantuml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Plantuml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/plpgsql.json b/data/weekly/plpgsql.json index 8ae7be53ee94..2a91469e190b 100644 --- a/data/weekly/plpgsql.json +++ b/data/weekly/plpgsql.json @@ -2,7 +2,7 @@ "title": "GitHub Plpgsql Languages Weekly Trending", "description": "Weekly Trending of Plpgsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "digoal/blog", @@ -10,7 +10,7 @@ "description": "Opensource,Database,AI,Business,Minds. git clone --depth 1 https://github.com/digoal/blog", "language": "PLpgSQL", "languageColor": "#336790", - "stars": "8,104", + "stars": "8,106", "forks": "1,895", "addStars": "12", "contributors": [ @@ -78,43 +78,6 @@ } ] }, - { - "title": "timescale/pgai", - "url": "https://github.com/timescale/pgai", - "description": "A suite of tools to develop RAG, semantic search, and other AI applications more easily with PostgreSQL", - "language": "PLpgSQL", - "languageColor": "#336790", - "stars": "2,083", - "forks": "97", - "addStars": "125", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4974668?s=40&v=4", - "name": "jgpruitt", - "url": "https://github.com/jgpruitt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22094088?s=40&v=4", - "name": "billy-the-fish", - "url": "https://github.com/billy-the-fish" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5297542?s=40&v=4", - "name": "alejandrodnm", - "url": "https://github.com/alejandrodnm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/112245?s=40&v=4", - "name": "cevian", - "url": "https://github.com/cevian" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10251955?s=40&v=4", - "name": "Askir", - "url": "https://github.com/Askir" - } - ] - }, { "title": "openmaptiles/openmaptiles", "url": "https://github.com/openmaptiles/openmaptiles", @@ -152,6 +115,43 @@ } ] }, + { + "title": "timescale/pgai", + "url": "https://github.com/timescale/pgai", + "description": "A suite of tools to develop RAG, semantic search, and other AI applications more easily with PostgreSQL", + "language": "PLpgSQL", + "languageColor": "#336790", + "stars": "2,085", + "forks": "97", + "addStars": "125", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/4974668?s=40&v=4", + "name": "jgpruitt", + "url": "https://github.com/jgpruitt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22094088?s=40&v=4", + "name": "billy-the-fish", + "url": "https://github.com/billy-the-fish" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5297542?s=40&v=4", + "name": "alejandrodnm", + "url": "https://github.com/alejandrodnm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/112245?s=40&v=4", + "name": "cevian", + "url": "https://github.com/cevian" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10251955?s=40&v=4", + "name": "Askir", + "url": "https://github.com/Askir" + } + ] + }, { "title": "TryGhost/node-sqlite3", "url": "https://github.com/TryGhost/node-sqlite3", diff --git a/data/weekly/plpgsql.xml b/data/weekly/plpgsql.xml index f246d74321ed..4d1983e34a69 100644 --- a/data/weekly/plpgsql.xml +++ b/data/weekly/plpgsql.xml @@ -3,7 +3,7 @@ GitHub Plpgsql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Plpgsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT digoal/blog https://github.com/digoal/blog @@ -11,7 +11,7 @@ https://github.com/digoal/blog PLpgSQL #336790 - 8,104 + 8,106 1,895 12 @@ -80,44 +80,6 @@ - - timescale/pgai - https://github.com/timescale/pgai - A suite of tools to develop RAG, semantic search, and other AI applications more easily with PostgreSQL - https://github.com/timescale/pgai - PLpgSQL - #336790 - 2,083 - 97 - 125 - - - https://avatars.githubusercontent.com/u/4974668?s=40&v=4 - jgpruitt - https://github.com/jgpruitt - - - https://avatars.githubusercontent.com/u/22094088?s=40&v=4 - billy-the-fish - https://github.com/billy-the-fish - - - https://avatars.githubusercontent.com/u/5297542?s=40&v=4 - alejandrodnm - https://github.com/alejandrodnm - - - https://avatars.githubusercontent.com/u/112245?s=40&v=4 - cevian - https://github.com/cevian - - - https://avatars.githubusercontent.com/u/10251955?s=40&v=4 - Askir - https://github.com/Askir - - - openmaptiles/openmaptiles https://github.com/openmaptiles/openmaptiles @@ -156,6 +118,44 @@ + + timescale/pgai + https://github.com/timescale/pgai + A suite of tools to develop RAG, semantic search, and other AI applications more easily with PostgreSQL + https://github.com/timescale/pgai + PLpgSQL + #336790 + 2,085 + 97 + 125 + + + https://avatars.githubusercontent.com/u/4974668?s=40&v=4 + jgpruitt + https://github.com/jgpruitt + + + https://avatars.githubusercontent.com/u/22094088?s=40&v=4 + billy-the-fish + https://github.com/billy-the-fish + + + https://avatars.githubusercontent.com/u/5297542?s=40&v=4 + alejandrodnm + https://github.com/alejandrodnm + + + https://avatars.githubusercontent.com/u/112245?s=40&v=4 + cevian + https://github.com/cevian + + + https://avatars.githubusercontent.com/u/10251955?s=40&v=4 + Askir + https://github.com/Askir + + + TryGhost/node-sqlite3 https://github.com/TryGhost/node-sqlite3 diff --git a/data/weekly/plsql.json b/data/weekly/plsql.json index df69d95ab9a2..bf25a4f02e0e 100644 --- a/data/weekly/plsql.json +++ b/data/weekly/plsql.json @@ -2,6 +2,6 @@ "title": "GitHub Plsql Languages Weekly Trending", "description": "Weekly Trending of Plsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/plsql.xml b/data/weekly/plsql.xml index 41f882cab6e4..f639071d4056 100644 --- a/data/weekly/plsql.xml +++ b/data/weekly/plsql.xml @@ -3,6 +3,6 @@ GitHub Plsql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Plsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pod-6.json b/data/weekly/pod-6.json index dbb100bed412..6f29fde393d4 100644 --- a/data/weekly/pod-6.json +++ b/data/weekly/pod-6.json @@ -2,6 +2,6 @@ "title": "GitHub Pod-6 Languages Weekly Trending", "description": "Weekly Trending of Pod-6 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pod-6.xml b/data/weekly/pod-6.xml index 3ba316e6b7de..45811dbf653f 100644 --- a/data/weekly/pod-6.xml +++ b/data/weekly/pod-6.xml @@ -3,6 +3,6 @@ GitHub Pod-6 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pod-6 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pod.json b/data/weekly/pod.json index c3dfaccf4c1c..122f2e0e3e44 100644 --- a/data/weekly/pod.json +++ b/data/weekly/pod.json @@ -2,6 +2,6 @@ "title": "GitHub Pod Languages Weekly Trending", "description": "Weekly Trending of Pod Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pod.xml b/data/weekly/pod.xml index 7a7e027e68b2..ee2ec4639e2a 100644 --- a/data/weekly/pod.xml +++ b/data/weekly/pod.xml @@ -3,6 +3,6 @@ GitHub Pod Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pod Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pogoscript.json b/data/weekly/pogoscript.json index 5a1595e44b65..d7956b2e263b 100644 --- a/data/weekly/pogoscript.json +++ b/data/weekly/pogoscript.json @@ -2,6 +2,6 @@ "title": "GitHub Pogoscript Languages Weekly Trending", "description": "Weekly Trending of Pogoscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pogoscript.xml b/data/weekly/pogoscript.xml index 62558c491653..99595c5d7486 100644 --- a/data/weekly/pogoscript.xml +++ b/data/weekly/pogoscript.xml @@ -3,6 +3,6 @@ GitHub Pogoscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pogoscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/polar.json b/data/weekly/polar.json index 7dcd938c4fa0..166ae7f9439a 100644 --- a/data/weekly/polar.json +++ b/data/weekly/polar.json @@ -2,6 +2,6 @@ "title": "GitHub Polar Languages Weekly Trending", "description": "Weekly Trending of Polar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/polar.xml b/data/weekly/polar.xml index 1965519305e9..1f47a5932245 100644 --- a/data/weekly/polar.xml +++ b/data/weekly/polar.xml @@ -3,6 +3,6 @@ GitHub Polar Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Polar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pony.json b/data/weekly/pony.json index 8fd2fcac58ac..fb0ff9644eda 100644 --- a/data/weekly/pony.json +++ b/data/weekly/pony.json @@ -2,6 +2,6 @@ "title": "GitHub Pony Languages Weekly Trending", "description": "Weekly Trending of Pony Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pony.xml b/data/weekly/pony.xml index 462ae3978420..628267b5a0f3 100644 --- a/data/weekly/pony.xml +++ b/data/weekly/pony.xml @@ -3,6 +3,6 @@ GitHub Pony Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pony Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/portugol.json b/data/weekly/portugol.json index 6fdc05a5d200..bf1b7dcfb70c 100644 --- a/data/weekly/portugol.json +++ b/data/weekly/portugol.json @@ -2,6 +2,6 @@ "title": "GitHub Portugol Languages Weekly Trending", "description": "Weekly Trending of Portugol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/portugol.xml b/data/weekly/portugol.xml index d138af3f87e7..577c9d26e01b 100644 --- a/data/weekly/portugol.xml +++ b/data/weekly/portugol.xml @@ -3,6 +3,6 @@ GitHub Portugol Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Portugol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/postcss.json b/data/weekly/postcss.json index fdb79a55600e..3ed9c370797b 100644 --- a/data/weekly/postcss.json +++ b/data/weekly/postcss.json @@ -2,6 +2,6 @@ "title": "GitHub Postcss Languages Weekly Trending", "description": "Weekly Trending of Postcss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/postcss.xml b/data/weekly/postcss.xml index 89e1e3e34e85..4341fcce35b7 100644 --- a/data/weekly/postcss.xml +++ b/data/weekly/postcss.xml @@ -3,6 +3,6 @@ GitHub Postcss Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Postcss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/postscript.json b/data/weekly/postscript.json index 1bdde479982f..ecee1e41f146 100644 --- a/data/weekly/postscript.json +++ b/data/weekly/postscript.json @@ -2,7 +2,7 @@ "title": "GitHub Postscript Languages Weekly Trending", "description": "Weekly Trending of Postscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "django/djangoproject.com", diff --git a/data/weekly/postscript.xml b/data/weekly/postscript.xml index bcbbb10667d1..81eb3bd7aa9d 100644 --- a/data/weekly/postscript.xml +++ b/data/weekly/postscript.xml @@ -3,7 +3,7 @@ GitHub Postscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Postscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT django/djangoproject.com https://github.com/django/djangoproject.com diff --git a/data/weekly/pov-ray-sdl.json b/data/weekly/pov-ray-sdl.json index c3310aa0c7e1..c2e24f66433b 100644 --- a/data/weekly/pov-ray-sdl.json +++ b/data/weekly/pov-ray-sdl.json @@ -2,6 +2,6 @@ "title": "GitHub Pov-ray-sdl Languages Weekly Trending", "description": "Weekly Trending of Pov-ray-sdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pov-ray-sdl.xml b/data/weekly/pov-ray-sdl.xml index 746916d5dbbf..04235ec49524 100644 --- a/data/weekly/pov-ray-sdl.xml +++ b/data/weekly/pov-ray-sdl.xml @@ -3,6 +3,6 @@ GitHub Pov-ray-sdl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pov-ray-sdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/powerbuilder.json b/data/weekly/powerbuilder.json index 78d9f1e15061..af659d8ac0af 100644 --- a/data/weekly/powerbuilder.json +++ b/data/weekly/powerbuilder.json @@ -2,6 +2,6 @@ "title": "GitHub Powerbuilder Languages Weekly Trending", "description": "Weekly Trending of Powerbuilder Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/powerbuilder.xml b/data/weekly/powerbuilder.xml index 2e94aab1bb59..ab1b0f117701 100644 --- a/data/weekly/powerbuilder.xml +++ b/data/weekly/powerbuilder.xml @@ -3,6 +3,6 @@ GitHub Powerbuilder Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Powerbuilder Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/powershell.json b/data/weekly/powershell.json index 70925830acd4..790b71917739 100644 --- a/data/weekly/powershell.json +++ b/data/weekly/powershell.json @@ -2,7 +2,7 @@ "title": "GitHub Powershell Languages Weekly Trending", "description": "Weekly Trending of Powershell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "ntdevlabs/tiny11builder", @@ -10,8 +10,8 @@ "description": "Scripts to build a trimmed-down Windows 11 image.", "language": "PowerShell", "languageColor": "#012456", - "stars": "10,306", - "forks": "877", + "stars": "10,310", + "forks": "876", "addStars": "461", "contributors": [ { @@ -47,7 +47,7 @@ "description": "GitHub Actions runner images", "language": "PowerShell", "languageColor": "#012456", - "stars": "10,231", + "stars": "10,235", "forks": "3,075", "addStars": "35", "contributors": [ @@ -68,51 +68,14 @@ } ] }, - { - "title": "dotnet/core", - "url": "https://github.com/dotnet/core", - "description": ".NET news, announcements, release notes, and more!", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "21,022", - "forks": "4,907", - "addStars": "24", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2212879?s=40&v=4", - "name": "leecow", - "url": "https://github.com/leecow" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/30737530?s=40&v=4", - "name": "rbhanda", - "url": "https://github.com/rbhanda" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2608468?s=40&v=4", - "name": "richlander", - "url": "https://github.com/richlander" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11581923?s=40&v=4", - "name": "vivmishra", - "url": "https://github.com/vivmishra" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12971179?s=40&v=4", - "name": "mairaw", - "url": "https://github.com/mairaw" - } - ] - }, { "title": "microsoft/winget-pkgs", "url": "https://github.com/microsoft/winget-pkgs", "description": "The Microsoft community Windows Package Manager manifest repository", "language": "PowerShell", "languageColor": "#012456", - "stars": "8,751", - "forks": "4,565", + "stars": "8,752", + "forks": "4,566", "addStars": "39", "contributors": [ { @@ -148,7 +111,7 @@ "description": "Automation to assess the state of your M365 tenant against CISA's baselines", "language": "PowerShell", "languageColor": "#012456", - "stars": "1,762", + "stars": "1,764", "forks": "228", "addStars": "65", "contributors": [ @@ -217,39 +180,39 @@ ] }, { - "title": "microsoft/WSL", - "url": "https://github.com/microsoft/WSL", - "description": "Issues found on WSL", + "title": "microsoft/Microsoft365DSC", + "url": "https://github.com/microsoft/Microsoft365DSC", + "description": "Manages, configures, extracts and monitors Microsoft 365 tenant configurations", "language": "PowerShell", "languageColor": "#012456", - "stars": "17,512", - "forks": "822", - "addStars": "48", + "stars": "1,633", + "forks": "502", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42221804?s=40&v=4", - "name": "craigloewen-msft", - "url": "https://github.com/craigloewen-msft" + "avatar": "https://avatars.githubusercontent.com/u/2547149?s=40&v=4", + "name": "NikCharlebois", + "url": "https://github.com/NikCharlebois" }, { - "avatar": "https://avatars.githubusercontent.com/u/16477878?s=40&v=4", - "name": "OneBlue", - "url": "https://github.com/OneBlue" + "avatar": "https://avatars.githubusercontent.com/u/15103891?s=40&v=4", + "name": "ykuijs", + "url": "https://github.com/ykuijs" }, { - "avatar": "https://avatars.githubusercontent.com/u/2711825?s=40&v=4", - "name": "jackchammons", - "url": "https://github.com/jackchammons" + "avatar": "https://avatars.githubusercontent.com/u/116630444?s=40&v=4", + "name": "ricmestre", + "url": "https://github.com/ricmestre" }, { - "avatar": "https://avatars.githubusercontent.com/u/25061493?s=40&v=4", - "name": "CatalinFetoiu", - "url": "https://github.com/CatalinFetoiu" + "avatar": "https://avatars.githubusercontent.com/u/79221284?s=40&v=4", + "name": "William-Francillette", + "url": "https://github.com/William-Francillette" }, { - "avatar": "https://avatars.githubusercontent.com/u/63634471?s=40&v=4", - "name": "keith-horton", - "url": "https://github.com/keith-horton" + "avatar": "https://avatars.githubusercontent.com/u/43035577?s=40&v=4", + "name": "desmay", + "url": "https://github.com/desmay" } ] }, @@ -290,50 +253,13 @@ } ] }, - { - "title": "microsoft/Microsoft365DSC", - "url": "https://github.com/microsoft/Microsoft365DSC", - "description": "Manages, configures, extracts and monitors Microsoft 365 tenant configurations", - "language": "PowerShell", - "languageColor": "#012456", - "stars": "1,631", - "forks": "502", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2547149?s=40&v=4", - "name": "NikCharlebois", - "url": "https://github.com/NikCharlebois" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15103891?s=40&v=4", - "name": "ykuijs", - "url": "https://github.com/ykuijs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/116630444?s=40&v=4", - "name": "ricmestre", - "url": "https://github.com/ricmestre" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79221284?s=40&v=4", - "name": "William-Francillette", - "url": "https://github.com/William-Francillette" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43035577?s=40&v=4", - "name": "desmay", - "url": "https://github.com/desmay" - } - ] - }, { "title": "BloodHoundAD/BloodHound", "url": "https://github.com/BloodHoundAD/BloodHound", "description": "Six Degrees of Domain Admin", "language": "PowerShell", "languageColor": "#012456", - "stars": "9,917", + "stars": "9,920", "forks": "1,734", "addStars": "24", "contributors": [ @@ -365,76 +291,76 @@ ] }, { - "title": "Azure/Security-Copilot", - "url": "https://github.com/Azure/Security-Copilot", - "description": "Microsoft Security Copilot is a generative AI-powered security solution that helps increase the efficiency and capabilities of defenders to improve security outcomes at machine speed and scale, while remaining compliant to responsible AI principles", + "title": "microsoft/WSL", + "url": "https://github.com/microsoft/WSL", + "description": "Issues found on WSL", "language": "PowerShell", "languageColor": "#012456", - "stars": "459", - "forks": "163", - "addStars": "8", + "stars": "17,514", + "forks": "822", + "addStars": "48", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/67633117?s=40&v=4", - "name": "KwachSean", - "url": "https://github.com/KwachSean" + "avatar": "https://avatars.githubusercontent.com/u/42221804?s=40&v=4", + "name": "craigloewen-msft", + "url": "https://github.com/craigloewen-msft" }, { - "avatar": "https://avatars.githubusercontent.com/u/29891642?s=40&v=4", - "name": "ppm78", - "url": "https://github.com/ppm78" + "avatar": "https://avatars.githubusercontent.com/u/16477878?s=40&v=4", + "name": "OneBlue", + "url": "https://github.com/OneBlue" }, { - "avatar": "https://avatars.githubusercontent.com/u/39244192?s=40&v=4", - "name": "mariocuomo", - "url": "https://github.com/mariocuomo" + "avatar": "https://avatars.githubusercontent.com/u/2711825?s=40&v=4", + "name": "jackchammons", + "url": "https://github.com/jackchammons" }, { - "avatar": "https://avatars.githubusercontent.com/u/41567992?s=40&v=4", - "name": "sojugithub", - "url": "https://github.com/sojugithub" + "avatar": "https://avatars.githubusercontent.com/u/25061493?s=40&v=4", + "name": "CatalinFetoiu", + "url": "https://github.com/CatalinFetoiu" }, { - "avatar": "https://avatars.githubusercontent.com/u/73497083?s=40&v=4", - "name": "SCStelz", - "url": "https://github.com/SCStelz" + "avatar": "https://avatars.githubusercontent.com/u/63634471?s=40&v=4", + "name": "keith-horton", + "url": "https://github.com/keith-horton" } ] }, { - "title": "dafthack/GraphRunner", - "url": "https://github.com/dafthack/GraphRunner", - "description": "A Post-exploitation Toolset for Interacting with the Microsoft Graph API", + "title": "PSAppDeployToolkit/PSAppDeployToolkit", + "url": "https://github.com/PSAppDeployToolkit/PSAppDeployToolkit", + "description": "Project Homepage & Forums", "language": "PowerShell", "languageColor": "#012456", - "stars": "955", - "forks": "106", - "addStars": "14", + "stars": "1,841", + "forks": "491", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2296229?s=40&v=4", - "name": "dafthack", - "url": "https://github.com/dafthack" + "avatar": "https://avatars.githubusercontent.com/u/5581235?s=40&v=4", + "name": "mmashwani", + "url": "https://github.com/mmashwani" }, { - "avatar": "https://avatars.githubusercontent.com/u/6186835?s=40&v=4", - "name": "rvrsh3ll", - "url": "https://github.com/rvrsh3ll" + "avatar": "https://avatars.githubusercontent.com/u/11931441?s=40&v=4", + "name": "seanlillis", + "url": "https://github.com/seanlillis" }, { - "avatar": "https://avatars.githubusercontent.com/u/35150945?s=40&v=4", - "name": "C0axx", - "url": "https://github.com/C0axx" + "avatar": "https://avatars.githubusercontent.com/u/20016096?s=40&v=4", + "name": "luki1412", + "url": "https://github.com/luki1412" }, { - "avatar": "https://avatars.githubusercontent.com/u/15052743?s=40&v=4", - "name": "Tylous", - "url": "https://github.com/Tylous" + "avatar": "https://avatars.githubusercontent.com/u/132217?s=40&v=4", + "name": "sintaxasn", + "url": "https://github.com/sintaxasn" }, { - "avatar": "https://avatars.githubusercontent.com/u/10945042?s=40&v=4", - "name": "NinjaStyle82", - "url": "https://github.com/NinjaStyle82" + "avatar": "https://avatars.githubusercontent.com/u/13512483?s=40&v=4", + "name": "amotaz", + "url": "https://github.com/amotaz" } ] }, @@ -444,8 +370,8 @@ "description": "PowerHuntShares is an audit script designed in inventory, analyze, and report excessive privileges configured on Active Directory domains.", "language": "PowerShell", "languageColor": "#012456", - "stars": "683", - "forks": "82", + "stars": "684", + "forks": "83", "addStars": "67", "contributors": [ { @@ -508,39 +434,39 @@ ] }, { - "title": "PSAppDeployToolkit/PSAppDeployToolkit", - "url": "https://github.com/PSAppDeployToolkit/PSAppDeployToolkit", - "description": "Project Homepage & Forums", + "title": "Azure/Security-Copilot", + "url": "https://github.com/Azure/Security-Copilot", + "description": "Microsoft Security Copilot is a generative AI-powered security solution that helps increase the efficiency and capabilities of defenders to improve security outcomes at machine speed and scale, while remaining compliant to responsible AI principles", "language": "PowerShell", "languageColor": "#012456", - "stars": "1,841", - "forks": "491", - "addStars": "9", + "stars": "461", + "forks": "164", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5581235?s=40&v=4", - "name": "mmashwani", - "url": "https://github.com/mmashwani" + "avatar": "https://avatars.githubusercontent.com/u/67633117?s=40&v=4", + "name": "KwachSean", + "url": "https://github.com/KwachSean" }, { - "avatar": "https://avatars.githubusercontent.com/u/11931441?s=40&v=4", - "name": "seanlillis", - "url": "https://github.com/seanlillis" + "avatar": "https://avatars.githubusercontent.com/u/29891642?s=40&v=4", + "name": "ppm78", + "url": "https://github.com/ppm78" }, { - "avatar": "https://avatars.githubusercontent.com/u/20016096?s=40&v=4", - "name": "luki1412", - "url": "https://github.com/luki1412" + "avatar": "https://avatars.githubusercontent.com/u/39244192?s=40&v=4", + "name": "mariocuomo", + "url": "https://github.com/mariocuomo" }, { - "avatar": "https://avatars.githubusercontent.com/u/132217?s=40&v=4", - "name": "sintaxasn", - "url": "https://github.com/sintaxasn" + "avatar": "https://avatars.githubusercontent.com/u/41567992?s=40&v=4", + "name": "sojugithub", + "url": "https://github.com/sojugithub" }, { - "avatar": "https://avatars.githubusercontent.com/u/13512483?s=40&v=4", - "name": "amotaz", - "url": "https://github.com/amotaz" + "avatar": "https://avatars.githubusercontent.com/u/73497083?s=40&v=4", + "name": "SCStelz", + "url": "https://github.com/SCStelz" } ] } diff --git a/data/weekly/powershell.xml b/data/weekly/powershell.xml index 2a7c8a66021b..61379ce11966 100644 --- a/data/weekly/powershell.xml +++ b/data/weekly/powershell.xml @@ -3,7 +3,7 @@ GitHub Powershell Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Powershell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT ntdevlabs/tiny11builder https://github.com/ntdevlabs/tiny11builder @@ -11,8 +11,8 @@ https://github.com/ntdevlabs/tiny11builder PowerShell #012456 - 10,306 - 877 + 10,310 + 876 461 @@ -49,7 +49,7 @@ https://github.com/actions/runner-images PowerShell #012456 - 10,231 + 10,235 3,075 35 @@ -70,44 +70,6 @@ - - dotnet/core - https://github.com/dotnet/core - .NET news, announcements, release notes, and more! - https://github.com/dotnet/core - PowerShell - #012456 - 21,022 - 4,907 - 24 - - - https://avatars.githubusercontent.com/u/2212879?s=40&v=4 - leecow - https://github.com/leecow - - - https://avatars.githubusercontent.com/u/30737530?s=40&v=4 - rbhanda - https://github.com/rbhanda - - - https://avatars.githubusercontent.com/u/2608468?s=40&v=4 - richlander - https://github.com/richlander - - - https://avatars.githubusercontent.com/u/11581923?s=40&v=4 - vivmishra - https://github.com/vivmishra - - - https://avatars.githubusercontent.com/u/12971179?s=40&v=4 - mairaw - https://github.com/mairaw - - - microsoft/winget-pkgs https://github.com/microsoft/winget-pkgs @@ -115,8 +77,8 @@ https://github.com/microsoft/winget-pkgs PowerShell #012456 - 8,751 - 4,565 + 8,752 + 4,566 39 @@ -153,7 +115,7 @@ https://github.com/cisagov/ScubaGear PowerShell #012456 - 1,762 + 1,764 228 65 @@ -223,40 +185,40 @@ - microsoft/WSL - https://github.com/microsoft/WSL - Issues found on WSL - https://github.com/microsoft/WSL + microsoft/Microsoft365DSC + https://github.com/microsoft/Microsoft365DSC + Manages, configures, extracts and monitors Microsoft 365 tenant configurations + https://github.com/microsoft/Microsoft365DSC PowerShell #012456 - 17,512 - 822 - 48 + 1,633 + 502 + 14 - https://avatars.githubusercontent.com/u/42221804?s=40&v=4 - craigloewen-msft - https://github.com/craigloewen-msft + https://avatars.githubusercontent.com/u/2547149?s=40&v=4 + NikCharlebois + https://github.com/NikCharlebois - https://avatars.githubusercontent.com/u/16477878?s=40&v=4 - OneBlue - https://github.com/OneBlue + https://avatars.githubusercontent.com/u/15103891?s=40&v=4 + ykuijs + https://github.com/ykuijs - https://avatars.githubusercontent.com/u/2711825?s=40&v=4 - jackchammons - https://github.com/jackchammons + https://avatars.githubusercontent.com/u/116630444?s=40&v=4 + ricmestre + https://github.com/ricmestre - https://avatars.githubusercontent.com/u/25061493?s=40&v=4 - CatalinFetoiu - https://github.com/CatalinFetoiu + https://avatars.githubusercontent.com/u/79221284?s=40&v=4 + William-Francillette + https://github.com/William-Francillette - https://avatars.githubusercontent.com/u/63634471?s=40&v=4 - keith-horton - https://github.com/keith-horton + https://avatars.githubusercontent.com/u/43035577?s=40&v=4 + desmay + https://github.com/desmay @@ -298,44 +260,6 @@ - - microsoft/Microsoft365DSC - https://github.com/microsoft/Microsoft365DSC - Manages, configures, extracts and monitors Microsoft 365 tenant configurations - https://github.com/microsoft/Microsoft365DSC - PowerShell - #012456 - 1,631 - 502 - 14 - - - https://avatars.githubusercontent.com/u/2547149?s=40&v=4 - NikCharlebois - https://github.com/NikCharlebois - - - https://avatars.githubusercontent.com/u/15103891?s=40&v=4 - ykuijs - https://github.com/ykuijs - - - https://avatars.githubusercontent.com/u/116630444?s=40&v=4 - ricmestre - https://github.com/ricmestre - - - https://avatars.githubusercontent.com/u/79221284?s=40&v=4 - William-Francillette - https://github.com/William-Francillette - - - https://avatars.githubusercontent.com/u/43035577?s=40&v=4 - desmay - https://github.com/desmay - - - BloodHoundAD/BloodHound https://github.com/BloodHoundAD/BloodHound @@ -343,7 +267,7 @@ https://github.com/BloodHoundAD/BloodHound PowerShell #012456 - 9,917 + 9,920 1,734 24 @@ -375,78 +299,78 @@ - Azure/Security-Copilot - https://github.com/Azure/Security-Copilot - Microsoft Security Copilot is a generative AI-powered security solution that helps increase the efficiency and capabilities of defenders to improve security outcomes at machine speed and scale, while remaining compliant to responsible AI principles - https://github.com/Azure/Security-Copilot + microsoft/WSL + https://github.com/microsoft/WSL + Issues found on WSL + https://github.com/microsoft/WSL PowerShell #012456 - 459 - 163 - 8 + 17,514 + 822 + 48 - https://avatars.githubusercontent.com/u/67633117?s=40&v=4 - KwachSean - https://github.com/KwachSean + https://avatars.githubusercontent.com/u/42221804?s=40&v=4 + craigloewen-msft + https://github.com/craigloewen-msft - https://avatars.githubusercontent.com/u/29891642?s=40&v=4 - ppm78 - https://github.com/ppm78 + https://avatars.githubusercontent.com/u/16477878?s=40&v=4 + OneBlue + https://github.com/OneBlue - https://avatars.githubusercontent.com/u/39244192?s=40&v=4 - mariocuomo - https://github.com/mariocuomo + https://avatars.githubusercontent.com/u/2711825?s=40&v=4 + jackchammons + https://github.com/jackchammons - https://avatars.githubusercontent.com/u/41567992?s=40&v=4 - sojugithub - https://github.com/sojugithub + https://avatars.githubusercontent.com/u/25061493?s=40&v=4 + CatalinFetoiu + https://github.com/CatalinFetoiu - https://avatars.githubusercontent.com/u/73497083?s=40&v=4 - SCStelz - https://github.com/SCStelz + https://avatars.githubusercontent.com/u/63634471?s=40&v=4 + keith-horton + https://github.com/keith-horton - dafthack/GraphRunner - https://github.com/dafthack/GraphRunner - A Post-exploitation Toolset for Interacting with the Microsoft Graph API - https://github.com/dafthack/GraphRunner + PSAppDeployToolkit/PSAppDeployToolkit + https://github.com/PSAppDeployToolkit/PSAppDeployToolkit + Project Homepage & Forums + https://github.com/PSAppDeployToolkit/PSAppDeployToolkit PowerShell #012456 - 955 - 106 - 14 + 1,841 + 491 + 9 - https://avatars.githubusercontent.com/u/2296229?s=40&v=4 - dafthack - https://github.com/dafthack + https://avatars.githubusercontent.com/u/5581235?s=40&v=4 + mmashwani + https://github.com/mmashwani - https://avatars.githubusercontent.com/u/6186835?s=40&v=4 - rvrsh3ll - https://github.com/rvrsh3ll + https://avatars.githubusercontent.com/u/11931441?s=40&v=4 + seanlillis + https://github.com/seanlillis - https://avatars.githubusercontent.com/u/35150945?s=40&v=4 - C0axx - https://github.com/C0axx + https://avatars.githubusercontent.com/u/20016096?s=40&v=4 + luki1412 + https://github.com/luki1412 - https://avatars.githubusercontent.com/u/15052743?s=40&v=4 - Tylous - https://github.com/Tylous + https://avatars.githubusercontent.com/u/132217?s=40&v=4 + sintaxasn + https://github.com/sintaxasn - https://avatars.githubusercontent.com/u/10945042?s=40&v=4 - NinjaStyle82 - https://github.com/NinjaStyle82 + https://avatars.githubusercontent.com/u/13512483?s=40&v=4 + amotaz + https://github.com/amotaz @@ -457,8 +381,8 @@ https://github.com/NetSPI/PowerHuntShares PowerShell #012456 - 683 - 82 + 684 + 83 67 @@ -522,40 +446,40 @@ - PSAppDeployToolkit/PSAppDeployToolkit - https://github.com/PSAppDeployToolkit/PSAppDeployToolkit - Project Homepage & Forums - https://github.com/PSAppDeployToolkit/PSAppDeployToolkit + Azure/Security-Copilot + https://github.com/Azure/Security-Copilot + Microsoft Security Copilot is a generative AI-powered security solution that helps increase the efficiency and capabilities of defenders to improve security outcomes at machine speed and scale, while remaining compliant to responsible AI principles + https://github.com/Azure/Security-Copilot PowerShell #012456 - 1,841 - 491 - 9 + 461 + 164 + 8 - https://avatars.githubusercontent.com/u/5581235?s=40&v=4 - mmashwani - https://github.com/mmashwani + https://avatars.githubusercontent.com/u/67633117?s=40&v=4 + KwachSean + https://github.com/KwachSean - https://avatars.githubusercontent.com/u/11931441?s=40&v=4 - seanlillis - https://github.com/seanlillis + https://avatars.githubusercontent.com/u/29891642?s=40&v=4 + ppm78 + https://github.com/ppm78 - https://avatars.githubusercontent.com/u/20016096?s=40&v=4 - luki1412 - https://github.com/luki1412 + https://avatars.githubusercontent.com/u/39244192?s=40&v=4 + mariocuomo + https://github.com/mariocuomo - https://avatars.githubusercontent.com/u/132217?s=40&v=4 - sintaxasn - https://github.com/sintaxasn + https://avatars.githubusercontent.com/u/41567992?s=40&v=4 + sojugithub + https://github.com/sojugithub - https://avatars.githubusercontent.com/u/13512483?s=40&v=4 - amotaz - https://github.com/amotaz + https://avatars.githubusercontent.com/u/73497083?s=40&v=4 + SCStelz + https://github.com/SCStelz diff --git a/data/weekly/praat.json b/data/weekly/praat.json index 3be25dd5790e..13b4cd4e2d36 100644 --- a/data/weekly/praat.json +++ b/data/weekly/praat.json @@ -2,6 +2,6 @@ "title": "GitHub Praat Languages Weekly Trending", "description": "Weekly Trending of Praat Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/praat.xml b/data/weekly/praat.xml index a95577cdc88f..391c1e47e204 100644 --- a/data/weekly/praat.xml +++ b/data/weekly/praat.xml @@ -3,6 +3,6 @@ GitHub Praat Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Praat Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/prisma.json b/data/weekly/prisma.json index 46228dc5fa0b..b2546637c8c1 100644 --- a/data/weekly/prisma.json +++ b/data/weekly/prisma.json @@ -2,6 +2,6 @@ "title": "GitHub Prisma Languages Weekly Trending", "description": "Weekly Trending of Prisma Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/prisma.xml b/data/weekly/prisma.xml index 8c196a72f9ee..9daff4eb4473 100644 --- a/data/weekly/prisma.xml +++ b/data/weekly/prisma.xml @@ -3,6 +3,6 @@ GitHub Prisma Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Prisma Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/processing.json b/data/weekly/processing.json index 1869e5434bdb..54c82377db74 100644 --- a/data/weekly/processing.json +++ b/data/weekly/processing.json @@ -2,6 +2,6 @@ "title": "GitHub Processing Languages Weekly Trending", "description": "Weekly Trending of Processing Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/processing.xml b/data/weekly/processing.xml index 46513d34c0fc..970455f48684 100644 --- a/data/weekly/processing.xml +++ b/data/weekly/processing.xml @@ -3,6 +3,6 @@ GitHub Processing Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Processing Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/procfile.json b/data/weekly/procfile.json index 27d858ed7e28..4574c533254a 100644 --- a/data/weekly/procfile.json +++ b/data/weekly/procfile.json @@ -2,6 +2,6 @@ "title": "GitHub Procfile Languages Weekly Trending", "description": "Weekly Trending of Procfile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/procfile.xml b/data/weekly/procfile.xml index 831b945fbd70..d4eb2808ee37 100644 --- a/data/weekly/procfile.xml +++ b/data/weekly/procfile.xml @@ -3,6 +3,6 @@ GitHub Procfile Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Procfile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/proguard.json b/data/weekly/proguard.json index 9149fe338c51..c0b7496da3d0 100644 --- a/data/weekly/proguard.json +++ b/data/weekly/proguard.json @@ -2,6 +2,6 @@ "title": "GitHub Proguard Languages Weekly Trending", "description": "Weekly Trending of Proguard Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/proguard.xml b/data/weekly/proguard.xml index e4b22b2500e6..03824c36d4fe 100644 --- a/data/weekly/proguard.xml +++ b/data/weekly/proguard.xml @@ -3,6 +3,6 @@ GitHub Proguard Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Proguard Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/prolog.json b/data/weekly/prolog.json index fc3c5b8f48c5..e6e50553bb98 100644 --- a/data/weekly/prolog.json +++ b/data/weekly/prolog.json @@ -2,6 +2,6 @@ "title": "GitHub Prolog Languages Weekly Trending", "description": "Weekly Trending of Prolog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/prolog.xml b/data/weekly/prolog.xml index 17f704d8654c..1f52c134a67b 100644 --- a/data/weekly/prolog.xml +++ b/data/weekly/prolog.xml @@ -3,6 +3,6 @@ GitHub Prolog Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Prolog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/promela.json b/data/weekly/promela.json index d6d7827537c9..3809c12a9a59 100644 --- a/data/weekly/promela.json +++ b/data/weekly/promela.json @@ -2,6 +2,6 @@ "title": "GitHub Promela Languages Weekly Trending", "description": "Weekly Trending of Promela Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/promela.xml b/data/weekly/promela.xml index e14cd9916d19..d6bf6f5d86c5 100644 --- a/data/weekly/promela.xml +++ b/data/weekly/promela.xml @@ -3,6 +3,6 @@ GitHub Promela Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Promela Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/propeller-spin.json b/data/weekly/propeller-spin.json index 9941b61faf20..ef6e544bec46 100644 --- a/data/weekly/propeller-spin.json +++ b/data/weekly/propeller-spin.json @@ -2,6 +2,6 @@ "title": "GitHub Propeller-spin Languages Weekly Trending", "description": "Weekly Trending of Propeller-spin Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/propeller-spin.xml b/data/weekly/propeller-spin.xml index 2d1194b44e61..b70016d2b07c 100644 --- a/data/weekly/propeller-spin.xml +++ b/data/weekly/propeller-spin.xml @@ -3,6 +3,6 @@ GitHub Propeller-spin Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Propeller-spin Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/protocol-buffer-text-format.json b/data/weekly/protocol-buffer-text-format.json index f0fbda59d331..514346120dbd 100644 --- a/data/weekly/protocol-buffer-text-format.json +++ b/data/weekly/protocol-buffer-text-format.json @@ -2,6 +2,6 @@ "title": "GitHub Protocol-buffer-text-format Languages Weekly Trending", "description": "Weekly Trending of Protocol-buffer-text-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/protocol-buffer-text-format.xml b/data/weekly/protocol-buffer-text-format.xml index 4da8d5aab1bf..57c810e0c199 100644 --- a/data/weekly/protocol-buffer-text-format.xml +++ b/data/weekly/protocol-buffer-text-format.xml @@ -3,6 +3,6 @@ GitHub Protocol-buffer-text-format Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Protocol-buffer-text-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/protocol-buffer.json b/data/weekly/protocol-buffer.json index f1f19bc01a64..623aaaf90f8a 100644 --- a/data/weekly/protocol-buffer.json +++ b/data/weekly/protocol-buffer.json @@ -2,6 +2,6 @@ "title": "GitHub Protocol-buffer Languages Weekly Trending", "description": "Weekly Trending of Protocol-buffer Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/protocol-buffer.xml b/data/weekly/protocol-buffer.xml index a363a04cac09..76ee051f6ac5 100644 --- a/data/weekly/protocol-buffer.xml +++ b/data/weekly/protocol-buffer.xml @@ -3,6 +3,6 @@ GitHub Protocol-buffer Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Protocol-buffer Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/public-key.json b/data/weekly/public-key.json index 2a95d0182e7f..736aa82f060b 100644 --- a/data/weekly/public-key.json +++ b/data/weekly/public-key.json @@ -2,6 +2,6 @@ "title": "GitHub Public-key Languages Weekly Trending", "description": "Weekly Trending of Public-key Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/public-key.xml b/data/weekly/public-key.xml index 991152047183..f940be2c0c1d 100644 --- a/data/weekly/public-key.xml +++ b/data/weekly/public-key.xml @@ -3,6 +3,6 @@ GitHub Public-key Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Public-key Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/pug.json b/data/weekly/pug.json index dd93564ddd7c..673e5a390e33 100644 --- a/data/weekly/pug.json +++ b/data/weekly/pug.json @@ -2,45 +2,8 @@ "title": "GitHub Pug Languages Weekly Trending", "description": "Weekly Trending of Pug Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "Azure/actions-workflow-samples", - "url": "https://github.com/Azure/actions-workflow-samples", - "description": "Help developers to easily get started with GitHub Action workflows to deploy to Azure", - "language": "Pug", - "languageColor": "#a86454", - "stars": "454", - "forks": "623", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/25389593?s=40&v=4", - "name": "N-Usha", - "url": "https://github.com/N-Usha" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43583701?s=40&v=4", - "name": "manojvazirani", - "url": "https://github.com/manojvazirani" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7879476?s=40&v=4", - "name": "raiyanalam", - "url": "https://github.com/raiyanalam" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/36596168?s=40&v=4", - "name": "pulkitaggarwl", - "url": "https://github.com/pulkitaggarwl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/85578033?s=40&v=4", - "name": "sgollapudi77", - "url": "https://github.com/sgollapudi77" - } - ] - }, { "title": "nigelpoulton/TheK8sBook", "url": "https://github.com/nigelpoulton/TheK8sBook", @@ -77,6 +40,43 @@ "url": "https://github.com/ekozlowski" } ] + }, + { + "title": "Azure/actions-workflow-samples", + "url": "https://github.com/Azure/actions-workflow-samples", + "description": "Help developers to easily get started with GitHub Action workflows to deploy to Azure", + "language": "Pug", + "languageColor": "#a86454", + "stars": "454", + "forks": "623", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25389593?s=40&v=4", + "name": "N-Usha", + "url": "https://github.com/N-Usha" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/43583701?s=40&v=4", + "name": "manojvazirani", + "url": "https://github.com/manojvazirani" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7879476?s=40&v=4", + "name": "raiyanalam", + "url": "https://github.com/raiyanalam" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36596168?s=40&v=4", + "name": "pulkitaggarwl", + "url": "https://github.com/pulkitaggarwl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/85578033?s=40&v=4", + "name": "sgollapudi77", + "url": "https://github.com/sgollapudi77" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/pug.xml b/data/weekly/pug.xml index 27474e2ae735..b436ffe61317 100644 --- a/data/weekly/pug.xml +++ b/data/weekly/pug.xml @@ -3,45 +3,7 @@ GitHub Pug Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pug Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - Azure/actions-workflow-samples - https://github.com/Azure/actions-workflow-samples - Help developers to easily get started with GitHub Action workflows to deploy to Azure - https://github.com/Azure/actions-workflow-samples - Pug - #a86454 - 454 - 623 - 0 - - - https://avatars.githubusercontent.com/u/25389593?s=40&v=4 - N-Usha - https://github.com/N-Usha - - - https://avatars.githubusercontent.com/u/43583701?s=40&v=4 - manojvazirani - https://github.com/manojvazirani - - - https://avatars.githubusercontent.com/u/7879476?s=40&v=4 - raiyanalam - https://github.com/raiyanalam - - - https://avatars.githubusercontent.com/u/36596168?s=40&v=4 - pulkitaggarwl - https://github.com/pulkitaggarwl - - - https://avatars.githubusercontent.com/u/85578033?s=40&v=4 - sgollapudi77 - https://github.com/sgollapudi77 - - - + Mon, 25 Nov 2024 13:30:36 GMT nigelpoulton/TheK8sBook https://github.com/nigelpoulton/TheK8sBook @@ -80,5 +42,43 @@ + + Azure/actions-workflow-samples + https://github.com/Azure/actions-workflow-samples + Help developers to easily get started with GitHub Action workflows to deploy to Azure + https://github.com/Azure/actions-workflow-samples + Pug + #a86454 + 454 + 623 + 0 + + + https://avatars.githubusercontent.com/u/25389593?s=40&v=4 + N-Usha + https://github.com/N-Usha + + + https://avatars.githubusercontent.com/u/43583701?s=40&v=4 + manojvazirani + https://github.com/manojvazirani + + + https://avatars.githubusercontent.com/u/7879476?s=40&v=4 + raiyanalam + https://github.com/raiyanalam + + + https://avatars.githubusercontent.com/u/36596168?s=40&v=4 + pulkitaggarwl + https://github.com/pulkitaggarwl + + + https://avatars.githubusercontent.com/u/85578033?s=40&v=4 + sgollapudi77 + https://github.com/sgollapudi77 + + + \ No newline at end of file diff --git a/data/weekly/puppet.json b/data/weekly/puppet.json index 1f8d98330c5c..fa8ac4ce3751 100644 --- a/data/weekly/puppet.json +++ b/data/weekly/puppet.json @@ -2,7 +2,7 @@ "title": "GitHub Puppet Languages Weekly Trending", "description": "Weekly Trending of Puppet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "elastic/puppet-logstashforwarder", diff --git a/data/weekly/puppet.xml b/data/weekly/puppet.xml index 627fa8146b64..e448c47b7cf3 100644 --- a/data/weekly/puppet.xml +++ b/data/weekly/puppet.xml @@ -3,7 +3,7 @@ GitHub Puppet Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Puppet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT elastic/puppet-logstashforwarder https://github.com/elastic/puppet-logstashforwarder diff --git a/data/weekly/pure-data.json b/data/weekly/pure-data.json index 17bdda525980..3945b6b0f8bf 100644 --- a/data/weekly/pure-data.json +++ b/data/weekly/pure-data.json @@ -2,6 +2,6 @@ "title": "GitHub Pure-data Languages Weekly Trending", "description": "Weekly Trending of Pure-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pure-data.xml b/data/weekly/pure-data.xml index bbc8de855faa..ae775a2a20b2 100644 --- a/data/weekly/pure-data.xml +++ b/data/weekly/pure-data.xml @@ -3,6 +3,6 @@ GitHub Pure-data Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pure-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/purebasic.json b/data/weekly/purebasic.json index 87a90a2b04c3..1843142c6731 100644 --- a/data/weekly/purebasic.json +++ b/data/weekly/purebasic.json @@ -2,6 +2,6 @@ "title": "GitHub Purebasic Languages Weekly Trending", "description": "Weekly Trending of Purebasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/purebasic.xml b/data/weekly/purebasic.xml index 4ba52a0b516d..e78586ebfb8f 100644 --- a/data/weekly/purebasic.xml +++ b/data/weekly/purebasic.xml @@ -3,6 +3,6 @@ GitHub Purebasic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Purebasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/purescript.json b/data/weekly/purescript.json index 4ed05f14cb19..6aa706b57511 100644 --- a/data/weekly/purescript.json +++ b/data/weekly/purescript.json @@ -2,7 +2,7 @@ "title": "GitHub Purescript Languages Weekly Trending", "description": "Weekly Trending of Purescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nammayatri/nammayatri", diff --git a/data/weekly/purescript.xml b/data/weekly/purescript.xml index 774ad52cb30e..014729539fe0 100644 --- a/data/weekly/purescript.xml +++ b/data/weekly/purescript.xml @@ -3,7 +3,7 @@ GitHub Purescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Purescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nammayatri/nammayatri https://github.com/nammayatri/nammayatri diff --git a/data/weekly/pyret.json b/data/weekly/pyret.json index 88845febff83..df06032f3859 100644 --- a/data/weekly/pyret.json +++ b/data/weekly/pyret.json @@ -2,6 +2,6 @@ "title": "GitHub Pyret Languages Weekly Trending", "description": "Weekly Trending of Pyret Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/pyret.xml b/data/weekly/pyret.xml index 4a5fa1198f0c..c9020781217f 100644 --- a/data/weekly/pyret.xml +++ b/data/weekly/pyret.xml @@ -3,6 +3,6 @@ GitHub Pyret Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Pyret Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/python-console.json b/data/weekly/python-console.json index c70342ee6002..22f6c822a5e7 100644 --- a/data/weekly/python-console.json +++ b/data/weekly/python-console.json @@ -2,6 +2,6 @@ "title": "GitHub Python-console Languages Weekly Trending", "description": "Weekly Trending of Python-console Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/python-console.xml b/data/weekly/python-console.xml index 8698c0148e5d..dcb0812374ad 100644 --- a/data/weekly/python-console.xml +++ b/data/weekly/python-console.xml @@ -3,6 +3,6 @@ GitHub Python-console Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Python-console Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/python-traceback.json b/data/weekly/python-traceback.json index bfb2466ed5a8..6ae5e4d6166c 100644 --- a/data/weekly/python-traceback.json +++ b/data/weekly/python-traceback.json @@ -2,6 +2,6 @@ "title": "GitHub Python-traceback Languages Weekly Trending", "description": "Weekly Trending of Python-traceback Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/python-traceback.xml b/data/weekly/python-traceback.xml index cf5352aaf345..c5ef1c38db9e 100644 --- a/data/weekly/python-traceback.xml +++ b/data/weekly/python-traceback.xml @@ -3,6 +3,6 @@ GitHub Python-traceback Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Python-traceback Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/python.json b/data/weekly/python.json index 4321aac12f62..6eaee2dc10a5 100644 --- a/data/weekly/python.json +++ b/data/weekly/python.json @@ -2,7 +2,7 @@ "title": "GitHub Python Languages Weekly Trending", "description": "Weekly Trending of Python Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "freqtrade/freqtrade", @@ -10,8 +10,8 @@ "description": "Free, open source crypto trading bot", "language": "Python", "languageColor": "#3572A5", - "stars": "31,211", - "forks": "6,362", + "stars": "31,237", + "forks": "6,367", "addStars": "2,232", "contributors": [ { @@ -36,63 +36,14 @@ } ] }, - { - "title": "microsoft/TinyTroupe", - "url": "https://github.com/microsoft/TinyTroupe", - "description": "LLM-powered multiagent persona simulation for imagination enhancement and business insights.", - "language": "Python", - "languageColor": "#3572A5", - "stars": "4,357", - "forks": "304", - "addStars": "984", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1709404?s=40&v=4", - "name": "paulosalem", - "url": "https://github.com/paulosalem" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", - "name": "microsoftopensource", - "url": "https://github.com/microsoftopensource" - } - ] - }, - { - "title": "blacklanternsecurity/bbot", - "url": "https://github.com/blacklanternsecurity/bbot", - "description": "A recursive internet scanner for hackers. 🧡", - "language": "Python", - "languageColor": "#3572A5", - "stars": "6,920", - "forks": "523", - "addStars": "1,270", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20261699?s=40&v=4", - "name": "TheTechromancer", - "url": "https://github.com/TheTechromancer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24899338?s=40&v=4", - "name": "liquidsec", - "url": "https://github.com/liquidsec" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/122788350?s=40&v=4", - "name": "domwhewell-sage", - "url": "https://github.com/domwhewell-sage" - } - ] - }, { "title": "black-forest-labs/flux", "url": "https://github.com/black-forest-labs/flux", "description": "Official inference repo for FLUX.1 models", "language": "Python", "languageColor": "#3572A5", - "stars": "17,306", - "forks": "1,228", + "stars": "17,485", + "forks": "1,237", "addStars": "899", "contributors": [ { @@ -123,113 +74,83 @@ ] }, { - "title": "xtekky/gpt4free", - "url": "https://github.com/xtekky/gpt4free", - "description": "The official gpt4free repository | various collection of powerful language models", + "title": "blacklanternsecurity/bbot", + "url": "https://github.com/blacklanternsecurity/bbot", + "description": "A recursive internet scanner for hackers. 🧡", "language": "Python", "languageColor": "#3572A5", - "stars": "62,196", - "forks": "13,382", - "addStars": "1,038", + "stars": "6,944", + "forks": "525", + "addStars": "1,270", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/98614666?s=40&v=4", - "name": "xtekky", - "url": "https://github.com/xtekky" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/983577?s=40&v=4", - "name": "hlohaus", - "url": "https://github.com/hlohaus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/166700875?s=40&v=4", - "name": "kqlio67", - "url": "https://github.com/kqlio67" + "avatar": "https://avatars.githubusercontent.com/u/20261699?s=40&v=4", + "name": "TheTechromancer", + "url": "https://github.com/TheTechromancer" }, { - "avatar": "https://avatars.githubusercontent.com/u/22415463?s=40&v=4", - "name": "sudouser777", - "url": "https://github.com/sudouser777" + "avatar": "https://avatars.githubusercontent.com/u/24899338?s=40&v=4", + "name": "liquidsec", + "url": "https://github.com/liquidsec" }, { - "avatar": "https://avatars.githubusercontent.com/u/36830534?s=40&v=4", - "name": "bagusindrayana", - "url": "https://github.com/bagusindrayana" + "avatar": "https://avatars.githubusercontent.com/u/122788350?s=40&v=4", + "name": "domwhewell-sage", + "url": "https://github.com/domwhewell-sage" } ] }, { - "title": "dagster-io/dagster", - "url": "https://github.com/dagster-io/dagster", - "description": "An orchestration platform for the development, production, and observation of data assets.", + "title": "NVIDIA/garak", + "url": "https://github.com/NVIDIA/garak", + "description": "the LLM vulnerability scanner", "language": "Python", "languageColor": "#3572A5", - "stars": "11,893", - "forks": "1,489", - "addStars": "161", + "stars": "2,775", + "forks": "239", + "addStars": "1,207", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28738937?s=40&v=4", - "name": "schrockn", - "url": "https://github.com/schrockn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8451211?s=40&v=4", - "name": "gibsondan", - "url": "https://github.com/gibsondan" + "avatar": "https://avatars.githubusercontent.com/u/121934?s=40&v=4", + "name": "leondz", + "url": "https://github.com/leondz" }, { - "avatar": "https://avatars.githubusercontent.com/u/202219?s=40&v=4", - "name": "alangenfeld", - "url": "https://github.com/alangenfeld" + "avatar": "https://avatars.githubusercontent.com/u/7873740?s=40&v=4", + "name": "jmartin-tech", + "url": "https://github.com/jmartin-tech" }, { - "avatar": "https://avatars.githubusercontent.com/u/654855?s=40&v=4", - "name": "sryza", - "url": "https://github.com/sryza" + "avatar": "https://avatars.githubusercontent.com/u/43585941?s=40&v=4", + "name": "erickgalinkin", + "url": "https://github.com/erickgalinkin" }, { - "avatar": "https://avatars.githubusercontent.com/u/2823852?s=40&v=4", - "name": "hellendag", - "url": "https://github.com/hellendag" + "avatar": "https://avatars.githubusercontent.com/u/45014214?s=40&v=4", + "name": "arjun-krishna1", + "url": "https://github.com/arjun-krishna1" } ] }, { - "title": "khoj-ai/khoj", - "url": "https://github.com/khoj-ai/khoj", - "description": "Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral).", + "title": "microsoft/TinyTroupe", + "url": "https://github.com/microsoft/TinyTroupe", + "description": "LLM-powered multiagent persona simulation for imagination enhancement and business insights.", "language": "Python", "languageColor": "#3572A5", - "stars": "16,099", - "forks": "794", - "addStars": "865", + "stars": "4,389", + "forks": "308", + "addStars": "984", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6413477?s=40&v=4", - "name": "debanjum", - "url": "https://github.com/debanjum" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65192171?s=40&v=4", - "name": "sabaimran", - "url": "https://github.com/sabaimran" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62105787?s=40&v=4", - "name": "MythicalCow", - "url": "https://github.com/MythicalCow" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/486336?s=40&v=4", - "name": "aam-at", - "url": "https://github.com/aam-at" + "avatar": "https://avatars.githubusercontent.com/u/1709404?s=40&v=4", + "name": "paulosalem", + "url": "https://github.com/paulosalem" }, { - "avatar": "https://avatars.githubusercontent.com/u/108987556?s=40&v=4", - "name": "shantanuSakpal", - "url": "https://github.com/shantanuSakpal" + "avatar": "https://avatars.githubusercontent.com/u/22527892?s=40&v=4", + "name": "microsoftopensource", + "url": "https://github.com/microsoftopensource" } ] }, @@ -239,8 +160,8 @@ "description": "You like pytorch? You like micrograd? You love tinygrad! ❤️", "language": "Python", "languageColor": "#3572A5", - "stars": "26,986", - "forks": "3,000", + "stars": "26,988", + "forks": "3,001", "addStars": "119", "contributors": [ { @@ -266,71 +187,39 @@ ] }, { - "title": "NVIDIA/garak", - "url": "https://github.com/NVIDIA/garak", - "description": "the LLM vulnerability scanner", - "language": "Python", - "languageColor": "#3572A5", - "stars": "2,769", - "forks": "238", - "addStars": "1,207", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/121934?s=40&v=4", - "name": "leondz", - "url": "https://github.com/leondz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7873740?s=40&v=4", - "name": "jmartin-tech", - "url": "https://github.com/jmartin-tech" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43585941?s=40&v=4", - "name": "erickgalinkin", - "url": "https://github.com/erickgalinkin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45014214?s=40&v=4", - "name": "arjun-krishna1", - "url": "https://github.com/arjun-krishna1" - } - ] - }, - { - "title": "fixie-ai/ultravox", - "url": "https://github.com/fixie-ai/ultravox", - "description": "A fast multimodal LLM for real-time voice", + "title": "Asabeneh/30-Days-Of-Python", + "url": "https://github.com/Asabeneh/30-Days-Of-Python", + "description": "30 days of Python programming challenge is a step-by-step guide to learn the Python programming language in 30 days. This challenge may take more than100 days, follow your own pace. These videos may help too: https://www.youtube.com/channel/UC7PNRuno1rzYPb1xLa4yktw", "language": "Python", "languageColor": "#3572A5", - "stars": "1,421", - "forks": "88", - "addStars": "194", + "stars": "42,921", + "forks": "8,195", + "addStars": "206", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1821693?s=40&v=4", - "name": "juberti", - "url": "https://github.com/juberti" + "avatar": "https://avatars.githubusercontent.com/u/9008063?s=40&v=4", + "name": "Asabeneh", + "url": "https://github.com/Asabeneh" }, { - "avatar": "https://avatars.githubusercontent.com/u/5062458?s=40&v=4", - "name": "farzadab", - "url": "https://github.com/farzadab" + "avatar": "https://avatars.githubusercontent.com/u/36337174?s=40&v=4", + "name": "pkiczko", + "url": "https://github.com/pkiczko" }, { - "avatar": "https://avatars.githubusercontent.com/u/11863813?s=40&v=4", - "name": "zqhuang211", - "url": "https://github.com/zqhuang211" + "avatar": "https://avatars.githubusercontent.com/u/68845945?s=40&v=4", + "name": "kjs1019", + "url": "https://github.com/kjs1019" }, { - "avatar": "https://avatars.githubusercontent.com/u/8979025?s=40&v=4", - "name": "liPatrick", - "url": "https://github.com/liPatrick" + "avatar": "https://avatars.githubusercontent.com/u/82242552?s=40&v=4", + "name": "BrunoCiccarino", + "url": "https://github.com/BrunoCiccarino" }, { - "avatar": "https://avatars.githubusercontent.com/u/130235?s=40&v=4", - "name": "zkoch", - "url": "https://github.com/zkoch" + "avatar": "https://avatars.githubusercontent.com/u/41815728?s=40&v=4", + "name": "jshyun1", + "url": "https://github.com/jshyun1" } ] }, @@ -340,8 +229,8 @@ "description": "Learn how to design large-scale systems. Prep for the system design interview. Includes Anki flashcards.", "language": "Python", "languageColor": "#3572A5", - "stars": "277,316", - "forks": "46,507", + "stars": "277,351", + "forks": "46,511", "addStars": "1,258", "contributors": [ { @@ -372,108 +261,145 @@ ] }, { - "title": "infinition/Bjorn", - "url": "https://github.com/infinition/Bjorn", - "description": "Bjorn is a powerful network scanning and offensive security tool for the Raspberry Pi with a 2.13-inch e-Paper HAT. It discovers network targets, identifies open ports, exposed services, and potential vulnerabilities. Bjorn can perform brute force attacks, file stealing, host zombification, and supports custom attack scripts.", + "title": "dagster-io/dagster", + "url": "https://github.com/dagster-io/dagster", + "description": "An orchestration platform for the development, production, and observation of data assets.", "language": "Python", "languageColor": "#3572A5", - "stars": "2,536", - "forks": "104", - "addStars": "519", + "stars": "11,901", + "forks": "1,489", + "addStars": "161", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/37984399?s=40&v=4", - "name": "infinition", - "url": "https://github.com/infinition" + "avatar": "https://avatars.githubusercontent.com/u/28738937?s=40&v=4", + "name": "schrockn", + "url": "https://github.com/schrockn" }, { - "avatar": "https://avatars.githubusercontent.com/u/53613081?s=40&v=4", - "name": "JuanVilla424", - "url": "https://github.com/JuanVilla424" + "avatar": "https://avatars.githubusercontent.com/u/8451211?s=40&v=4", + "name": "gibsondan", + "url": "https://github.com/gibsondan" }, { - "avatar": "https://avatars.githubusercontent.com/u/37256246?s=40&v=4", - "name": "jbohack", - "url": "https://github.com/jbohack" + "avatar": "https://avatars.githubusercontent.com/u/202219?s=40&v=4", + "name": "alangenfeld", + "url": "https://github.com/alangenfeld" }, { - "avatar": "https://avatars.githubusercontent.com/u/57303461?s=40&v=4", - "name": "IncredibleZuess", - "url": "https://github.com/IncredibleZuess" + "avatar": "https://avatars.githubusercontent.com/u/654855?s=40&v=4", + "name": "sryza", + "url": "https://github.com/sryza" }, { - "avatar": "https://avatars.githubusercontent.com/u/3135030?s=40&v=4", - "name": "afreeland", - "url": "https://github.com/afreeland" + "avatar": "https://avatars.githubusercontent.com/u/2823852?s=40&v=4", + "name": "hellendag", + "url": "https://github.com/hellendag" } ] }, { - "title": "521xueweihan/GitHub520", - "url": "https://github.com/521xueweihan/GitHub520", - "description": "😘 让你“爱”上 GitHub,解决访问时图裂、加载慢的问题。(无需安装)", + "title": "xtekky/gpt4free", + "url": "https://github.com/xtekky/gpt4free", + "description": "The official gpt4free repository | various collection of powerful language models", "language": "Python", "languageColor": "#3572A5", - "stars": "23,120", - "forks": "2,278", - "addStars": "605", + "stars": "62,206", + "forks": "13,384", + "addStars": "1,038", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26452193?s=40&v=4", - "name": "521hellogithub", - "url": "https://github.com/521hellogithub" + "avatar": "https://avatars.githubusercontent.com/u/98614666?s=40&v=4", + "name": "xtekky", + "url": "https://github.com/xtekky" }, { - "avatar": "https://avatars.githubusercontent.com/u/8255800?s=40&v=4", - "name": "521xueweihan", - "url": "https://github.com/521xueweihan" + "avatar": "https://avatars.githubusercontent.com/u/983577?s=40&v=4", + "name": "hlohaus", + "url": "https://github.com/hlohaus" }, { - "avatar": "https://avatars.githubusercontent.com/u/25974092?s=40&v=4", - "name": "WestXu", - "url": "https://github.com/WestXu" + "avatar": "https://avatars.githubusercontent.com/u/166700875?s=40&v=4", + "name": "kqlio67", + "url": "https://github.com/kqlio67" }, { - "avatar": "https://avatars.githubusercontent.com/u/64722907?s=40&v=4", - "name": "lc6464", - "url": "https://github.com/lc6464" + "avatar": "https://avatars.githubusercontent.com/u/22415463?s=40&v=4", + "name": "sudouser777", + "url": "https://github.com/sudouser777" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/36830534?s=40&v=4", + "name": "bagusindrayana", + "url": "https://github.com/bagusindrayana" } ] }, { - "title": "opendatalab/MinerU", - "url": "https://github.com/opendatalab/MinerU", - "description": "A high-quality tool for convert PDF to Markdown and JSON.一站式开源高质量数据提取工具,将PDF转换成Markdown和JSON格式。", + "title": "khoj-ai/khoj", + "url": "https://github.com/khoj-ai/khoj", + "description": "Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral).", "language": "Python", "languageColor": "#3572A5", - "stars": "18,371", - "forks": "1,313", - "addStars": "1,222", + "stars": "16,123", + "forks": "794", + "addStars": "865", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11393164?s=40&v=4", - "name": "myhloli", - "url": "https://github.com/myhloli" + "avatar": "https://avatars.githubusercontent.com/u/6413477?s=40&v=4", + "name": "debanjum", + "url": "https://github.com/debanjum" }, { - "avatar": "https://avatars.githubusercontent.com/u/102640628?s=40&v=4", - "name": "dt-yy", - "url": "https://github.com/dt-yy" + "avatar": "https://avatars.githubusercontent.com/u/65192171?s=40&v=4", + "name": "sabaimran", + "url": "https://github.com/sabaimran" }, { - "avatar": "https://avatars.githubusercontent.com/u/25022954?s=40&v=4", - "name": "Focusshang", - "url": "https://github.com/Focusshang" + "avatar": "https://avatars.githubusercontent.com/u/62105787?s=40&v=4", + "name": "MythicalCow", + "url": "https://github.com/MythicalCow" }, { - "avatar": "https://avatars.githubusercontent.com/u/60862764?s=40&v=4", - "name": "drunkpig", - "url": "https://github.com/drunkpig" + "avatar": "https://avatars.githubusercontent.com/u/486336?s=40&v=4", + "name": "aam-at", + "url": "https://github.com/aam-at" }, { - "avatar": "https://avatars.githubusercontent.com/u/47357227?s=40&v=4", - "name": "papayalove", - "url": "https://github.com/papayalove" + "avatar": "https://avatars.githubusercontent.com/u/108987556?s=40&v=4", + "name": "shantanuSakpal", + "url": "https://github.com/shantanuSakpal" + } + ] + }, + { + "title": "abi/screenshot-to-code", + "url": "https://github.com/abi/screenshot-to-code", + "description": "Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue)", + "language": "Python", + "languageColor": "#3572A5", + "stars": "61,360", + "forks": "7,505", + "addStars": "1,058", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/23818?s=40&v=4", + "name": "abi", + "url": "https://github.com/abi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53188310?s=40&v=4", + "name": "clean99", + "url": "https://github.com/clean99" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/59241904?s=40&v=4", + "name": "kachbit", + "url": "https://github.com/kachbit" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6828924?s=40&v=4", + "name": "vagusX", + "url": "https://github.com/vagusX" } ] }, @@ -483,8 +409,8 @@ "description": "Nexa SDK is a comprehensive toolkit for supporting ONNX and GGML models. It supports text generation, image generation, vision-language models (VLM), auto-speech-recognition (ASR), and text-to-speech (TTS) capabilities.", "language": "Python", "languageColor": "#3572A5", - "stars": "4,174", - "forks": "615", + "stars": "4,189", + "forks": "619", "addStars": "441", "contributors": [ { @@ -514,45 +440,13 @@ } ] }, - { - "title": "abi/screenshot-to-code", - "url": "https://github.com/abi/screenshot-to-code", - "description": "Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue)", - "language": "Python", - "languageColor": "#3572A5", - "stars": "61,241", - "forks": "7,497", - "addStars": "1,058", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/23818?s=40&v=4", - "name": "abi", - "url": "https://github.com/abi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/53188310?s=40&v=4", - "name": "clean99", - "url": "https://github.com/clean99" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59241904?s=40&v=4", - "name": "kachbit", - "url": "https://github.com/kachbit" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6828924?s=40&v=4", - "name": "vagusX", - "url": "https://github.com/vagusX" - } - ] - }, { "title": "freqtrade/freqtrade-strategies", "url": "https://github.com/freqtrade/freqtrade-strategies", "description": "Free trading strategies for Freqtrade bot", "language": "Python", "languageColor": "#3572A5", - "stars": "3,421", + "stars": "3,422", "forks": "1,126", "addStars": "56", "contributors": [ @@ -584,76 +478,108 @@ ] }, { - "title": "Asabeneh/30-Days-Of-Python", - "url": "https://github.com/Asabeneh/30-Days-Of-Python", - "description": "30 days of Python programming challenge is a step-by-step guide to learn the Python programming language in 30 days. This challenge may take more than100 days, follow your own pace. These videos may help too: https://www.youtube.com/channel/UC7PNRuno1rzYPb1xLa4yktw", + "title": "infinition/Bjorn", + "url": "https://github.com/infinition/Bjorn", + "description": "Bjorn is a powerful network scanning and offensive security tool for the Raspberry Pi with a 2.13-inch e-Paper HAT. It discovers network targets, identifies open ports, exposed services, and potential vulnerabilities. Bjorn can perform brute force attacks, file stealing, host zombification, and supports custom attack scripts.", "language": "Python", "languageColor": "#3572A5", - "stars": "42,905", - "forks": "8,190", - "addStars": "206", + "stars": "2,545", + "forks": "105", + "addStars": "519", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/9008063?s=40&v=4", - "name": "Asabeneh", - "url": "https://github.com/Asabeneh" + "avatar": "https://avatars.githubusercontent.com/u/37984399?s=40&v=4", + "name": "infinition", + "url": "https://github.com/infinition" }, { - "avatar": "https://avatars.githubusercontent.com/u/36337174?s=40&v=4", - "name": "pkiczko", - "url": "https://github.com/pkiczko" + "avatar": "https://avatars.githubusercontent.com/u/53613081?s=40&v=4", + "name": "JuanVilla424", + "url": "https://github.com/JuanVilla424" }, { - "avatar": "https://avatars.githubusercontent.com/u/68845945?s=40&v=4", - "name": "kjs1019", - "url": "https://github.com/kjs1019" + "avatar": "https://avatars.githubusercontent.com/u/37256246?s=40&v=4", + "name": "jbohack", + "url": "https://github.com/jbohack" }, { - "avatar": "https://avatars.githubusercontent.com/u/82242552?s=40&v=4", - "name": "BrunoCiccarino", - "url": "https://github.com/BrunoCiccarino" + "avatar": "https://avatars.githubusercontent.com/u/57303461?s=40&v=4", + "name": "IncredibleZuess", + "url": "https://github.com/IncredibleZuess" }, { - "avatar": "https://avatars.githubusercontent.com/u/41815728?s=40&v=4", - "name": "jshyun1", - "url": "https://github.com/jshyun1" + "avatar": "https://avatars.githubusercontent.com/u/3135030?s=40&v=4", + "name": "afreeland", + "url": "https://github.com/afreeland" + } + ] + }, + { + "title": "521xueweihan/GitHub520", + "url": "https://github.com/521xueweihan/GitHub520", + "description": "😘 让你“爱”上 GitHub,解决访问时图裂、加载慢的问题。(无需安装)", + "language": "Python", + "languageColor": "#3572A5", + "stars": "23,139", + "forks": "2,278", + "addStars": "605", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26452193?s=40&v=4", + "name": "521hellogithub", + "url": "https://github.com/521hellogithub" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8255800?s=40&v=4", + "name": "521xueweihan", + "url": "https://github.com/521xueweihan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25974092?s=40&v=4", + "name": "WestXu", + "url": "https://github.com/WestXu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/64722907?s=40&v=4", + "name": "lc6464", + "url": "https://github.com/lc6464" } ] }, { - "title": "comfyanonymous/ComfyUI", - "url": "https://github.com/comfyanonymous/ComfyUI", - "description": "The most powerful and modular diffusion model GUI, api and backend with a graph/nodes interface.", + "title": "stanfordnlp/dspy", + "url": "https://github.com/stanfordnlp/dspy", + "description": "DSPy: The framework for programming—not prompting—language models", "language": "Python", "languageColor": "#3572A5", - "stars": "57,731", - "forks": "6,119", - "addStars": "837", + "stars": "19,174", + "forks": "1,461", + "addStars": "337", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/121283862?s=40&v=4", - "name": "comfyanonymous", - "url": "https://github.com/comfyanonymous" + "avatar": "https://avatars.githubusercontent.com/u/963532?s=40&v=4", + "name": "okhat", + "url": "https://github.com/okhat" }, { - "avatar": "https://avatars.githubusercontent.com/u/125205205?s=40&v=4", - "name": "pythongosssss", - "url": "https://github.com/pythongosssss" + "avatar": "https://avatars.githubusercontent.com/u/54859892?s=40&v=4", + "name": "arnavsinghvi11", + "url": "https://github.com/arnavsinghvi11" }, { - "avatar": "https://avatars.githubusercontent.com/u/20929282?s=40&v=4", - "name": "huchenlei", - "url": "https://github.com/huchenlei" + "avatar": "https://avatars.githubusercontent.com/u/43719685?s=40&v=4", + "name": "krypticmouse", + "url": "https://github.com/krypticmouse" }, { - "avatar": "https://avatars.githubusercontent.com/u/128333288?s=40&v=4", - "name": "ltdrdata", - "url": "https://github.com/ltdrdata" + "avatar": "https://avatars.githubusercontent.com/u/17116851?s=40&v=4", + "name": "isaacbmiller", + "url": "https://github.com/isaacbmiller" }, { - "avatar": "https://avatars.githubusercontent.com/u/23466035?s=40&v=4", - "name": "EllangoK", - "url": "https://github.com/EllangoK" + "avatar": "https://avatars.githubusercontent.com/u/946355?s=40&v=4", + "name": "thomasahle", + "url": "https://github.com/thomasahle" } ] } diff --git a/data/weekly/python.xml b/data/weekly/python.xml index d10a384afada..29d32b310381 100644 --- a/data/weekly/python.xml +++ b/data/weekly/python.xml @@ -3,7 +3,7 @@ GitHub Python Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Python Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT freqtrade/freqtrade https://github.com/freqtrade/freqtrade @@ -11,8 +11,8 @@ https://github.com/freqtrade/freqtrade Python #3572A5 - 31,211 - 6,362 + 31,237 + 6,367 2,232 @@ -37,57 +37,6 @@ - - microsoft/TinyTroupe - https://github.com/microsoft/TinyTroupe - LLM-powered multiagent persona simulation for imagination enhancement and business insights. - https://github.com/microsoft/TinyTroupe - Python - #3572A5 - 4,357 - 304 - 984 - - - https://avatars.githubusercontent.com/u/1709404?s=40&v=4 - paulosalem - https://github.com/paulosalem - - - https://avatars.githubusercontent.com/u/22527892?s=40&v=4 - microsoftopensource - https://github.com/microsoftopensource - - - - - blacklanternsecurity/bbot - https://github.com/blacklanternsecurity/bbot - A recursive internet scanner for hackers. 🧡 - https://github.com/blacklanternsecurity/bbot - Python - #3572A5 - 6,920 - 523 - 1,270 - - - https://avatars.githubusercontent.com/u/20261699?s=40&v=4 - TheTechromancer - https://github.com/TheTechromancer - - - https://avatars.githubusercontent.com/u/24899338?s=40&v=4 - liquidsec - https://github.com/liquidsec - - - https://avatars.githubusercontent.com/u/122788350?s=40&v=4 - domwhewell-sage - https://github.com/domwhewell-sage - - - black-forest-labs/flux https://github.com/black-forest-labs/flux @@ -95,8 +44,8 @@ https://github.com/black-forest-labs/flux Python #3572A5 - 17,306 - 1,228 + 17,485 + 1,237 899 @@ -127,116 +76,86 @@ - xtekky/gpt4free - https://github.com/xtekky/gpt4free - The official gpt4free repository | various collection of powerful language models - https://github.com/xtekky/gpt4free + blacklanternsecurity/bbot + https://github.com/blacklanternsecurity/bbot + A recursive internet scanner for hackers. 🧡 + https://github.com/blacklanternsecurity/bbot Python #3572A5 - 62,196 - 13,382 - 1,038 + 6,944 + 525 + 1,270 - https://avatars.githubusercontent.com/u/98614666?s=40&v=4 - xtekky - https://github.com/xtekky - - - https://avatars.githubusercontent.com/u/983577?s=40&v=4 - hlohaus - https://github.com/hlohaus - - - https://avatars.githubusercontent.com/u/166700875?s=40&v=4 - kqlio67 - https://github.com/kqlio67 + https://avatars.githubusercontent.com/u/20261699?s=40&v=4 + TheTechromancer + https://github.com/TheTechromancer - https://avatars.githubusercontent.com/u/22415463?s=40&v=4 - sudouser777 - https://github.com/sudouser777 + https://avatars.githubusercontent.com/u/24899338?s=40&v=4 + liquidsec + https://github.com/liquidsec - https://avatars.githubusercontent.com/u/36830534?s=40&v=4 - bagusindrayana - https://github.com/bagusindrayana + https://avatars.githubusercontent.com/u/122788350?s=40&v=4 + domwhewell-sage + https://github.com/domwhewell-sage - dagster-io/dagster - https://github.com/dagster-io/dagster - An orchestration platform for the development, production, and observation of data assets. - https://github.com/dagster-io/dagster + NVIDIA/garak + https://github.com/NVIDIA/garak + the LLM vulnerability scanner + https://github.com/NVIDIA/garak Python #3572A5 - 11,893 - 1,489 - 161 + 2,775 + 239 + 1,207 - https://avatars.githubusercontent.com/u/28738937?s=40&v=4 - schrockn - https://github.com/schrockn - - - https://avatars.githubusercontent.com/u/8451211?s=40&v=4 - gibsondan - https://github.com/gibsondan + https://avatars.githubusercontent.com/u/121934?s=40&v=4 + leondz + https://github.com/leondz - https://avatars.githubusercontent.com/u/202219?s=40&v=4 - alangenfeld - https://github.com/alangenfeld + https://avatars.githubusercontent.com/u/7873740?s=40&v=4 + jmartin-tech + https://github.com/jmartin-tech - https://avatars.githubusercontent.com/u/654855?s=40&v=4 - sryza - https://github.com/sryza + https://avatars.githubusercontent.com/u/43585941?s=40&v=4 + erickgalinkin + https://github.com/erickgalinkin - https://avatars.githubusercontent.com/u/2823852?s=40&v=4 - hellendag - https://github.com/hellendag + https://avatars.githubusercontent.com/u/45014214?s=40&v=4 + arjun-krishna1 + https://github.com/arjun-krishna1 - khoj-ai/khoj - https://github.com/khoj-ai/khoj - Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral). - https://github.com/khoj-ai/khoj + microsoft/TinyTroupe + https://github.com/microsoft/TinyTroupe + LLM-powered multiagent persona simulation for imagination enhancement and business insights. + https://github.com/microsoft/TinyTroupe Python #3572A5 - 16,099 - 794 - 865 + 4,389 + 308 + 984 - https://avatars.githubusercontent.com/u/6413477?s=40&v=4 - debanjum - https://github.com/debanjum - - - https://avatars.githubusercontent.com/u/65192171?s=40&v=4 - sabaimran - https://github.com/sabaimran - - - https://avatars.githubusercontent.com/u/62105787?s=40&v=4 - MythicalCow - https://github.com/MythicalCow - - - https://avatars.githubusercontent.com/u/486336?s=40&v=4 - aam-at - https://github.com/aam-at + https://avatars.githubusercontent.com/u/1709404?s=40&v=4 + paulosalem + https://github.com/paulosalem - https://avatars.githubusercontent.com/u/108987556?s=40&v=4 - shantanuSakpal - https://github.com/shantanuSakpal + https://avatars.githubusercontent.com/u/22527892?s=40&v=4 + microsoftopensource + https://github.com/microsoftopensource @@ -247,8 +166,8 @@ https://github.com/tinygrad/tinygrad Python #3572A5 - 26,986 - 3,000 + 26,988 + 3,001 119 @@ -274,73 +193,40 @@ - NVIDIA/garak - https://github.com/NVIDIA/garak - the LLM vulnerability scanner - https://github.com/NVIDIA/garak - Python - #3572A5 - 2,769 - 238 - 1,207 - - - https://avatars.githubusercontent.com/u/121934?s=40&v=4 - leondz - https://github.com/leondz - - - https://avatars.githubusercontent.com/u/7873740?s=40&v=4 - jmartin-tech - https://github.com/jmartin-tech - - - https://avatars.githubusercontent.com/u/43585941?s=40&v=4 - erickgalinkin - https://github.com/erickgalinkin - - - https://avatars.githubusercontent.com/u/45014214?s=40&v=4 - arjun-krishna1 - https://github.com/arjun-krishna1 - - - - - fixie-ai/ultravox - https://github.com/fixie-ai/ultravox - A fast multimodal LLM for real-time voice - https://github.com/fixie-ai/ultravox + Asabeneh/30-Days-Of-Python + https://github.com/Asabeneh/30-Days-Of-Python + 30 days of Python programming challenge is a step-by-step guide to learn the Python programming language in 30 days. This challenge may take more than100 days, follow your own pace. These videos may help too: https://www.youtube.com/channel/UC7PNRuno1rzYPb1xLa4yktw + https://github.com/Asabeneh/30-Days-Of-Python Python #3572A5 - 1,421 - 88 - 194 + 42,921 + 8,195 + 206 - https://avatars.githubusercontent.com/u/1821693?s=40&v=4 - juberti - https://github.com/juberti + https://avatars.githubusercontent.com/u/9008063?s=40&v=4 + Asabeneh + https://github.com/Asabeneh - https://avatars.githubusercontent.com/u/5062458?s=40&v=4 - farzadab - https://github.com/farzadab + https://avatars.githubusercontent.com/u/36337174?s=40&v=4 + pkiczko + https://github.com/pkiczko - https://avatars.githubusercontent.com/u/11863813?s=40&v=4 - zqhuang211 - https://github.com/zqhuang211 + https://avatars.githubusercontent.com/u/68845945?s=40&v=4 + kjs1019 + https://github.com/kjs1019 - https://avatars.githubusercontent.com/u/8979025?s=40&v=4 - liPatrick - https://github.com/liPatrick + https://avatars.githubusercontent.com/u/82242552?s=40&v=4 + BrunoCiccarino + https://github.com/BrunoCiccarino - https://avatars.githubusercontent.com/u/130235?s=40&v=4 - zkoch - https://github.com/zkoch + https://avatars.githubusercontent.com/u/41815728?s=40&v=4 + jshyun1 + https://github.com/jshyun1 @@ -351,8 +237,8 @@ https://github.com/donnemartin/system-design-primer Python #3572A5 - 277,316 - 46,507 + 277,351 + 46,511 1,258 @@ -383,111 +269,149 @@ - infinition/Bjorn - https://github.com/infinition/Bjorn - Bjorn is a powerful network scanning and offensive security tool for the Raspberry Pi with a 2.13-inch e-Paper HAT. It discovers network targets, identifies open ports, exposed services, and potential vulnerabilities. Bjorn can perform brute force attacks, file stealing, host zombification, and supports custom attack scripts. - https://github.com/infinition/Bjorn + dagster-io/dagster + https://github.com/dagster-io/dagster + An orchestration platform for the development, production, and observation of data assets. + https://github.com/dagster-io/dagster Python #3572A5 - 2,536 - 104 - 519 + 11,901 + 1,489 + 161 - https://avatars.githubusercontent.com/u/37984399?s=40&v=4 - infinition - https://github.com/infinition + https://avatars.githubusercontent.com/u/28738937?s=40&v=4 + schrockn + https://github.com/schrockn - https://avatars.githubusercontent.com/u/53613081?s=40&v=4 - JuanVilla424 - https://github.com/JuanVilla424 + https://avatars.githubusercontent.com/u/8451211?s=40&v=4 + gibsondan + https://github.com/gibsondan - https://avatars.githubusercontent.com/u/37256246?s=40&v=4 - jbohack - https://github.com/jbohack + https://avatars.githubusercontent.com/u/202219?s=40&v=4 + alangenfeld + https://github.com/alangenfeld - https://avatars.githubusercontent.com/u/57303461?s=40&v=4 - IncredibleZuess - https://github.com/IncredibleZuess + https://avatars.githubusercontent.com/u/654855?s=40&v=4 + sryza + https://github.com/sryza - https://avatars.githubusercontent.com/u/3135030?s=40&v=4 - afreeland - https://github.com/afreeland + https://avatars.githubusercontent.com/u/2823852?s=40&v=4 + hellendag + https://github.com/hellendag - 521xueweihan/GitHub520 - https://github.com/521xueweihan/GitHub520 - 😘 让你“爱”上 GitHub,解决访问时图裂、加载慢的问题。(无需安装) - https://github.com/521xueweihan/GitHub520 + xtekky/gpt4free + https://github.com/xtekky/gpt4free + The official gpt4free repository | various collection of powerful language models + https://github.com/xtekky/gpt4free Python #3572A5 - 23,120 - 2,278 - 605 + 62,206 + 13,384 + 1,038 - https://avatars.githubusercontent.com/u/26452193?s=40&v=4 - 521hellogithub - https://github.com/521hellogithub + https://avatars.githubusercontent.com/u/98614666?s=40&v=4 + xtekky + https://github.com/xtekky - https://avatars.githubusercontent.com/u/8255800?s=40&v=4 - 521xueweihan - https://github.com/521xueweihan + https://avatars.githubusercontent.com/u/983577?s=40&v=4 + hlohaus + https://github.com/hlohaus - https://avatars.githubusercontent.com/u/25974092?s=40&v=4 - WestXu - https://github.com/WestXu + https://avatars.githubusercontent.com/u/166700875?s=40&v=4 + kqlio67 + https://github.com/kqlio67 - https://avatars.githubusercontent.com/u/64722907?s=40&v=4 - lc6464 - https://github.com/lc6464 + https://avatars.githubusercontent.com/u/22415463?s=40&v=4 + sudouser777 + https://github.com/sudouser777 + + + https://avatars.githubusercontent.com/u/36830534?s=40&v=4 + bagusindrayana + https://github.com/bagusindrayana - opendatalab/MinerU - https://github.com/opendatalab/MinerU - A high-quality tool for convert PDF to Markdown and JSON.一站式开源高质量数据提取工具,将PDF转换成Markdown和JSON格式。 - https://github.com/opendatalab/MinerU + khoj-ai/khoj + https://github.com/khoj-ai/khoj + Your AI second brain. Self-hostable. Get answers from the web or your docs. Build custom agents, schedule automations, do deep research. Turn any online or local LLM into your personal, autonomous AI (e.g gpt, claude, gemini, llama, qwen, mistral). + https://github.com/khoj-ai/khoj Python #3572A5 - 18,371 - 1,313 - 1,222 + 16,123 + 794 + 865 - https://avatars.githubusercontent.com/u/11393164?s=40&v=4 - myhloli - https://github.com/myhloli + https://avatars.githubusercontent.com/u/6413477?s=40&v=4 + debanjum + https://github.com/debanjum - https://avatars.githubusercontent.com/u/102640628?s=40&v=4 - dt-yy - https://github.com/dt-yy + https://avatars.githubusercontent.com/u/65192171?s=40&v=4 + sabaimran + https://github.com/sabaimran - https://avatars.githubusercontent.com/u/25022954?s=40&v=4 - Focusshang - https://github.com/Focusshang + https://avatars.githubusercontent.com/u/62105787?s=40&v=4 + MythicalCow + https://github.com/MythicalCow - https://avatars.githubusercontent.com/u/60862764?s=40&v=4 - drunkpig - https://github.com/drunkpig + https://avatars.githubusercontent.com/u/486336?s=40&v=4 + aam-at + https://github.com/aam-at - https://avatars.githubusercontent.com/u/47357227?s=40&v=4 - papayalove - https://github.com/papayalove + https://avatars.githubusercontent.com/u/108987556?s=40&v=4 + shantanuSakpal + https://github.com/shantanuSakpal + + + + + abi/screenshot-to-code + https://github.com/abi/screenshot-to-code + Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue) + https://github.com/abi/screenshot-to-code + Python + #3572A5 + 61,360 + 7,505 + 1,058 + + + https://avatars.githubusercontent.com/u/23818?s=40&v=4 + abi + https://github.com/abi + + + https://avatars.githubusercontent.com/u/53188310?s=40&v=4 + clean99 + https://github.com/clean99 + + + https://avatars.githubusercontent.com/u/59241904?s=40&v=4 + kachbit + https://github.com/kachbit + + + https://avatars.githubusercontent.com/u/6828924?s=40&v=4 + vagusX + https://github.com/vagusX @@ -498,8 +422,8 @@ https://github.com/NexaAI/nexa-sdk Python #3572A5 - 4,174 - 615 + 4,189 + 619 441 @@ -529,39 +453,6 @@ - - abi/screenshot-to-code - https://github.com/abi/screenshot-to-code - Drop in a screenshot and convert it to clean code (HTML/Tailwind/React/Vue) - https://github.com/abi/screenshot-to-code - Python - #3572A5 - 61,241 - 7,497 - 1,058 - - - https://avatars.githubusercontent.com/u/23818?s=40&v=4 - abi - https://github.com/abi - - - https://avatars.githubusercontent.com/u/53188310?s=40&v=4 - clean99 - https://github.com/clean99 - - - https://avatars.githubusercontent.com/u/59241904?s=40&v=4 - kachbit - https://github.com/kachbit - - - https://avatars.githubusercontent.com/u/6828924?s=40&v=4 - vagusX - https://github.com/vagusX - - - freqtrade/freqtrade-strategies https://github.com/freqtrade/freqtrade-strategies @@ -569,7 +460,7 @@ https://github.com/freqtrade/freqtrade-strategies Python #3572A5 - 3,421 + 3,422 1,126 56 @@ -601,78 +492,111 @@ - Asabeneh/30-Days-Of-Python - https://github.com/Asabeneh/30-Days-Of-Python - 30 days of Python programming challenge is a step-by-step guide to learn the Python programming language in 30 days. This challenge may take more than100 days, follow your own pace. These videos may help too: https://www.youtube.com/channel/UC7PNRuno1rzYPb1xLa4yktw - https://github.com/Asabeneh/30-Days-Of-Python + infinition/Bjorn + https://github.com/infinition/Bjorn + Bjorn is a powerful network scanning and offensive security tool for the Raspberry Pi with a 2.13-inch e-Paper HAT. It discovers network targets, identifies open ports, exposed services, and potential vulnerabilities. Bjorn can perform brute force attacks, file stealing, host zombification, and supports custom attack scripts. + https://github.com/infinition/Bjorn Python #3572A5 - 42,905 - 8,190 - 206 + 2,545 + 105 + 519 - https://avatars.githubusercontent.com/u/9008063?s=40&v=4 - Asabeneh - https://github.com/Asabeneh + https://avatars.githubusercontent.com/u/37984399?s=40&v=4 + infinition + https://github.com/infinition - https://avatars.githubusercontent.com/u/36337174?s=40&v=4 - pkiczko - https://github.com/pkiczko + https://avatars.githubusercontent.com/u/53613081?s=40&v=4 + JuanVilla424 + https://github.com/JuanVilla424 - https://avatars.githubusercontent.com/u/68845945?s=40&v=4 - kjs1019 - https://github.com/kjs1019 + https://avatars.githubusercontent.com/u/37256246?s=40&v=4 + jbohack + https://github.com/jbohack - https://avatars.githubusercontent.com/u/82242552?s=40&v=4 - BrunoCiccarino - https://github.com/BrunoCiccarino + https://avatars.githubusercontent.com/u/57303461?s=40&v=4 + IncredibleZuess + https://github.com/IncredibleZuess - https://avatars.githubusercontent.com/u/41815728?s=40&v=4 - jshyun1 - https://github.com/jshyun1 + https://avatars.githubusercontent.com/u/3135030?s=40&v=4 + afreeland + https://github.com/afreeland + + + + + 521xueweihan/GitHub520 + https://github.com/521xueweihan/GitHub520 + 😘 让你“爱”上 GitHub,解决访问时图裂、加载慢的问题。(无需安装) + https://github.com/521xueweihan/GitHub520 + Python + #3572A5 + 23,139 + 2,278 + 605 + + + https://avatars.githubusercontent.com/u/26452193?s=40&v=4 + 521hellogithub + https://github.com/521hellogithub + + + https://avatars.githubusercontent.com/u/8255800?s=40&v=4 + 521xueweihan + https://github.com/521xueweihan + + + https://avatars.githubusercontent.com/u/25974092?s=40&v=4 + WestXu + https://github.com/WestXu + + + https://avatars.githubusercontent.com/u/64722907?s=40&v=4 + lc6464 + https://github.com/lc6464 - comfyanonymous/ComfyUI - https://github.com/comfyanonymous/ComfyUI - The most powerful and modular diffusion model GUI, api and backend with a graph/nodes interface. - https://github.com/comfyanonymous/ComfyUI + stanfordnlp/dspy + https://github.com/stanfordnlp/dspy + DSPy: The framework for programming—not prompting—language models + https://github.com/stanfordnlp/dspy Python #3572A5 - 57,731 - 6,119 - 837 + 19,174 + 1,461 + 337 - https://avatars.githubusercontent.com/u/121283862?s=40&v=4 - comfyanonymous - https://github.com/comfyanonymous + https://avatars.githubusercontent.com/u/963532?s=40&v=4 + okhat + https://github.com/okhat - https://avatars.githubusercontent.com/u/125205205?s=40&v=4 - pythongosssss - https://github.com/pythongosssss + https://avatars.githubusercontent.com/u/54859892?s=40&v=4 + arnavsinghvi11 + https://github.com/arnavsinghvi11 - https://avatars.githubusercontent.com/u/20929282?s=40&v=4 - huchenlei - https://github.com/huchenlei + https://avatars.githubusercontent.com/u/43719685?s=40&v=4 + krypticmouse + https://github.com/krypticmouse - https://avatars.githubusercontent.com/u/128333288?s=40&v=4 - ltdrdata - https://github.com/ltdrdata + https://avatars.githubusercontent.com/u/17116851?s=40&v=4 + isaacbmiller + https://github.com/isaacbmiller - https://avatars.githubusercontent.com/u/23466035?s=40&v=4 - EllangoK - https://github.com/EllangoK + https://avatars.githubusercontent.com/u/946355?s=40&v=4 + thomasahle + https://github.com/thomasahle diff --git a/data/weekly/q#.json b/data/weekly/q#.json index 3654c75aa67d..1d4f39b6eca4 100644 --- a/data/weekly/q#.json +++ b/data/weekly/q#.json @@ -2,6 +2,6 @@ "title": "GitHub Q# Languages Weekly Trending", "description": "Weekly Trending of Q# Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/q#.xml b/data/weekly/q#.xml index 84b818062850..32379cd8f108 100644 --- a/data/weekly/q#.xml +++ b/data/weekly/q#.xml @@ -3,6 +3,6 @@ GitHub Q# Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Q# Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/q.json b/data/weekly/q.json index 429b3962966b..2b6cbf77dfc0 100644 --- a/data/weekly/q.json +++ b/data/weekly/q.json @@ -2,6 +2,6 @@ "title": "GitHub Q Languages Weekly Trending", "description": "Weekly Trending of Q Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/q.xml b/data/weekly/q.xml index c95f178214fa..7ca6fc8d69c7 100644 --- a/data/weekly/q.xml +++ b/data/weekly/q.xml @@ -3,6 +3,6 @@ GitHub Q Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Q Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/qmake.json b/data/weekly/qmake.json index 8a56ab41e569..e9577a5c1a43 100644 --- a/data/weekly/qmake.json +++ b/data/weekly/qmake.json @@ -2,6 +2,6 @@ "title": "GitHub Qmake Languages Weekly Trending", "description": "Weekly Trending of Qmake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/qmake.xml b/data/weekly/qmake.xml index 50e485cdedd6..50bc334c0ee1 100644 --- a/data/weekly/qmake.xml +++ b/data/weekly/qmake.xml @@ -3,6 +3,6 @@ GitHub Qmake Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Qmake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/qml.json b/data/weekly/qml.json index a27e1a47f2a6..8ae3c1b85192 100644 --- a/data/weekly/qml.json +++ b/data/weekly/qml.json @@ -2,7 +2,7 @@ "title": "GitHub Qml Languages Weekly Trending", "description": "Weekly Trending of Qml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Swordfish90/cool-retro-term", @@ -10,7 +10,7 @@ "description": "A good looking terminal emulator which mimics the old cathode display...", "language": "QML", "languageColor": "#44a51c", - "stars": "22,399", + "stars": "22,400", "forks": "854", "addStars": "26", "contributors": [ @@ -41,6 +41,43 @@ } ] }, + { + "title": "3ximus/aerial-sddm-theme", + "url": "https://github.com/3ximus/aerial-sddm-theme", + "description": "SDDM theme with Apple TV Aerial videos", + "language": "QML", + "languageColor": "#44a51c", + "stars": "498", + "forks": "58", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9083012?s=40&v=4", + "name": "3ximus", + "url": "https://github.com/3ximus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/88069788?s=40&v=4", + "name": "hat3ph", + "url": "https://github.com/hat3ph" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/708712?s=40&v=4", + "name": "glsubri", + "url": "https://github.com/glsubri" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1201644?s=40&v=4", + "name": "CumpsD", + "url": "https://github.com/CumpsD" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/556162?s=40&v=4", + "name": "mihawk90", + "url": "https://github.com/mihawk90" + } + ] + }, { "title": "catsout/wallpaper-engine-kde-plugin", "url": "https://github.com/catsout/wallpaper-engine-kde-plugin", @@ -48,7 +85,7 @@ "language": "QML", "languageColor": "#44a51c", "stars": "1,822", - "forks": "76", + "forks": "77", "addStars": "14", "contributors": [ { @@ -84,7 +121,7 @@ "description": "Latte-Dock and WM status bar customization features for the default KDE Plasma panel", "language": "QML", "languageColor": "#44a51c", - "stars": "234", + "stars": "235", "forks": "3", "addStars": "8", "contributors": [ diff --git a/data/weekly/qml.xml b/data/weekly/qml.xml index 0761932925a8..cd20e6074c2e 100644 --- a/data/weekly/qml.xml +++ b/data/weekly/qml.xml @@ -3,7 +3,7 @@ GitHub Qml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Qml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Swordfish90/cool-retro-term https://github.com/Swordfish90/cool-retro-term @@ -11,7 +11,7 @@ https://github.com/Swordfish90/cool-retro-term QML #44a51c - 22,399 + 22,400 854 26 @@ -42,6 +42,44 @@ + + 3ximus/aerial-sddm-theme + https://github.com/3ximus/aerial-sddm-theme + SDDM theme with Apple TV Aerial videos + https://github.com/3ximus/aerial-sddm-theme + QML + #44a51c + 498 + 58 + 3 + + + https://avatars.githubusercontent.com/u/9083012?s=40&v=4 + 3ximus + https://github.com/3ximus + + + https://avatars.githubusercontent.com/u/88069788?s=40&v=4 + hat3ph + https://github.com/hat3ph + + + https://avatars.githubusercontent.com/u/708712?s=40&v=4 + glsubri + https://github.com/glsubri + + + https://avatars.githubusercontent.com/u/1201644?s=40&v=4 + CumpsD + https://github.com/CumpsD + + + https://avatars.githubusercontent.com/u/556162?s=40&v=4 + mihawk90 + https://github.com/mihawk90 + + + catsout/wallpaper-engine-kde-plugin https://github.com/catsout/wallpaper-engine-kde-plugin @@ -50,7 +88,7 @@ QML #44a51c 1,822 - 76 + 77 14 @@ -87,7 +125,7 @@ https://github.com/luisbocanegra/plasma-panel-colorizer QML #44a51c - 234 + 235 3 8 diff --git a/data/weekly/qt-script.json b/data/weekly/qt-script.json index 6ce7a96ea477..10a9c6493a0d 100644 --- a/data/weekly/qt-script.json +++ b/data/weekly/qt-script.json @@ -2,6 +2,6 @@ "title": "GitHub Qt-script Languages Weekly Trending", "description": "Weekly Trending of Qt-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/qt-script.xml b/data/weekly/qt-script.xml index 7b341766e1e3..e84ffa36778f 100644 --- a/data/weekly/qt-script.xml +++ b/data/weekly/qt-script.xml @@ -3,6 +3,6 @@ GitHub Qt-script Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Qt-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/quake.json b/data/weekly/quake.json index 6cd9a83cb63f..a7a8d93a339f 100644 --- a/data/weekly/quake.json +++ b/data/weekly/quake.json @@ -2,6 +2,6 @@ "title": "GitHub Quake Languages Weekly Trending", "description": "Weekly Trending of Quake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/quake.xml b/data/weekly/quake.xml index 565d14499d66..a8abd62468d6 100644 --- a/data/weekly/quake.xml +++ b/data/weekly/quake.xml @@ -3,6 +3,6 @@ GitHub Quake Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Quake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/r.json b/data/weekly/r.json index fbc07ba45ffe..10b0d3338c0d 100644 --- a/data/weekly/r.json +++ b/data/weekly/r.json @@ -2,7 +2,7 @@ "title": "GitHub R Languages Weekly Trending", "description": "Weekly Trending of R Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "stuart-lab/signac", @@ -196,43 +196,6 @@ } ] }, - { - "title": "rstudio/shiny", - "url": "https://github.com/rstudio/shiny", - "description": "Easy interactive web applications with R", - "language": "R", - "languageColor": "#198CE7", - "stars": "5,376", - "forks": "1,864", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/86978?s=40&v=4", - "name": "wch", - "url": "https://github.com/wch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/129551?s=40&v=4", - "name": "jcheng5", - "url": "https://github.com/jcheng5" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1365941?s=40&v=4", - "name": "cpsievert", - "url": "https://github.com/cpsievert" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/93231?s=40&v=4", - "name": "schloerke", - "url": "https://github.com/schloerke" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/163582?s=40&v=4", - "name": "yihui", - "url": "https://github.com/yihui" - } - ] - }, { "title": "broadinstitute/infercnv", "url": "https://github.com/broadinstitute/infercnv", @@ -270,6 +233,43 @@ } ] }, + { + "title": "rstudio/shiny", + "url": "https://github.com/rstudio/shiny", + "description": "Easy interactive web applications with R", + "language": "R", + "languageColor": "#198CE7", + "stars": "5,376", + "forks": "1,864", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/86978?s=40&v=4", + "name": "wch", + "url": "https://github.com/wch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/129551?s=40&v=4", + "name": "jcheng5", + "url": "https://github.com/jcheng5" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1365941?s=40&v=4", + "name": "cpsievert", + "url": "https://github.com/cpsievert" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/93231?s=40&v=4", + "name": "schloerke", + "url": "https://github.com/schloerke" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/163582?s=40&v=4", + "name": "yihui", + "url": "https://github.com/yihui" + } + ] + }, { "title": "vegandevs/vegan", "url": "https://github.com/vegandevs/vegan", @@ -307,43 +307,6 @@ } ] }, - { - "title": "satijalab/seurat-wrappers", - "url": "https://github.com/satijalab/seurat-wrappers", - "description": "Community-provided extensions to Seurat", - "language": "R", - "languageColor": "#198CE7", - "stars": "306", - "forks": "131", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11035068?s=40&v=4", - "name": "mojaveazure", - "url": "https://github.com/mojaveazure" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5632416?s=40&v=4", - "name": "andrewwbutler", - "url": "https://github.com/andrewwbutler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65071847?s=40&v=4", - "name": "jleechung", - "url": "https://github.com/jleechung" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/23369610?s=40&v=4", - "name": "dcollins15", - "url": "https://github.com/dcollins15" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59940281?s=40&v=4", - "name": "Gesmira", - "url": "https://github.com/Gesmira" - } - ] - }, { "title": "mojaveazure/seurat-disk", "url": "https://github.com/mojaveazure/seurat-disk", @@ -382,39 +345,76 @@ ] }, { - "title": "prisma-flowdiagram/PRISMA2020", - "url": "https://github.com/prisma-flowdiagram/PRISMA2020", - "description": "Produce PRISMA-2020 compliant flow diagrams", + "title": "YuLab-SMU/clusterProfiler", + "url": "https://github.com/YuLab-SMU/clusterProfiler", + "description": "📊 A universal enrichment tool for interpreting omics data", "language": "R", "languageColor": "#198CE7", - "stars": "144", - "forks": "71", - "addStars": "2", + "stars": "1,027", + "forks": "256", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1839074?s=40&v=4", - "name": "chriscpritchard", - "url": "https://github.com/chriscpritchard" + "avatar": "https://avatars.githubusercontent.com/u/626539?s=40&v=4", + "name": "GuangchuangYu", + "url": "https://github.com/GuangchuangYu" }, { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" + "avatar": "https://avatars.githubusercontent.com/u/48857018?s=40&v=4", + "name": "huerqiang", + "url": "https://github.com/huerqiang" }, { - "avatar": "https://avatars.githubusercontent.com/u/43783900?s=40&v=4", - "name": "nealhaddaway", - "url": "https://github.com/nealhaddaway" + "avatar": "https://avatars.githubusercontent.com/u/2286826?s=40&v=4", + "name": "dtenenba", + "url": "https://github.com/dtenenba" }, { - "avatar": "https://avatars.githubusercontent.com/u/41202585?s=40&v=4", - "name": "mcguinlu", - "url": "https://github.com/mcguinlu" + "avatar": "https://avatars.githubusercontent.com/u/75614134?s=40&v=4", + "name": "Jigyasa-G", + "url": "https://github.com/Jigyasa-G" }, { - "avatar": "https://avatars.githubusercontent.com/u/855847?s=40&v=4", - "name": "hammady", - "url": "https://github.com/hammady" + "avatar": "https://avatars.githubusercontent.com/u/14500?s=40&v=4", + "name": "dalloliogm", + "url": "https://github.com/dalloliogm" + } + ] + }, + { + "title": "satijalab/seurat-wrappers", + "url": "https://github.com/satijalab/seurat-wrappers", + "description": "Community-provided extensions to Seurat", + "language": "R", + "languageColor": "#198CE7", + "stars": "306", + "forks": "131", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/11035068?s=40&v=4", + "name": "mojaveazure", + "url": "https://github.com/mojaveazure" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5632416?s=40&v=4", + "name": "andrewwbutler", + "url": "https://github.com/andrewwbutler" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65071847?s=40&v=4", + "name": "jleechung", + "url": "https://github.com/jleechung" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/23369610?s=40&v=4", + "name": "dcollins15", + "url": "https://github.com/dcollins15" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/59940281?s=40&v=4", + "name": "Gesmira", + "url": "https://github.com/Gesmira" } ] }, @@ -454,43 +454,6 @@ "url": "https://github.com/ThomasKAtkins" } ] - }, - { - "title": "YuLab-SMU/clusterProfiler", - "url": "https://github.com/YuLab-SMU/clusterProfiler", - "description": "📊 A universal enrichment tool for interpreting omics data", - "language": "R", - "languageColor": "#198CE7", - "stars": "1,027", - "forks": "256", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/626539?s=40&v=4", - "name": "GuangchuangYu", - "url": "https://github.com/GuangchuangYu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48857018?s=40&v=4", - "name": "huerqiang", - "url": "https://github.com/huerqiang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2286826?s=40&v=4", - "name": "dtenenba", - "url": "https://github.com/dtenenba" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/75614134?s=40&v=4", - "name": "Jigyasa-G", - "url": "https://github.com/Jigyasa-G" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14500?s=40&v=4", - "name": "dalloliogm", - "url": "https://github.com/dalloliogm" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/r.xml b/data/weekly/r.xml index cf06a83a227a..e50fdeedeccf 100644 --- a/data/weekly/r.xml +++ b/data/weekly/r.xml @@ -3,7 +3,7 @@ GitHub R Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of R Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT stuart-lab/signac https://github.com/stuart-lab/signac @@ -202,44 +202,6 @@ - - rstudio/shiny - https://github.com/rstudio/shiny - Easy interactive web applications with R - https://github.com/rstudio/shiny - R - #198CE7 - 5,376 - 1,864 - 7 - - - https://avatars.githubusercontent.com/u/86978?s=40&v=4 - wch - https://github.com/wch - - - https://avatars.githubusercontent.com/u/129551?s=40&v=4 - jcheng5 - https://github.com/jcheng5 - - - https://avatars.githubusercontent.com/u/1365941?s=40&v=4 - cpsievert - https://github.com/cpsievert - - - https://avatars.githubusercontent.com/u/93231?s=40&v=4 - schloerke - https://github.com/schloerke - - - https://avatars.githubusercontent.com/u/163582?s=40&v=4 - yihui - https://github.com/yihui - - - broadinstitute/infercnv https://github.com/broadinstitute/infercnv @@ -278,6 +240,44 @@ + + rstudio/shiny + https://github.com/rstudio/shiny + Easy interactive web applications with R + https://github.com/rstudio/shiny + R + #198CE7 + 5,376 + 1,864 + 7 + + + https://avatars.githubusercontent.com/u/86978?s=40&v=4 + wch + https://github.com/wch + + + https://avatars.githubusercontent.com/u/129551?s=40&v=4 + jcheng5 + https://github.com/jcheng5 + + + https://avatars.githubusercontent.com/u/1365941?s=40&v=4 + cpsievert + https://github.com/cpsievert + + + https://avatars.githubusercontent.com/u/93231?s=40&v=4 + schloerke + https://github.com/schloerke + + + https://avatars.githubusercontent.com/u/163582?s=40&v=4 + yihui + https://github.com/yihui + + + vegandevs/vegan https://github.com/vegandevs/vegan @@ -316,44 +316,6 @@ - - satijalab/seurat-wrappers - https://github.com/satijalab/seurat-wrappers - Community-provided extensions to Seurat - https://github.com/satijalab/seurat-wrappers - R - #198CE7 - 306 - 131 - 1 - - - https://avatars.githubusercontent.com/u/11035068?s=40&v=4 - mojaveazure - https://github.com/mojaveazure - - - https://avatars.githubusercontent.com/u/5632416?s=40&v=4 - andrewwbutler - https://github.com/andrewwbutler - - - https://avatars.githubusercontent.com/u/65071847?s=40&v=4 - jleechung - https://github.com/jleechung - - - https://avatars.githubusercontent.com/u/23369610?s=40&v=4 - dcollins15 - https://github.com/dcollins15 - - - https://avatars.githubusercontent.com/u/59940281?s=40&v=4 - Gesmira - https://github.com/Gesmira - - - mojaveazure/seurat-disk https://github.com/mojaveazure/seurat-disk @@ -393,40 +355,78 @@ - prisma-flowdiagram/PRISMA2020 - https://github.com/prisma-flowdiagram/PRISMA2020 - Produce PRISMA-2020 compliant flow diagrams - https://github.com/prisma-flowdiagram/PRISMA2020 + YuLab-SMU/clusterProfiler + https://github.com/YuLab-SMU/clusterProfiler + 📊 A universal enrichment tool for interpreting omics data + https://github.com/YuLab-SMU/clusterProfiler R #198CE7 - 144 - 71 - 2 + 1,027 + 256 + 4 - https://avatars.githubusercontent.com/u/1839074?s=40&v=4 - chriscpritchard - https://github.com/chriscpritchard + https://avatars.githubusercontent.com/u/626539?s=40&v=4 + GuangchuangYu + https://github.com/GuangchuangYu - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user + https://avatars.githubusercontent.com/u/48857018?s=40&v=4 + huerqiang + https://github.com/huerqiang + + + https://avatars.githubusercontent.com/u/2286826?s=40&v=4 + dtenenba + https://github.com/dtenenba + + + https://avatars.githubusercontent.com/u/75614134?s=40&v=4 + Jigyasa-G + https://github.com/Jigyasa-G + + + https://avatars.githubusercontent.com/u/14500?s=40&v=4 + dalloliogm + https://github.com/dalloliogm + + + + + satijalab/seurat-wrappers + https://github.com/satijalab/seurat-wrappers + Community-provided extensions to Seurat + https://github.com/satijalab/seurat-wrappers + R + #198CE7 + 306 + 131 + 1 + + + https://avatars.githubusercontent.com/u/11035068?s=40&v=4 + mojaveazure + https://github.com/mojaveazure - https://avatars.githubusercontent.com/u/43783900?s=40&v=4 - nealhaddaway - https://github.com/nealhaddaway + https://avatars.githubusercontent.com/u/5632416?s=40&v=4 + andrewwbutler + https://github.com/andrewwbutler - https://avatars.githubusercontent.com/u/41202585?s=40&v=4 - mcguinlu - https://github.com/mcguinlu + https://avatars.githubusercontent.com/u/65071847?s=40&v=4 + jleechung + https://github.com/jleechung - https://avatars.githubusercontent.com/u/855847?s=40&v=4 - hammady - https://github.com/hammady + https://avatars.githubusercontent.com/u/23369610?s=40&v=4 + dcollins15 + https://github.com/dcollins15 + + + https://avatars.githubusercontent.com/u/59940281?s=40&v=4 + Gesmira + https://github.com/Gesmira @@ -468,43 +468,5 @@ - - YuLab-SMU/clusterProfiler - https://github.com/YuLab-SMU/clusterProfiler - 📊 A universal enrichment tool for interpreting omics data - https://github.com/YuLab-SMU/clusterProfiler - R - #198CE7 - 1,027 - 256 - 4 - - - https://avatars.githubusercontent.com/u/626539?s=40&v=4 - GuangchuangYu - https://github.com/GuangchuangYu - - - https://avatars.githubusercontent.com/u/48857018?s=40&v=4 - huerqiang - https://github.com/huerqiang - - - https://avatars.githubusercontent.com/u/2286826?s=40&v=4 - dtenenba - https://github.com/dtenenba - - - https://avatars.githubusercontent.com/u/75614134?s=40&v=4 - Jigyasa-G - https://github.com/Jigyasa-G - - - https://avatars.githubusercontent.com/u/14500?s=40&v=4 - dalloliogm - https://github.com/dalloliogm - - - \ No newline at end of file diff --git a/data/weekly/racket.json b/data/weekly/racket.json index 6cf7de27a40a..2289afe7a237 100644 --- a/data/weekly/racket.json +++ b/data/weekly/racket.json @@ -2,6 +2,44 @@ "title": "GitHub Racket Languages Weekly Trending", "description": "Weekly Trending of Racket Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", - "items": [] + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", + "items": [ + { + "title": "racket/racket", + "url": "https://github.com/racket/racket", + "description": "The Racket repository", + "language": "Racket", + "languageColor": "#3c5caa", + "stars": "4,824", + "forks": "661", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/295034?s=40&v=4", + "name": "mflatt", + "url": "https://github.com/mflatt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/185905?s=40&v=4", + "name": "elibarzilay", + "url": "https://github.com/elibarzilay" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/399024?s=40&v=4", + "name": "rfindler", + "url": "https://github.com/rfindler" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/244723?s=40&v=4", + "name": "samth", + "url": "https://github.com/samth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/232698?s=40&v=4", + "name": "jeapostrophe", + "url": "https://github.com/jeapostrophe" + } + ] + } + ] } \ No newline at end of file diff --git a/data/weekly/racket.xml b/data/weekly/racket.xml index 28c531840d2f..95b8c216bcb0 100644 --- a/data/weekly/racket.xml +++ b/data/weekly/racket.xml @@ -3,6 +3,44 @@ GitHub Racket Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Racket Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + racket/racket + https://github.com/racket/racket + The Racket repository + https://github.com/racket/racket + Racket + #3c5caa + 4,824 + 661 + 10 + + + https://avatars.githubusercontent.com/u/295034?s=40&v=4 + mflatt + https://github.com/mflatt + + + https://avatars.githubusercontent.com/u/185905?s=40&v=4 + elibarzilay + https://github.com/elibarzilay + + + https://avatars.githubusercontent.com/u/399024?s=40&v=4 + rfindler + https://github.com/rfindler + + + https://avatars.githubusercontent.com/u/244723?s=40&v=4 + samth + https://github.com/samth + + + https://avatars.githubusercontent.com/u/232698?s=40&v=4 + jeapostrophe + https://github.com/jeapostrophe + + + \ No newline at end of file diff --git a/data/weekly/ragel.json b/data/weekly/ragel.json index d9a906422297..385ac6813731 100644 --- a/data/weekly/ragel.json +++ b/data/weekly/ragel.json @@ -2,6 +2,6 @@ "title": "GitHub Ragel Languages Weekly Trending", "description": "Weekly Trending of Ragel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ragel.xml b/data/weekly/ragel.xml index 9228310446fb..dfc1bb6ade7f 100644 --- a/data/weekly/ragel.xml +++ b/data/weekly/ragel.xml @@ -3,6 +3,6 @@ GitHub Ragel Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ragel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/raku.json b/data/weekly/raku.json index cf437b949f32..b925eb29fe93 100644 --- a/data/weekly/raku.json +++ b/data/weekly/raku.json @@ -2,7 +2,7 @@ "title": "GitHub Raku Languages Weekly Trending", "description": "Weekly Trending of Raku Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Kong/lua-kong-nginx-module", diff --git a/data/weekly/raku.xml b/data/weekly/raku.xml index 66cb359f7ac6..664954ae2234 100644 --- a/data/weekly/raku.xml +++ b/data/weekly/raku.xml @@ -3,7 +3,7 @@ GitHub Raku Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Raku Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Kong/lua-kong-nginx-module https://github.com/Kong/lua-kong-nginx-module diff --git a/data/weekly/raml.json b/data/weekly/raml.json index b4e735e6392e..d9a12029d899 100644 --- a/data/weekly/raml.json +++ b/data/weekly/raml.json @@ -2,6 +2,6 @@ "title": "GitHub Raml Languages Weekly Trending", "description": "Weekly Trending of Raml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/raml.xml b/data/weekly/raml.xml index 4d1b4efc923c..d2b3a56f50b7 100644 --- a/data/weekly/raml.xml +++ b/data/weekly/raml.xml @@ -3,6 +3,6 @@ GitHub Raml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Raml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rascal.json b/data/weekly/rascal.json index 2fe7ea08abdd..115af6da3435 100644 --- a/data/weekly/rascal.json +++ b/data/weekly/rascal.json @@ -2,6 +2,6 @@ "title": "GitHub Rascal Languages Weekly Trending", "description": "Weekly Trending of Rascal Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rascal.xml b/data/weekly/rascal.xml index e6f1c709457d..e829b28861ee 100644 --- a/data/weekly/rascal.xml +++ b/data/weekly/rascal.xml @@ -3,6 +3,6 @@ GitHub Rascal Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rascal Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/raw-token-data.json b/data/weekly/raw-token-data.json index b9b251888067..450bb7b659ae 100644 --- a/data/weekly/raw-token-data.json +++ b/data/weekly/raw-token-data.json @@ -2,6 +2,6 @@ "title": "GitHub Raw-token-data Languages Weekly Trending", "description": "Weekly Trending of Raw-token-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/raw-token-data.xml b/data/weekly/raw-token-data.xml index 496750c3d5e7..bdafefdff82d 100644 --- a/data/weekly/raw-token-data.xml +++ b/data/weekly/raw-token-data.xml @@ -3,6 +3,6 @@ GitHub Raw-token-data Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Raw-token-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rbs.json b/data/weekly/rbs.json index 5f0050be0fa2..56d4ec8e795a 100644 --- a/data/weekly/rbs.json +++ b/data/weekly/rbs.json @@ -2,6 +2,6 @@ "title": "GitHub Rbs Languages Weekly Trending", "description": "Weekly Trending of Rbs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rbs.xml b/data/weekly/rbs.xml index 1cc527a9ce75..4e18c09d1146 100644 --- a/data/weekly/rbs.xml +++ b/data/weekly/rbs.xml @@ -3,6 +3,6 @@ GitHub Rbs Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rbs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rdoc.json b/data/weekly/rdoc.json index 827242e1b18c..1144d4ade22b 100644 --- a/data/weekly/rdoc.json +++ b/data/weekly/rdoc.json @@ -2,6 +2,6 @@ "title": "GitHub Rdoc Languages Weekly Trending", "description": "Weekly Trending of Rdoc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rdoc.xml b/data/weekly/rdoc.xml index 0ebb0a3f4ffa..2e4d93610478 100644 --- a/data/weekly/rdoc.xml +++ b/data/weekly/rdoc.xml @@ -3,6 +3,6 @@ GitHub Rdoc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rdoc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/readline-config.json b/data/weekly/readline-config.json index e4268fbd5539..39cddb05960e 100644 --- a/data/weekly/readline-config.json +++ b/data/weekly/readline-config.json @@ -2,6 +2,6 @@ "title": "GitHub Readline-config Languages Weekly Trending", "description": "Weekly Trending of Readline-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/readline-config.xml b/data/weekly/readline-config.xml index c1c97be2545b..3317561856ba 100644 --- a/data/weekly/readline-config.xml +++ b/data/weekly/readline-config.xml @@ -3,6 +3,6 @@ GitHub Readline-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Readline-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/realbasic.json b/data/weekly/realbasic.json index bda2665a78ca..91a59ae11edd 100644 --- a/data/weekly/realbasic.json +++ b/data/weekly/realbasic.json @@ -2,6 +2,6 @@ "title": "GitHub Realbasic Languages Weekly Trending", "description": "Weekly Trending of Realbasic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/realbasic.xml b/data/weekly/realbasic.xml index 4afd694dd040..910422f193cf 100644 --- a/data/weekly/realbasic.xml +++ b/data/weekly/realbasic.xml @@ -3,6 +3,6 @@ GitHub Realbasic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Realbasic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/reason.json b/data/weekly/reason.json index 08e437b7303a..b91aaf7289ed 100644 --- a/data/weekly/reason.json +++ b/data/weekly/reason.json @@ -2,6 +2,6 @@ "title": "GitHub Reason Languages Weekly Trending", "description": "Weekly Trending of Reason Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/reason.xml b/data/weekly/reason.xml index e825eb931368..464c0090e1be 100644 --- a/data/weekly/reason.xml +++ b/data/weekly/reason.xml @@ -3,6 +3,6 @@ GitHub Reason Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Reason Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/reasonligo.json b/data/weekly/reasonligo.json index 7856b642fdbf..087d61d73165 100644 --- a/data/weekly/reasonligo.json +++ b/data/weekly/reasonligo.json @@ -2,6 +2,6 @@ "title": "GitHub Reasonligo Languages Weekly Trending", "description": "Weekly Trending of Reasonligo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/reasonligo.xml b/data/weekly/reasonligo.xml index c0eca8e10da1..5dd2a68a3e15 100644 --- a/data/weekly/reasonligo.xml +++ b/data/weekly/reasonligo.xml @@ -3,6 +3,6 @@ GitHub Reasonligo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Reasonligo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rebol.json b/data/weekly/rebol.json index 763aaac10e41..3f569333e93d 100644 --- a/data/weekly/rebol.json +++ b/data/weekly/rebol.json @@ -2,6 +2,6 @@ "title": "GitHub Rebol Languages Weekly Trending", "description": "Weekly Trending of Rebol Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rebol.xml b/data/weekly/rebol.xml index 6f02cff0f698..14471be8f270 100644 --- a/data/weekly/rebol.xml +++ b/data/weekly/rebol.xml @@ -3,6 +3,6 @@ GitHub Rebol Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rebol Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/record-jar.json b/data/weekly/record-jar.json index 88deea45c273..6f78b7709530 100644 --- a/data/weekly/record-jar.json +++ b/data/weekly/record-jar.json @@ -2,6 +2,6 @@ "title": "GitHub Record-jar Languages Weekly Trending", "description": "Weekly Trending of Record-jar Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/record-jar.xml b/data/weekly/record-jar.xml index 3cb81c66556a..db27b26dde8e 100644 --- a/data/weekly/record-jar.xml +++ b/data/weekly/record-jar.xml @@ -3,6 +3,6 @@ GitHub Record-jar Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Record-jar Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/red.json b/data/weekly/red.json index fbb27c1bb29a..66568d2b6fe5 100644 --- a/data/weekly/red.json +++ b/data/weekly/red.json @@ -2,6 +2,6 @@ "title": "GitHub Red Languages Weekly Trending", "description": "Weekly Trending of Red Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/red.xml b/data/weekly/red.xml index db9150ec6f02..68713a7a0ba0 100644 --- a/data/weekly/red.xml +++ b/data/weekly/red.xml @@ -3,6 +3,6 @@ GitHub Red Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Red Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/redcode.json b/data/weekly/redcode.json index c53024533b3a..a49ed4a48143 100644 --- a/data/weekly/redcode.json +++ b/data/weekly/redcode.json @@ -2,6 +2,6 @@ "title": "GitHub Redcode Languages Weekly Trending", "description": "Weekly Trending of Redcode Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/redcode.xml b/data/weekly/redcode.xml index 288721831278..881b7154b620 100644 --- a/data/weekly/redcode.xml +++ b/data/weekly/redcode.xml @@ -3,6 +3,6 @@ GitHub Redcode Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Redcode Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/redirect-rules.json b/data/weekly/redirect-rules.json index 0aa8c8ec5429..63f5877365e3 100644 --- a/data/weekly/redirect-rules.json +++ b/data/weekly/redirect-rules.json @@ -2,6 +2,6 @@ "title": "GitHub Redirect-rules Languages Weekly Trending", "description": "Weekly Trending of Redirect-rules Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/redirect-rules.xml b/data/weekly/redirect-rules.xml index 882e23668e19..eb9ed29c3426 100644 --- a/data/weekly/redirect-rules.xml +++ b/data/weekly/redirect-rules.xml @@ -3,6 +3,6 @@ GitHub Redirect-rules Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Redirect-rules Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/regular-expression.json b/data/weekly/regular-expression.json index e9d85a65c82b..b5166f1957df 100644 --- a/data/weekly/regular-expression.json +++ b/data/weekly/regular-expression.json @@ -2,6 +2,6 @@ "title": "GitHub Regular-expression Languages Weekly Trending", "description": "Weekly Trending of Regular-expression Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/regular-expression.xml b/data/weekly/regular-expression.xml index fda035fb700b..532857bbf3b2 100644 --- a/data/weekly/regular-expression.xml +++ b/data/weekly/regular-expression.xml @@ -3,6 +3,6 @@ GitHub Regular-expression Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Regular-expression Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ren'py.json b/data/weekly/ren'py.json index 43fc143f0ad2..7f5fc4ac5061 100644 --- a/data/weekly/ren'py.json +++ b/data/weekly/ren'py.json @@ -2,7 +2,7 @@ "title": "GitHub Ren'py Languages Weekly Trending", "description": "Weekly Trending of Ren'py Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "renpy/renpy", @@ -47,7 +47,7 @@ "description": "A ren'py script decompiler", "language": "Ren'Py", "languageColor": "#ff7f7f", - "stars": "874", + "stars": "875", "forks": "157", "addStars": "0", "contributors": [ diff --git a/data/weekly/ren'py.xml b/data/weekly/ren'py.xml index 35b591af3991..bc4f05f71ccc 100644 --- a/data/weekly/ren'py.xml +++ b/data/weekly/ren'py.xml @@ -3,7 +3,7 @@ GitHub Ren'py Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ren'py Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT renpy/renpy https://github.com/renpy/renpy @@ -49,7 +49,7 @@ https://github.com/CensoredUsername/unrpyc Ren'Py #ff7f7f - 874 + 875 157 0 diff --git a/data/weekly/renderscript.json b/data/weekly/renderscript.json index 154175664e81..922b362e1917 100644 --- a/data/weekly/renderscript.json +++ b/data/weekly/renderscript.json @@ -2,6 +2,6 @@ "title": "GitHub Renderscript Languages Weekly Trending", "description": "Weekly Trending of Renderscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/renderscript.xml b/data/weekly/renderscript.xml index 46922340c8f3..961b88ef3b27 100644 --- a/data/weekly/renderscript.xml +++ b/data/weekly/renderscript.xml @@ -3,6 +3,6 @@ GitHub Renderscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Renderscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rescript.json b/data/weekly/rescript.json index 31806f1c3141..96823dbfe015 100644 --- a/data/weekly/rescript.json +++ b/data/weekly/rescript.json @@ -2,7 +2,7 @@ "title": "GitHub Rescript Languages Weekly Trending", "description": "Weekly Trending of Rescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Xilinx/Vitis-HLS-Introductory-Examples", diff --git a/data/weekly/rescript.xml b/data/weekly/rescript.xml index a41382e9a49d..f80116a42d92 100644 --- a/data/weekly/rescript.xml +++ b/data/weekly/rescript.xml @@ -3,7 +3,7 @@ GitHub Rescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Xilinx/Vitis-HLS-Introductory-Examples https://github.com/Xilinx/Vitis-HLS-Introductory-Examples diff --git a/data/weekly/restructuredtext.json b/data/weekly/restructuredtext.json index ae849a62cbbd..16b14b478a15 100644 --- a/data/weekly/restructuredtext.json +++ b/data/weekly/restructuredtext.json @@ -2,7 +2,7 @@ "title": "GitHub Restructuredtext Languages Weekly Trending", "description": "Weekly Trending of Restructuredtext Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "godotengine/godot-docs", @@ -10,7 +10,7 @@ "description": "Godot Engine official documentation", "language": "reStructuredText", "languageColor": "#141414", - "stars": "3,969", + "stars": "3,971", "forks": "3,229", "addStars": "24", "contributors": [ @@ -48,7 +48,7 @@ "language": "reStructuredText", "languageColor": "#141414", "stars": "751", - "forks": "7,883", + "forks": "7,887", "addStars": "8", "contributors": [ { diff --git a/data/weekly/restructuredtext.xml b/data/weekly/restructuredtext.xml index 7bdc619e9f5e..6836d518ea57 100644 --- a/data/weekly/restructuredtext.xml +++ b/data/weekly/restructuredtext.xml @@ -3,7 +3,7 @@ GitHub Restructuredtext Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Restructuredtext Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT godotengine/godot-docs https://github.com/godotengine/godot-docs @@ -11,7 +11,7 @@ https://github.com/godotengine/godot-docs reStructuredText #141414 - 3,969 + 3,971 3,229 24 @@ -50,7 +50,7 @@ reStructuredText #141414 751 - 7,883 + 7,887 8 diff --git a/data/weekly/rexx.json b/data/weekly/rexx.json index aed82568fd1b..4e3de3b95f13 100644 --- a/data/weekly/rexx.json +++ b/data/weekly/rexx.json @@ -2,6 +2,6 @@ "title": "GitHub Rexx Languages Weekly Trending", "description": "Weekly Trending of Rexx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rexx.xml b/data/weekly/rexx.xml index 1b9a41b35bd3..333ad23fffa6 100644 --- a/data/weekly/rexx.xml +++ b/data/weekly/rexx.xml @@ -3,6 +3,6 @@ GitHub Rexx Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rexx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rez.json b/data/weekly/rez.json index 458e6445629e..21e489f16c47 100644 --- a/data/weekly/rez.json +++ b/data/weekly/rez.json @@ -2,6 +2,6 @@ "title": "GitHub Rez Languages Weekly Trending", "description": "Weekly Trending of Rez Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rez.xml b/data/weekly/rez.xml index d85f7d1ef233..2b10d2fed6c3 100644 --- a/data/weekly/rez.xml +++ b/data/weekly/rez.xml @@ -3,6 +3,6 @@ GitHub Rez Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rez Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rich-text-format.json b/data/weekly/rich-text-format.json index 8b4b4add96fb..5715ab9e7aa1 100644 --- a/data/weekly/rich-text-format.json +++ b/data/weekly/rich-text-format.json @@ -2,7 +2,7 @@ "title": "GitHub Rich-text-format Languages Weekly Trending", "description": "Weekly Trending of Rich-text-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "cncf/foundation", @@ -85,7 +85,7 @@ "language": "Rich Text Format", "languageColor": "#ccc", "stars": "1,119", - "forks": "41,406", + "forks": "41,405", "addStars": "1", "contributors": [ { diff --git a/data/weekly/rich-text-format.xml b/data/weekly/rich-text-format.xml index 0103cc0ed5ec..3a54ad960d4f 100644 --- a/data/weekly/rich-text-format.xml +++ b/data/weekly/rich-text-format.xml @@ -3,7 +3,7 @@ GitHub Rich-text-format Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rich-text-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT cncf/foundation https://github.com/cncf/foundation @@ -88,7 +88,7 @@ Rich Text Format #ccc 1,119 - 41,406 + 41,405 1 diff --git a/data/weekly/ring.json b/data/weekly/ring.json index bf745bcfa455..33091657e896 100644 --- a/data/weekly/ring.json +++ b/data/weekly/ring.json @@ -2,6 +2,6 @@ "title": "GitHub Ring Languages Weekly Trending", "description": "Weekly Trending of Ring Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ring.xml b/data/weekly/ring.xml index 6a9350f7fd9b..fbba293a4463 100644 --- a/data/weekly/ring.xml +++ b/data/weekly/ring.xml @@ -3,6 +3,6 @@ GitHub Ring Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ring Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/riot.json b/data/weekly/riot.json index d222aab04697..816656c338e4 100644 --- a/data/weekly/riot.json +++ b/data/weekly/riot.json @@ -2,7 +2,7 @@ "title": "GitHub Riot Languages Weekly Trending", "description": "Weekly Trending of Riot Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Joxit/docker-registry-ui", @@ -10,7 +10,7 @@ "description": "The simplest and most complete UI for your private registry", "language": "Riot", "languageColor": "#A71E49", - "stars": "2,591", + "stars": "2,592", "forks": "323", "addStars": "11", "contributors": [ diff --git a/data/weekly/riot.xml b/data/weekly/riot.xml index b308d62eee0e..bf1b1064fcb3 100644 --- a/data/weekly/riot.xml +++ b/data/weekly/riot.xml @@ -3,7 +3,7 @@ GitHub Riot Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Riot Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Joxit/docker-registry-ui https://github.com/Joxit/docker-registry-ui @@ -11,7 +11,7 @@ https://github.com/Joxit/docker-registry-ui Riot #A71E49 - 2,591 + 2,592 323 11 diff --git a/data/weekly/rmarkdown.json b/data/weekly/rmarkdown.json index a1909947c840..ab7c1ac1e543 100644 --- a/data/weekly/rmarkdown.json +++ b/data/weekly/rmarkdown.json @@ -2,6 +2,6 @@ "title": "GitHub Rmarkdown Languages Weekly Trending", "description": "Weekly Trending of Rmarkdown Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rmarkdown.xml b/data/weekly/rmarkdown.xml index 6051ee60cd47..dba0d8d5640d 100644 --- a/data/weekly/rmarkdown.xml +++ b/data/weekly/rmarkdown.xml @@ -3,6 +3,6 @@ GitHub Rmarkdown Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rmarkdown Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/robotframework.json b/data/weekly/robotframework.json index 94fcc7a2e08f..11f97d7d5f98 100644 --- a/data/weekly/robotframework.json +++ b/data/weekly/robotframework.json @@ -2,7 +2,7 @@ "title": "GitHub Robotframework Languages Weekly Trending", "description": "Weekly Trending of Robotframework Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "renode/renode", @@ -10,7 +10,7 @@ "description": "Renode - Antmicro's open source simulation and virtual development framework for complex embedded systems", "language": "RobotFramework", "languageColor": "#00c0b5", - "stars": "1,636", + "stars": "1,637", "forks": "294", "addStars": "17", "contributors": [ diff --git a/data/weekly/robotframework.xml b/data/weekly/robotframework.xml index 3854c9510e4c..d756bf852325 100644 --- a/data/weekly/robotframework.xml +++ b/data/weekly/robotframework.xml @@ -3,7 +3,7 @@ GitHub Robotframework Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Robotframework Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT renode/renode https://github.com/renode/renode @@ -11,7 +11,7 @@ https://github.com/renode/renode RobotFramework #00c0b5 - 1,636 + 1,637 294 17 diff --git a/data/weekly/robots.txt.json b/data/weekly/robots.txt.json index d0c3a4abe526..4974db445c5a 100644 --- a/data/weekly/robots.txt.json +++ b/data/weekly/robots.txt.json @@ -2,6 +2,6 @@ "title": "GitHub Robots.txt Languages Weekly Trending", "description": "Weekly Trending of Robots.txt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/robots.txt.xml b/data/weekly/robots.txt.xml index 90e10bff0dec..87e4ef7e1f58 100644 --- a/data/weekly/robots.txt.xml +++ b/data/weekly/robots.txt.xml @@ -3,6 +3,6 @@ GitHub Robots.txt Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Robots.txt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/roc.json b/data/weekly/roc.json index 98bd20d526d0..a41bd0bd570f 100644 --- a/data/weekly/roc.json +++ b/data/weekly/roc.json @@ -2,6 +2,6 @@ "title": "GitHub Roc Languages Weekly Trending", "description": "Weekly Trending of Roc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/roc.xml b/data/weekly/roc.xml index a46954627bf6..84568f4315ba 100644 --- a/data/weekly/roc.xml +++ b/data/weekly/roc.xml @@ -3,6 +3,6 @@ GitHub Roc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Roc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/roff-manpage.json b/data/weekly/roff-manpage.json index d938145932c5..d9bb0dccd2b4 100644 --- a/data/weekly/roff-manpage.json +++ b/data/weekly/roff-manpage.json @@ -2,6 +2,6 @@ "title": "GitHub Roff-manpage Languages Weekly Trending", "description": "Weekly Trending of Roff-manpage Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/roff-manpage.xml b/data/weekly/roff-manpage.xml index 8454e889a532..3d034900e914 100644 --- a/data/weekly/roff-manpage.xml +++ b/data/weekly/roff-manpage.xml @@ -3,6 +3,6 @@ GitHub Roff-manpage Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Roff-manpage Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/roff.json b/data/weekly/roff.json index eeef86829f23..c0ce77c72bf5 100644 --- a/data/weekly/roff.json +++ b/data/weekly/roff.json @@ -2,15 +2,52 @@ "title": "GitHub Roff Languages Weekly Trending", "description": "Weekly Trending of Roff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "ophub/amlogic-s9xxx-armbian", + "url": "https://github.com/ophub/amlogic-s9xxx-armbian", + "description": "Support for Armbian in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc.", + "language": "Roff", + "languageColor": "#ecdebe", + "stars": "6,230", + "forks": "1,996", + "addStars": "45", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/68696949?s=40&v=4", + "name": "ophub", + "url": "https://github.com/ophub" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25471437?s=40&v=4", + "name": "13584452567", + "url": "https://github.com/13584452567" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/104582100?s=40&v=4", + "name": "livelier", + "url": "https://github.com/livelier" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10005540?s=40&v=4", + "name": "Calmact", + "url": "https://github.com/Calmact" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1429783?s=40&v=4", + "name": "danboid", + "url": "https://github.com/danboid" + } + ] + }, { "title": "pyenv/pyenv", "url": "https://github.com/pyenv/pyenv", "description": "Simple Python version management", "language": "Roff", "languageColor": "#ecdebe", - "stars": "39,533", + "stars": "39,542", "forks": "3,064", "addStars": "114", "contributors": [ @@ -41,51 +78,14 @@ } ] }, - { - "title": "ophub/amlogic-s9xxx-armbian", - "url": "https://github.com/ophub/amlogic-s9xxx-armbian", - "description": "Support for Armbian in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc.", - "language": "Roff", - "languageColor": "#ecdebe", - "stars": "6,228", - "forks": "1,995", - "addStars": "45", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/68696949?s=40&v=4", - "name": "ophub", - "url": "https://github.com/ophub" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25471437?s=40&v=4", - "name": "13584452567", - "url": "https://github.com/13584452567" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/104582100?s=40&v=4", - "name": "livelier", - "url": "https://github.com/livelier" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10005540?s=40&v=4", - "name": "Calmact", - "url": "https://github.com/Calmact" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1429783?s=40&v=4", - "name": "danboid", - "url": "https://github.com/danboid" - } - ] - }, { "title": "sidpalas/devops-directive-docker-course", "url": "https://github.com/sidpalas/devops-directive-docker-course", "description": "Companion repo for complete Docker course", "language": "Roff", "languageColor": "#ecdebe", - "stars": "1,955", - "forks": "1,220", + "stars": "1,956", + "forks": "1,221", "addStars": "18", "contributors": [ { @@ -136,6 +136,43 @@ "url": "https://github.com/nodenv-bot" } ] + }, + { + "title": "Creality3DPrinting/Ender-3", + "url": "https://github.com/Creality3DPrinting/Ender-3", + "description": "The Creality3D Ender-3, a fully Open Source 3D printer perfect for new users on a budget.", + "language": "Roff", + "languageColor": "#ecdebe", + "stars": "1,814", + "forks": "659", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/21099686?s=40&v=4", + "name": "MachineryEnchantress", + "url": "https://github.com/MachineryEnchantress" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/13660022?s=40&v=4", + "name": "steenerson", + "url": "https://github.com/steenerson" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30102884?s=40&v=4", + "name": "A63", + "url": "https://github.com/A63" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17077049?s=40&v=4", + "name": "fesiqueira", + "url": "https://github.com/fesiqueira" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40712563?s=40&v=4", + "name": "Creality3DPrinting", + "url": "https://github.com/Creality3DPrinting" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/roff.xml b/data/weekly/roff.xml index 85a600935130..1b8ef02969fb 100644 --- a/data/weekly/roff.xml +++ b/data/weekly/roff.xml @@ -3,7 +3,45 @@ GitHub Roff Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Roff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + ophub/amlogic-s9xxx-armbian + https://github.com/ophub/amlogic-s9xxx-armbian + Support for Armbian in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc. + https://github.com/ophub/amlogic-s9xxx-armbian + Roff + #ecdebe + 6,230 + 1,996 + 45 + + + https://avatars.githubusercontent.com/u/68696949?s=40&v=4 + ophub + https://github.com/ophub + + + https://avatars.githubusercontent.com/u/25471437?s=40&v=4 + 13584452567 + https://github.com/13584452567 + + + https://avatars.githubusercontent.com/u/104582100?s=40&v=4 + livelier + https://github.com/livelier + + + https://avatars.githubusercontent.com/u/10005540?s=40&v=4 + Calmact + https://github.com/Calmact + + + https://avatars.githubusercontent.com/u/1429783?s=40&v=4 + danboid + https://github.com/danboid + + + pyenv/pyenv https://github.com/pyenv/pyenv @@ -11,7 +49,7 @@ https://github.com/pyenv/pyenv Roff #ecdebe - 39,533 + 39,542 3,064 114 @@ -42,44 +80,6 @@ - - ophub/amlogic-s9xxx-armbian - https://github.com/ophub/amlogic-s9xxx-armbian - Support for Armbian in Amlogic, Rockchip and Allwinner boxes. Support a311d, s922x, s905x3, s905x2, s912, s905d, s905x, s905w, s905, s905l, rk3588, rk3568, rk3399, rk3328, h6, etc. - https://github.com/ophub/amlogic-s9xxx-armbian - Roff - #ecdebe - 6,228 - 1,995 - 45 - - - https://avatars.githubusercontent.com/u/68696949?s=40&v=4 - ophub - https://github.com/ophub - - - https://avatars.githubusercontent.com/u/25471437?s=40&v=4 - 13584452567 - https://github.com/13584452567 - - - https://avatars.githubusercontent.com/u/104582100?s=40&v=4 - livelier - https://github.com/livelier - - - https://avatars.githubusercontent.com/u/10005540?s=40&v=4 - Calmact - https://github.com/Calmact - - - https://avatars.githubusercontent.com/u/1429783?s=40&v=4 - danboid - https://github.com/danboid - - - sidpalas/devops-directive-docker-course https://github.com/sidpalas/devops-directive-docker-course @@ -87,8 +87,8 @@ https://github.com/sidpalas/devops-directive-docker-course Roff #ecdebe - 1,955 - 1,220 + 1,956 + 1,221 18 @@ -141,5 +141,43 @@ + + Creality3DPrinting/Ender-3 + https://github.com/Creality3DPrinting/Ender-3 + The Creality3D Ender-3, a fully Open Source 3D printer perfect for new users on a budget. + https://github.com/Creality3DPrinting/Ender-3 + Roff + #ecdebe + 1,814 + 659 + 4 + + + https://avatars.githubusercontent.com/u/21099686?s=40&v=4 + MachineryEnchantress + https://github.com/MachineryEnchantress + + + https://avatars.githubusercontent.com/u/13660022?s=40&v=4 + steenerson + https://github.com/steenerson + + + https://avatars.githubusercontent.com/u/30102884?s=40&v=4 + A63 + https://github.com/A63 + + + https://avatars.githubusercontent.com/u/17077049?s=40&v=4 + fesiqueira + https://github.com/fesiqueira + + + https://avatars.githubusercontent.com/u/40712563?s=40&v=4 + Creality3DPrinting + https://github.com/Creality3DPrinting + + + \ No newline at end of file diff --git a/data/weekly/ron.json b/data/weekly/ron.json index d97f490929d5..23d8a7bd08e1 100644 --- a/data/weekly/ron.json +++ b/data/weekly/ron.json @@ -2,6 +2,6 @@ "title": "GitHub Ron Languages Weekly Trending", "description": "Weekly Trending of Ron Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ron.xml b/data/weekly/ron.xml index dcd00951e714..8f301110c906 100644 --- a/data/weekly/ron.xml +++ b/data/weekly/ron.xml @@ -3,6 +3,6 @@ GitHub Ron Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ron Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rouge.json b/data/weekly/rouge.json index 23c4d9e9a719..f0ccd5726bf7 100644 --- a/data/weekly/rouge.json +++ b/data/weekly/rouge.json @@ -2,6 +2,6 @@ "title": "GitHub Rouge Languages Weekly Trending", "description": "Weekly Trending of Rouge Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rouge.xml b/data/weekly/rouge.xml index 04dcc94d7fc2..68e2587c3b2e 100644 --- a/data/weekly/rouge.xml +++ b/data/weekly/rouge.xml @@ -3,6 +3,6 @@ GitHub Rouge Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rouge Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/routeros-script.json b/data/weekly/routeros-script.json index d73580db18eb..de0dee7f000d 100644 --- a/data/weekly/routeros-script.json +++ b/data/weekly/routeros-script.json @@ -2,6 +2,6 @@ "title": "GitHub Routeros-script Languages Weekly Trending", "description": "Weekly Trending of Routeros-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/routeros-script.xml b/data/weekly/routeros-script.xml index 2c51e0cc419c..a8d1ad6bbc86 100644 --- a/data/weekly/routeros-script.xml +++ b/data/weekly/routeros-script.xml @@ -3,6 +3,6 @@ GitHub Routeros-script Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Routeros-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rpc.json b/data/weekly/rpc.json index 6d74f4358be4..7a4edf0eb16e 100644 --- a/data/weekly/rpc.json +++ b/data/weekly/rpc.json @@ -2,7 +2,7 @@ "title": "GitHub Rpc Languages Weekly Trending", "description": "Weekly Trending of Rpc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "stellar/stellar-protocol", diff --git a/data/weekly/rpc.xml b/data/weekly/rpc.xml index 855d00f177dd..b18b09fe127c 100644 --- a/data/weekly/rpc.xml +++ b/data/weekly/rpc.xml @@ -3,7 +3,7 @@ GitHub Rpc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rpc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT stellar/stellar-protocol https://github.com/stellar/stellar-protocol diff --git a/data/weekly/rpgle.json b/data/weekly/rpgle.json index aecdff651355..8815fd0a5a43 100644 --- a/data/weekly/rpgle.json +++ b/data/weekly/rpgle.json @@ -2,6 +2,6 @@ "title": "GitHub Rpgle Languages Weekly Trending", "description": "Weekly Trending of Rpgle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/rpgle.xml b/data/weekly/rpgle.xml index ff074c878e3d..5a7ed05be2a6 100644 --- a/data/weekly/rpgle.xml +++ b/data/weekly/rpgle.xml @@ -3,6 +3,6 @@ GitHub Rpgle Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rpgle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rpm-spec.json b/data/weekly/rpm-spec.json index e08fd4538221..c7e1cac821ae 100644 --- a/data/weekly/rpm-spec.json +++ b/data/weekly/rpm-spec.json @@ -2,7 +2,7 @@ "title": "GitHub Rpm-spec Languages Weekly Trending", "description": "Weekly Trending of Rpm-spec Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "microsoft/azurelinux", @@ -11,7 +11,7 @@ "language": "RPM Spec", "languageColor": "#ccc", "stars": "4,308", - "forks": "549", + "forks": "550", "addStars": "8", "contributors": [ { diff --git a/data/weekly/rpm-spec.xml b/data/weekly/rpm-spec.xml index 006351d96447..2ad72af72e36 100644 --- a/data/weekly/rpm-spec.xml +++ b/data/weekly/rpm-spec.xml @@ -3,7 +3,7 @@ GitHub Rpm-spec Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rpm-spec Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT microsoft/azurelinux https://github.com/microsoft/azurelinux @@ -12,7 +12,7 @@ RPM Spec #ccc 4,308 - 549 + 550 8 diff --git a/data/weekly/ruby.json b/data/weekly/ruby.json index e251e07b25ec..aef4947761e0 100644 --- a/data/weekly/ruby.json +++ b/data/weekly/ruby.json @@ -2,7 +2,7 @@ "title": "GitHub Ruby Languages Weekly Trending", "description": "Weekly Trending of Ruby Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "mastodon/mastodon", @@ -10,7 +10,7 @@ "description": "Your self-hosted, globally interconnected microblogging community", "language": "Ruby", "languageColor": "#701516", - "stars": "47,215", + "stars": "47,216", "forks": "7,003", "addStars": "82", "contributors": [ @@ -37,7 +37,7 @@ "description": "Open source DocuSign alternative. Create, fill, and sign digital documents ✍️", "language": "Ruby", "languageColor": "#701516", - "stars": "7,996", + "stars": "8,000", "forks": "528", "addStars": "887", "contributors": [ @@ -63,45 +63,13 @@ } ] }, - { - "title": "freeCodeCamp/devdocs", - "url": "https://github.com/freeCodeCamp/devdocs", - "description": "API Documentation Browser", - "language": "Ruby", - "languageColor": "#701516", - "stars": "35,365", - "forks": "2,365", - "addStars": "53", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17579?s=40&v=4", - "name": "Thibaut", - "url": "https://github.com/Thibaut" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/782446?s=40&v=4", - "name": "simon04", - "url": "https://github.com/simon04" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14951909?s=40&v=4", - "name": "jmerle", - "url": "https://github.com/jmerle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/52229226?s=40&v=4", - "name": "MasterEnoc", - "url": "https://github.com/MasterEnoc" - } - ] - }, { "title": "rapid7/metasploit-framework", "url": "https://github.com/rapid7/metasploit-framework", "description": "Metasploit Framework", "language": "Ruby", "languageColor": "#701516", - "stars": "34,246", + "stars": "34,247", "forks": "14,000", "addStars": "62", "contributors": [ @@ -133,24 +101,71 @@ ] }, { - "title": "Shopify/ruby-lsp", - "url": "https://github.com/Shopify/ruby-lsp", - "description": "An opinionated language server for Ruby", + "title": "Homebrew/brew", + "url": "https://github.com/Homebrew/brew", + "description": "🍺 The missing package manager for macOS (or Linux)", "language": "Ruby", "languageColor": "#701516", - "stars": "1,614", - "forks": "166", - "addStars": "16", + "stars": "41,542", + "forks": "9,771", + "addStars": "126", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18742907?s=40&v=4", - "name": "vinistock", - "url": "https://github.com/vinistock" + "avatar": "https://avatars.githubusercontent.com/u/125011?s=40&v=4", + "name": "MikeMcQuaid", + "url": "https://github.com/MikeMcQuaid" }, { - "avatar": "https://avatars.githubusercontent.com/u/13400?s=40&v=4", - "name": "andyw8", - "url": "https://github.com/andyw8" + "avatar": "https://avatars.githubusercontent.com/u/1309829?s=40&v=4", + "name": "reitermarkus", + "url": "https://github.com/reitermarkus" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/568243?s=40&v=4", + "name": "jacknagel", + "url": "https://github.com/jacknagel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", + "name": "BrewTestBot", + "url": "https://github.com/BrewTestBot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1190754?s=40&v=4", + "name": "Bo98", + "url": "https://github.com/Bo98" + } + ] + }, + { + "title": "freeCodeCamp/devdocs", + "url": "https://github.com/freeCodeCamp/devdocs", + "description": "API Documentation Browser", + "language": "Ruby", + "languageColor": "#701516", + "stars": "35,368", + "forks": "2,365", + "addStars": "53", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/17579?s=40&v=4", + "name": "Thibaut", + "url": "https://github.com/Thibaut" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/782446?s=40&v=4", + "name": "simon04", + "url": "https://github.com/simon04" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14951909?s=40&v=4", + "name": "jmerle", + "url": "https://github.com/jmerle" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/52229226?s=40&v=4", + "name": "MasterEnoc", + "url": "https://github.com/MasterEnoc" } ] }, @@ -192,113 +207,29 @@ ] }, { - "title": "citation-style-language/styles", - "url": "https://github.com/citation-style-language/styles", - "description": "Official repository for Citation Style Language (CSL) citation styles.", - "language": "Ruby", - "languageColor": "#701516", - "stars": "3,329", - "forks": "3,779", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/128986?s=40&v=4", - "name": "adam3smith", - "url": "https://github.com/adam3smith" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/77951?s=40&v=4", - "name": "rmzelle", - "url": "https://github.com/rmzelle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/954979?s=40&v=4", - "name": "POBrien333", - "url": "https://github.com/POBrien333" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4797?s=40&v=4", - "name": "cparnot", - "url": "https://github.com/cparnot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4773225?s=40&v=4", - "name": "bwiernik", - "url": "https://github.com/bwiernik" - } - ] - }, - { - "title": "solidusio/solidus", - "url": "https://github.com/solidusio/solidus", - "description": "🛒 Solidus, the open-source eCommerce framework for industry trailblazers.", - "language": "Ruby", - "languageColor": "#701516", - "stars": "5,035", - "forks": "1,295", - "addStars": "8", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2687?s=40&v=4", - "name": "radar", - "url": "https://github.com/radar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/131752?s=40&v=4", - "name": "jhawthorn", - "url": "https://github.com/jhawthorn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/85518?s=40&v=4", - "name": "schof", - "url": "https://github.com/schof" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/167946?s=40&v=4", - "name": "kennyadsl", - "url": "https://github.com/kennyadsl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/703401?s=40&v=4", - "name": "mamhoff", - "url": "https://github.com/mamhoff" - } - ] - }, - { - "title": "puma/puma", - "url": "https://github.com/puma/puma", - "description": "A Ruby/Rack web server built for parallelism", + "title": "postalserver/postal", + "url": "https://github.com/postalserver/postal", + "description": "📮 A fully featured open source mail delivery platform for incoming & outgoing e-mail", "language": "Ruby", "languageColor": "#701516", - "stars": "7,705", - "forks": "1,440", - "addStars": "8", + "stars": "14,945", + "forks": "1,064", + "addStars": "26", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7?s=40&v=4", - "name": "evanphx", - "url": "https://github.com/evanphx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15081176?s=40&v=4", - "name": "MSP-Greg", - "url": "https://github.com/MSP-Greg" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/845662?s=40&v=4", - "name": "nateberkopec", - "url": "https://github.com/nateberkopec" + "avatar": "https://avatars.githubusercontent.com/u/4765?s=40&v=4", + "name": "adamcooke", + "url": "https://github.com/adamcooke" }, { - "avatar": "https://avatars.githubusercontent.com/u/59744?s=40&v=4", - "name": "schneems", - "url": "https://github.com/schneems" + "avatar": "https://avatars.githubusercontent.com/u/9741?s=40&v=4", + "name": "catphish", + "url": "https://github.com/catphish" }, { - "avatar": "https://avatars.githubusercontent.com/u/42626?s=40&v=4", - "name": "dentarg", - "url": "https://github.com/dentarg" + "avatar": "https://avatars.githubusercontent.com/u/1619102?s=40&v=4", + "name": "willpower232", + "url": "https://github.com/willpower232" } ] }, @@ -308,8 +239,8 @@ "description": "Open-source live-chat, email support, omni-channel desk. An alternative to Intercom, Zendesk, Salesforce Service Cloud etc. 🔥💬", "language": "Ruby", "languageColor": "#701516", - "stars": "21,321", - "forks": "3,606", + "stars": "21,324", + "forks": "3,604", "addStars": "75", "contributors": [ { @@ -377,347 +308,310 @@ ] }, { - "title": "Homebrew/brew", - "url": "https://github.com/Homebrew/brew", - "description": "🍺 The missing package manager for macOS (or Linux)", + "title": "puma/puma", + "url": "https://github.com/puma/puma", + "description": "A Ruby/Rack web server built for parallelism", "language": "Ruby", "languageColor": "#701516", - "stars": "41,538", - "forks": "9,770", - "addStars": "126", + "stars": "7,705", + "forks": "1,440", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/125011?s=40&v=4", - "name": "MikeMcQuaid", - "url": "https://github.com/MikeMcQuaid" + "avatar": "https://avatars.githubusercontent.com/u/7?s=40&v=4", + "name": "evanphx", + "url": "https://github.com/evanphx" }, { - "avatar": "https://avatars.githubusercontent.com/u/1309829?s=40&v=4", - "name": "reitermarkus", - "url": "https://github.com/reitermarkus" + "avatar": "https://avatars.githubusercontent.com/u/15081176?s=40&v=4", + "name": "MSP-Greg", + "url": "https://github.com/MSP-Greg" }, { - "avatar": "https://avatars.githubusercontent.com/u/568243?s=40&v=4", - "name": "jacknagel", - "url": "https://github.com/jacknagel" + "avatar": "https://avatars.githubusercontent.com/u/845662?s=40&v=4", + "name": "nateberkopec", + "url": "https://github.com/nateberkopec" }, { - "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", - "name": "BrewTestBot", - "url": "https://github.com/BrewTestBot" + "avatar": "https://avatars.githubusercontent.com/u/59744?s=40&v=4", + "name": "schneems", + "url": "https://github.com/schneems" }, { - "avatar": "https://avatars.githubusercontent.com/u/1190754?s=40&v=4", - "name": "Bo98", - "url": "https://github.com/Bo98" + "avatar": "https://avatars.githubusercontent.com/u/42626?s=40&v=4", + "name": "dentarg", + "url": "https://github.com/dentarg" } ] }, { - "title": "opf/openproject", - "url": "https://github.com/opf/openproject", - "description": "OpenProject is the leading open source project management software.", + "title": "Shopify/ruby-lsp", + "url": "https://github.com/Shopify/ruby-lsp", + "description": "An opinionated language server for Ruby", "language": "Ruby", "languageColor": "#701516", - "stars": "9,479", - "forks": "2,369", - "addStars": "32", + "stars": "1,615", + "forks": "166", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/459462?s=40&v=4", - "name": "oliverguenther", - "url": "https://github.com/oliverguenther" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/617519?s=40&v=4", - "name": "ulferts", - "url": "https://github.com/ulferts" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7457313?s=40&v=4", - "name": "HDinger", - "url": "https://github.com/HDinger" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15835030?s=40&v=4", - "name": "openprojectci", - "url": "https://github.com/openprojectci" + "avatar": "https://avatars.githubusercontent.com/u/18742907?s=40&v=4", + "name": "vinistock", + "url": "https://github.com/vinistock" }, { - "avatar": "https://avatars.githubusercontent.com/u/13084?s=40&v=4", - "name": "jplang", - "url": "https://github.com/jplang" + "avatar": "https://avatars.githubusercontent.com/u/13400?s=40&v=4", + "name": "andyw8", + "url": "https://github.com/andyw8" } ] }, { - "title": "discourse/discourse", - "url": "https://github.com/discourse/discourse", - "description": "A platform for community discussion. Free, open, simple.", + "title": "solidusio/solidus", + "url": "https://github.com/solidusio/solidus", + "description": "🛒 Solidus, the open-source eCommerce framework for industry trailblazers.", "language": "Ruby", "languageColor": "#701516", - "stars": "42,407", - "forks": "8,343", - "addStars": "77", + "stars": "5,035", + "forks": "1,295", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5213?s=40&v=4", - "name": "SamSaffron", - "url": "https://github.com/SamSaffron" + "avatar": "https://avatars.githubusercontent.com/u/2687?s=40&v=4", + "name": "radar", + "url": "https://github.com/radar" }, { - "avatar": "https://avatars.githubusercontent.com/u/17538?s=40&v=4", - "name": "eviltrout", - "url": "https://github.com/eviltrout" + "avatar": "https://avatars.githubusercontent.com/u/131752?s=40&v=4", + "name": "jhawthorn", + "url": "https://github.com/jhawthorn" }, { - "avatar": "https://avatars.githubusercontent.com/u/4335742?s=40&v=4", - "name": "tgxworld", - "url": "https://github.com/tgxworld" + "avatar": "https://avatars.githubusercontent.com/u/85518?s=40&v=4", + "name": "schof", + "url": "https://github.com/schof" }, { - "avatar": "https://avatars.githubusercontent.com/u/362783?s=40&v=4", - "name": "ZogStriP", - "url": "https://github.com/ZogStriP" + "avatar": "https://avatars.githubusercontent.com/u/167946?s=40&v=4", + "name": "kennyadsl", + "url": "https://github.com/kennyadsl" }, { - "avatar": "https://avatars.githubusercontent.com/u/339945?s=40&v=4", - "name": "jjaffeux", - "url": "https://github.com/jjaffeux" + "avatar": "https://avatars.githubusercontent.com/u/703401?s=40&v=4", + "name": "mamhoff", + "url": "https://github.com/mamhoff" } ] }, { - "title": "forem/forem", - "url": "https://github.com/forem/forem", - "description": "For empowering community 🌱", + "title": "github-linguist/linguist", + "url": "https://github.com/github-linguist/linguist", + "description": "Language Savant. If your repository's language is being reported incorrectly, send us a pull request!", "language": "Ruby", "languageColor": "#701516", - "stars": "22,012", - "forks": "4,057", - "addStars": "26", + "stars": "12,352", + "forks": "4,270", + "addStars": "33", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3102842?s=40&v=4", - "name": "benhalpern", - "url": "https://github.com/benhalpern" + "avatar": "https://avatars.githubusercontent.com/u/4483?s=40&v=4", + "name": "arfon", + "url": "https://github.com/arfon" }, { - "avatar": "https://avatars.githubusercontent.com/u/146201?s=40&v=4", - "name": "rhymes", - "url": "https://github.com/rhymes" + "avatar": "https://avatars.githubusercontent.com/u/137?s=40&v=4", + "name": "josh", + "url": "https://github.com/josh" }, { - "avatar": "https://avatars.githubusercontent.com/u/1813380?s=40&v=4", - "name": "mstruve", - "url": "https://github.com/mstruve" - } - ] - }, - { - "title": "postalserver/postal", - "url": "https://github.com/postalserver/postal", - "description": "📮 A fully featured open source mail delivery platform for incoming & outgoing e-mail", - "language": "Ruby", - "languageColor": "#701516", - "stars": "14,945", - "forks": "1,064", - "addStars": "26", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4765?s=40&v=4", - "name": "adamcooke", - "url": "https://github.com/adamcooke" + "avatar": "https://avatars.githubusercontent.com/u/126905?s=40&v=4", + "name": "tnm", + "url": "https://github.com/tnm" }, { - "avatar": "https://avatars.githubusercontent.com/u/9741?s=40&v=4", - "name": "catphish", - "url": "https://github.com/catphish" + "avatar": "https://avatars.githubusercontent.com/u/627280?s=40&v=4", + "name": "lildude", + "url": "https://github.com/lildude" }, { - "avatar": "https://avatars.githubusercontent.com/u/1619102?s=40&v=4", - "name": "willpower232", - "url": "https://github.com/willpower232" + "avatar": "https://avatars.githubusercontent.com/u/1764210?s=40&v=4", + "name": "pchaigno", + "url": "https://github.com/pchaigno" } ] }, { - "title": "dependabot/dependabot-core", - "url": "https://github.com/dependabot/dependabot-core", - "description": "🤖 Dependabot's core logic for creating update PRs.", + "title": "citation-style-language/styles", + "url": "https://github.com/citation-style-language/styles", + "description": "Official repository for Citation Style Language (CSL) citation styles.", "language": "Ruby", "languageColor": "#701516", - "stars": "4,734", - "forks": "1,024", - "addStars": "15", + "stars": "3,330", + "forks": "3,780", + "addStars": "14", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1144873?s=40&v=4", - "name": "greysteil", - "url": "https://github.com/greysteil" + "avatar": "https://avatars.githubusercontent.com/u/128986?s=40&v=4", + "name": "adam3smith", + "url": "https://github.com/adam3smith" }, { - "avatar": "https://avatars.githubusercontent.com/u/20165?s=40&v=4", - "name": "feelepxyz", - "url": "https://github.com/feelepxyz" + "avatar": "https://avatars.githubusercontent.com/u/77951?s=40&v=4", + "name": "rmzelle", + "url": "https://github.com/rmzelle" }, { - "avatar": "https://avatars.githubusercontent.com/u/749864?s=40&v=4", - "name": "jurre", - "url": "https://github.com/jurre" + "avatar": "https://avatars.githubusercontent.com/u/954979?s=40&v=4", + "name": "POBrien333", + "url": "https://github.com/POBrien333" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4797?s=40&v=4", + "name": "cparnot", + "url": "https://github.com/cparnot" }, { - "avatar": "https://avatars.githubusercontent.com/u/2887858?s=40&v=4", - "name": "deivid-rodriguez", - "url": "https://github.com/deivid-rodriguez" + "avatar": "https://avatars.githubusercontent.com/u/4773225?s=40&v=4", + "name": "bwiernik", + "url": "https://github.com/bwiernik" } ] }, { - "title": "github-linguist/linguist", - "url": "https://github.com/github-linguist/linguist", - "description": "Language Savant. If your repository's language is being reported incorrectly, send us a pull request!", + "title": "discourse/discourse", + "url": "https://github.com/discourse/discourse", + "description": "A platform for community discussion. Free, open, simple.", "language": "Ruby", "languageColor": "#701516", - "stars": "12,351", - "forks": "4,270", - "addStars": "33", + "stars": "42,410", + "forks": "8,345", + "addStars": "77", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4483?s=40&v=4", - "name": "arfon", - "url": "https://github.com/arfon" + "avatar": "https://avatars.githubusercontent.com/u/5213?s=40&v=4", + "name": "SamSaffron", + "url": "https://github.com/SamSaffron" }, { - "avatar": "https://avatars.githubusercontent.com/u/137?s=40&v=4", - "name": "josh", - "url": "https://github.com/josh" + "avatar": "https://avatars.githubusercontent.com/u/17538?s=40&v=4", + "name": "eviltrout", + "url": "https://github.com/eviltrout" }, { - "avatar": "https://avatars.githubusercontent.com/u/126905?s=40&v=4", - "name": "tnm", - "url": "https://github.com/tnm" + "avatar": "https://avatars.githubusercontent.com/u/4335742?s=40&v=4", + "name": "tgxworld", + "url": "https://github.com/tgxworld" }, { - "avatar": "https://avatars.githubusercontent.com/u/627280?s=40&v=4", - "name": "lildude", - "url": "https://github.com/lildude" + "avatar": "https://avatars.githubusercontent.com/u/362783?s=40&v=4", + "name": "ZogStriP", + "url": "https://github.com/ZogStriP" }, { - "avatar": "https://avatars.githubusercontent.com/u/1764210?s=40&v=4", - "name": "pchaigno", - "url": "https://github.com/pchaigno" + "avatar": "https://avatars.githubusercontent.com/u/339945?s=40&v=4", + "name": "jjaffeux", + "url": "https://github.com/jjaffeux" } ] }, { - "title": "huginn/huginn", - "url": "https://github.com/huginn/huginn", - "description": "Create agents that monitor and act on your behalf. Your agents are standing by!", + "title": "opf/openproject", + "url": "https://github.com/opf/openproject", + "description": "OpenProject is the leading open source project management software.", "language": "Ruby", "languageColor": "#701516", - "stars": "43,711", - "forks": "3,788", - "addStars": "96", + "stars": "9,482", + "forks": "2,369", + "addStars": "32", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/10236?s=40&v=4", - "name": "knu", - "url": "https://github.com/knu" + "avatar": "https://avatars.githubusercontent.com/u/459462?s=40&v=4", + "name": "oliverguenther", + "url": "https://github.com/oliverguenther" }, { - "avatar": "https://avatars.githubusercontent.com/u/83835?s=40&v=4", - "name": "cantino", - "url": "https://github.com/cantino" + "avatar": "https://avatars.githubusercontent.com/u/617519?s=40&v=4", + "name": "ulferts", + "url": "https://github.com/ulferts" }, { - "avatar": "https://avatars.githubusercontent.com/u/20943?s=40&v=4", - "name": "dsander", - "url": "https://github.com/dsander" + "avatar": "https://avatars.githubusercontent.com/u/7457313?s=40&v=4", + "name": "HDinger", + "url": "https://github.com/HDinger" }, { - "avatar": "https://avatars.githubusercontent.com/u/1547934?s=40&v=4", - "name": "Jngai", - "url": "https://github.com/Jngai" + "avatar": "https://avatars.githubusercontent.com/u/15835030?s=40&v=4", + "name": "openprojectci", + "url": "https://github.com/openprojectci" }, { - "avatar": "https://avatars.githubusercontent.com/u/382862?s=40&v=4", - "name": "albertsun", - "url": "https://github.com/albertsun" + "avatar": "https://avatars.githubusercontent.com/u/13084?s=40&v=4", + "name": "jplang", + "url": "https://github.com/jplang" } ] }, { - "title": "Shopify/liquid", - "url": "https://github.com/Shopify/liquid", - "description": "Liquid markup language. Safe, customer facing template language for flexible web apps.", + "title": "sinatra/sinatra", + "url": "https://github.com/sinatra/sinatra", + "description": "Classy web-development dressed in a DSL (official / canonical repo)", "language": "Ruby", "languageColor": "#701516", - "stars": "11,140", - "forks": "1,394", - "addStars": "19", + "stars": "12,211", + "forks": "2,076", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/954402?s=40&v=4", - "name": "dylanahsmith", - "url": "https://github.com/dylanahsmith" + "avatar": "https://avatars.githubusercontent.com/u/30442?s=40&v=4", + "name": "rkh", + "url": "https://github.com/rkh" }, { - "avatar": "https://avatars.githubusercontent.com/u/984857?s=40&v=4", - "name": "pushrax", - "url": "https://github.com/pushrax" + "avatar": "https://avatars.githubusercontent.com/u/404?s=40&v=4", + "name": "rtomayko", + "url": "https://github.com/rtomayko" }, { - "avatar": "https://avatars.githubusercontent.com/u/2072686?s=40&v=4", - "name": "fw42", - "url": "https://github.com/fw42" + "avatar": "https://avatars.githubusercontent.com/u/585943?s=40&v=4", + "name": "jkowens", + "url": "https://github.com/jkowens" }, { - "avatar": "https://avatars.githubusercontent.com/u/2475611?s=40&v=4", - "name": "ggmichaelgo", - "url": "https://github.com/ggmichaelgo" + "avatar": "https://avatars.githubusercontent.com/u/309329?s=40&v=4", + "name": "namusyaka", + "url": "https://github.com/namusyaka" }, { - "avatar": "https://avatars.githubusercontent.com/u/6955296?s=40&v=4", - "name": "adamhollett", - "url": "https://github.com/adamhollett" + "avatar": "https://avatars.githubusercontent.com/u/120195?s=40&v=4", + "name": "gnandretta", + "url": "https://github.com/gnandretta" } ] }, { - "title": "kilimchoi/engineering-blogs", - "url": "https://github.com/kilimchoi/engineering-blogs", - "description": "A curated list of engineering blogs", + "title": "alexrudall/ruby-openai", + "url": "https://github.com/alexrudall/ruby-openai", + "description": "OpenAI API + Ruby! 🤖❤️", "language": "Ruby", "languageColor": "#701516", - "stars": "31,778", - "forks": "1,636", - "addStars": "66", + "stars": "2,817", + "forks": "334", + "addStars": "18", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1356007?s=40&v=4", - "name": "kilimchoi", - "url": "https://github.com/kilimchoi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/218869?s=40&v=4", - "name": "kaizensoze", - "url": "https://github.com/kaizensoze" + "avatar": "https://avatars.githubusercontent.com/u/7175262?s=40&v=4", + "name": "alexrudall", + "url": "https://github.com/alexrudall" }, { - "avatar": "https://avatars.githubusercontent.com/u/3685290?s=40&v=4", - "name": "meisyal", - "url": "https://github.com/meisyal" + "avatar": "https://avatars.githubusercontent.com/u/43669?s=40&v=4", + "name": "willywg", + "url": "https://github.com/willywg" }, { - "avatar": "https://avatars.githubusercontent.com/u/346896?s=40&v=4", - "name": "griffio", - "url": "https://github.com/griffio" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/792586?s=40&v=4", - "name": "nucreativa", - "url": "https://github.com/nucreativa" + "avatar": "https://avatars.githubusercontent.com/u/124099?s=40&v=4", + "name": "Haegin", + "url": "https://github.com/Haegin" } ] }, @@ -727,7 +621,7 @@ "description": "Simple, efficient background processing for Ruby", "language": "Ruby", "languageColor": "#701516", - "stars": "13,175", + "stars": "13,176", "forks": "2,419", "addStars": "9", "contributors": [ @@ -759,39 +653,103 @@ ] }, { - "title": "elastic/ansible-elasticsearch", - "url": "https://github.com/elastic/ansible-elasticsearch", - "description": "Ansible playbook for Elasticsearch", + "title": "forem/forem", + "url": "https://github.com/forem/forem", + "description": "For empowering community 🌱", "language": "Ruby", "languageColor": "#701516", - "stars": "1,581", - "forks": "854", + "stars": "22,012", + "forks": "4,056", + "addStars": "26", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3102842?s=40&v=4", + "name": "benhalpern", + "url": "https://github.com/benhalpern" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/146201?s=40&v=4", + "name": "rhymes", + "url": "https://github.com/rhymes" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1813380?s=40&v=4", + "name": "mstruve", + "url": "https://github.com/mstruve" + } + ] + }, + { + "title": "mileszs/wicked_pdf", + "url": "https://github.com/mileszs/wicked_pdf", + "description": "PDF generator (from HTML) plugin for Ruby on Rails", + "language": "Ruby", + "languageColor": "#701516", + "stars": "3,543", + "forks": "647", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8582351?s=40&v=4", - "name": "jmlrt", - "url": "https://github.com/jmlrt" + "avatar": "https://avatars.githubusercontent.com/u/8360?s=40&v=4", + "name": "unixmonkey", + "url": "https://github.com/unixmonkey" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10530520?s=40&v=4", + "name": "pedrofurtado", + "url": "https://github.com/pedrofurtado" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34919?s=40&v=4", + "name": "lleirborras", + "url": "https://github.com/lleirborras" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1587712?s=40&v=4", + "name": "sharpyfox", + "url": "https://github.com/sharpyfox" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5356517?s=40&v=4", + "name": "yui-knk", + "url": "https://github.com/yui-knk" + } + ] + }, + { + "title": "Homebrew/homebrew-cask", + "url": "https://github.com/Homebrew/homebrew-cask", + "description": "🍻 A CLI workflow for the administration of macOS applications distributed as binaries", + "language": "Ruby", + "languageColor": "#701516", + "stars": "20,960", + "forks": "10,743", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1589480?s=40&v=4", + "name": "BrewTestBot", + "url": "https://github.com/BrewTestBot" }, { - "avatar": "https://avatars.githubusercontent.com/u/6436143?s=40&v=4", - "name": "jakommo", - "url": "https://github.com/jakommo" + "avatar": "https://avatars.githubusercontent.com/u/39449589?s=40&v=4", + "name": "krehel", + "url": "https://github.com/krehel" }, { - "avatar": "https://avatars.githubusercontent.com/u/4550136?s=40&v=4", - "name": "Crazybus", - "url": "https://github.com/Crazybus" + "avatar": "https://avatars.githubusercontent.com/u/1699443?s=40&v=4", + "name": "vitorgalvao", + "url": "https://github.com/vitorgalvao" }, { - "avatar": "https://avatars.githubusercontent.com/u/939704?s=40&v=4", - "name": "pemontto", - "url": "https://github.com/pemontto" + "avatar": "https://avatars.githubusercontent.com/u/17261190?s=40&v=4", + "name": "ran-dall", + "url": "https://github.com/ran-dall" }, { - "avatar": "https://avatars.githubusercontent.com/u/3537222?s=40&v=4", - "name": "jeffrey-e", - "url": "https://github.com/jeffrey-e" + "avatar": "https://avatars.githubusercontent.com/u/727482?s=40&v=4", + "name": "rolandwalker", + "url": "https://github.com/rolandwalker" } ] } diff --git a/data/weekly/ruby.xml b/data/weekly/ruby.xml index 034a7620d805..606baad6ad7a 100644 --- a/data/weekly/ruby.xml +++ b/data/weekly/ruby.xml @@ -3,7 +3,7 @@ GitHub Ruby Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ruby Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT mastodon/mastodon https://github.com/mastodon/mastodon @@ -11,7 +11,7 @@ https://github.com/mastodon/mastodon Ruby #701516 - 47,215 + 47,216 7,003 82 @@ -39,7 +39,7 @@ https://github.com/docusealco/docuseal Ruby #701516 - 7,996 + 8,000 528 887 @@ -65,39 +65,6 @@ - - freeCodeCamp/devdocs - https://github.com/freeCodeCamp/devdocs - API Documentation Browser - https://github.com/freeCodeCamp/devdocs - Ruby - #701516 - 35,365 - 2,365 - 53 - - - https://avatars.githubusercontent.com/u/17579?s=40&v=4 - Thibaut - https://github.com/Thibaut - - - https://avatars.githubusercontent.com/u/782446?s=40&v=4 - simon04 - https://github.com/simon04 - - - https://avatars.githubusercontent.com/u/14951909?s=40&v=4 - jmerle - https://github.com/jmerle - - - https://avatars.githubusercontent.com/u/52229226?s=40&v=4 - MasterEnoc - https://github.com/MasterEnoc - - - rapid7/metasploit-framework https://github.com/rapid7/metasploit-framework @@ -105,7 +72,7 @@ https://github.com/rapid7/metasploit-framework Ruby #701516 - 34,246 + 34,247 14,000 62 @@ -137,25 +104,73 @@ - Shopify/ruby-lsp - https://github.com/Shopify/ruby-lsp - An opinionated language server for Ruby - https://github.com/Shopify/ruby-lsp + Homebrew/brew + https://github.com/Homebrew/brew + 🍺 The missing package manager for macOS (or Linux) + https://github.com/Homebrew/brew Ruby #701516 - 1,614 - 166 - 16 + 41,542 + 9,771 + 126 - https://avatars.githubusercontent.com/u/18742907?s=40&v=4 - vinistock - https://github.com/vinistock + https://avatars.githubusercontent.com/u/125011?s=40&v=4 + MikeMcQuaid + https://github.com/MikeMcQuaid - https://avatars.githubusercontent.com/u/13400?s=40&v=4 - andyw8 - https://github.com/andyw8 + https://avatars.githubusercontent.com/u/1309829?s=40&v=4 + reitermarkus + https://github.com/reitermarkus + + + https://avatars.githubusercontent.com/u/568243?s=40&v=4 + jacknagel + https://github.com/jacknagel + + + https://avatars.githubusercontent.com/u/1589480?s=40&v=4 + BrewTestBot + https://github.com/BrewTestBot + + + https://avatars.githubusercontent.com/u/1190754?s=40&v=4 + Bo98 + https://github.com/Bo98 + + + + + freeCodeCamp/devdocs + https://github.com/freeCodeCamp/devdocs + API Documentation Browser + https://github.com/freeCodeCamp/devdocs + Ruby + #701516 + 35,368 + 2,365 + 53 + + + https://avatars.githubusercontent.com/u/17579?s=40&v=4 + Thibaut + https://github.com/Thibaut + + + https://avatars.githubusercontent.com/u/782446?s=40&v=4 + simon04 + https://github.com/simon04 + + + https://avatars.githubusercontent.com/u/14951909?s=40&v=4 + jmerle + https://github.com/jmerle + + + https://avatars.githubusercontent.com/u/52229226?s=40&v=4 + MasterEnoc + https://github.com/MasterEnoc @@ -198,116 +213,30 @@ - citation-style-language/styles - https://github.com/citation-style-language/styles - Official repository for Citation Style Language (CSL) citation styles. - https://github.com/citation-style-language/styles - Ruby - #701516 - 3,329 - 3,779 - 14 - - - https://avatars.githubusercontent.com/u/128986?s=40&v=4 - adam3smith - https://github.com/adam3smith - - - https://avatars.githubusercontent.com/u/77951?s=40&v=4 - rmzelle - https://github.com/rmzelle - - - https://avatars.githubusercontent.com/u/954979?s=40&v=4 - POBrien333 - https://github.com/POBrien333 - - - https://avatars.githubusercontent.com/u/4797?s=40&v=4 - cparnot - https://github.com/cparnot - - - https://avatars.githubusercontent.com/u/4773225?s=40&v=4 - bwiernik - https://github.com/bwiernik - - - - - solidusio/solidus - https://github.com/solidusio/solidus - 🛒 Solidus, the open-source eCommerce framework for industry trailblazers. - https://github.com/solidusio/solidus - Ruby - #701516 - 5,035 - 1,295 - 8 - - - https://avatars.githubusercontent.com/u/2687?s=40&v=4 - radar - https://github.com/radar - - - https://avatars.githubusercontent.com/u/131752?s=40&v=4 - jhawthorn - https://github.com/jhawthorn - - - https://avatars.githubusercontent.com/u/85518?s=40&v=4 - schof - https://github.com/schof - - - https://avatars.githubusercontent.com/u/167946?s=40&v=4 - kennyadsl - https://github.com/kennyadsl - - - https://avatars.githubusercontent.com/u/703401?s=40&v=4 - mamhoff - https://github.com/mamhoff - - - - - puma/puma - https://github.com/puma/puma - A Ruby/Rack web server built for parallelism - https://github.com/puma/puma + postalserver/postal + https://github.com/postalserver/postal + 📮 A fully featured open source mail delivery platform for incoming & outgoing e-mail + https://github.com/postalserver/postal Ruby #701516 - 7,705 - 1,440 - 8 + 14,945 + 1,064 + 26 - https://avatars.githubusercontent.com/u/7?s=40&v=4 - evanphx - https://github.com/evanphx - - - https://avatars.githubusercontent.com/u/15081176?s=40&v=4 - MSP-Greg - https://github.com/MSP-Greg - - - https://avatars.githubusercontent.com/u/845662?s=40&v=4 - nateberkopec - https://github.com/nateberkopec + https://avatars.githubusercontent.com/u/4765?s=40&v=4 + adamcooke + https://github.com/adamcooke - https://avatars.githubusercontent.com/u/59744?s=40&v=4 - schneems - https://github.com/schneems + https://avatars.githubusercontent.com/u/9741?s=40&v=4 + catphish + https://github.com/catphish - https://avatars.githubusercontent.com/u/42626?s=40&v=4 - dentarg - https://github.com/dentarg + https://avatars.githubusercontent.com/u/1619102?s=40&v=4 + willpower232 + https://github.com/willpower232 @@ -318,8 +247,8 @@ https://github.com/chatwoot/chatwoot Ruby #701516 - 21,321 - 3,606 + 21,324 + 3,604 75 @@ -388,357 +317,319 @@ - Homebrew/brew - https://github.com/Homebrew/brew - 🍺 The missing package manager for macOS (or Linux) - https://github.com/Homebrew/brew + puma/puma + https://github.com/puma/puma + A Ruby/Rack web server built for parallelism + https://github.com/puma/puma Ruby #701516 - 41,538 - 9,770 - 126 + 7,705 + 1,440 + 8 - https://avatars.githubusercontent.com/u/125011?s=40&v=4 - MikeMcQuaid - https://github.com/MikeMcQuaid + https://avatars.githubusercontent.com/u/7?s=40&v=4 + evanphx + https://github.com/evanphx - https://avatars.githubusercontent.com/u/1309829?s=40&v=4 - reitermarkus - https://github.com/reitermarkus + https://avatars.githubusercontent.com/u/15081176?s=40&v=4 + MSP-Greg + https://github.com/MSP-Greg - https://avatars.githubusercontent.com/u/568243?s=40&v=4 - jacknagel - https://github.com/jacknagel + https://avatars.githubusercontent.com/u/845662?s=40&v=4 + nateberkopec + https://github.com/nateberkopec - https://avatars.githubusercontent.com/u/1589480?s=40&v=4 - BrewTestBot - https://github.com/BrewTestBot + https://avatars.githubusercontent.com/u/59744?s=40&v=4 + schneems + https://github.com/schneems - https://avatars.githubusercontent.com/u/1190754?s=40&v=4 - Bo98 - https://github.com/Bo98 + https://avatars.githubusercontent.com/u/42626?s=40&v=4 + dentarg + https://github.com/dentarg - opf/openproject - https://github.com/opf/openproject - OpenProject is the leading open source project management software. - https://github.com/opf/openproject + Shopify/ruby-lsp + https://github.com/Shopify/ruby-lsp + An opinionated language server for Ruby + https://github.com/Shopify/ruby-lsp Ruby #701516 - 9,479 - 2,369 - 32 + 1,615 + 166 + 16 - https://avatars.githubusercontent.com/u/459462?s=40&v=4 - oliverguenther - https://github.com/oliverguenther - - - https://avatars.githubusercontent.com/u/617519?s=40&v=4 - ulferts - https://github.com/ulferts - - - https://avatars.githubusercontent.com/u/7457313?s=40&v=4 - HDinger - https://github.com/HDinger - - - https://avatars.githubusercontent.com/u/15835030?s=40&v=4 - openprojectci - https://github.com/openprojectci + https://avatars.githubusercontent.com/u/18742907?s=40&v=4 + vinistock + https://github.com/vinistock - https://avatars.githubusercontent.com/u/13084?s=40&v=4 - jplang - https://github.com/jplang + https://avatars.githubusercontent.com/u/13400?s=40&v=4 + andyw8 + https://github.com/andyw8 - discourse/discourse - https://github.com/discourse/discourse - A platform for community discussion. Free, open, simple. - https://github.com/discourse/discourse + solidusio/solidus + https://github.com/solidusio/solidus + 🛒 Solidus, the open-source eCommerce framework for industry trailblazers. + https://github.com/solidusio/solidus Ruby #701516 - 42,407 - 8,343 - 77 + 5,035 + 1,295 + 8 - https://avatars.githubusercontent.com/u/5213?s=40&v=4 - SamSaffron - https://github.com/SamSaffron + https://avatars.githubusercontent.com/u/2687?s=40&v=4 + radar + https://github.com/radar - https://avatars.githubusercontent.com/u/17538?s=40&v=4 - eviltrout - https://github.com/eviltrout + https://avatars.githubusercontent.com/u/131752?s=40&v=4 + jhawthorn + https://github.com/jhawthorn - https://avatars.githubusercontent.com/u/4335742?s=40&v=4 - tgxworld - https://github.com/tgxworld + https://avatars.githubusercontent.com/u/85518?s=40&v=4 + schof + https://github.com/schof - https://avatars.githubusercontent.com/u/362783?s=40&v=4 - ZogStriP - https://github.com/ZogStriP + https://avatars.githubusercontent.com/u/167946?s=40&v=4 + kennyadsl + https://github.com/kennyadsl - https://avatars.githubusercontent.com/u/339945?s=40&v=4 - jjaffeux - https://github.com/jjaffeux + https://avatars.githubusercontent.com/u/703401?s=40&v=4 + mamhoff + https://github.com/mamhoff - forem/forem - https://github.com/forem/forem - For empowering community 🌱 - https://github.com/forem/forem + github-linguist/linguist + https://github.com/github-linguist/linguist + Language Savant. If your repository's language is being reported incorrectly, send us a pull request! + https://github.com/github-linguist/linguist Ruby #701516 - 22,012 - 4,057 - 26 + 12,352 + 4,270 + 33 - https://avatars.githubusercontent.com/u/3102842?s=40&v=4 - benhalpern - https://github.com/benhalpern - - - https://avatars.githubusercontent.com/u/146201?s=40&v=4 - rhymes - https://github.com/rhymes + https://avatars.githubusercontent.com/u/4483?s=40&v=4 + arfon + https://github.com/arfon - https://avatars.githubusercontent.com/u/1813380?s=40&v=4 - mstruve - https://github.com/mstruve + https://avatars.githubusercontent.com/u/137?s=40&v=4 + josh + https://github.com/josh - - - - postalserver/postal - https://github.com/postalserver/postal - 📮 A fully featured open source mail delivery platform for incoming & outgoing e-mail - https://github.com/postalserver/postal - Ruby - #701516 - 14,945 - 1,064 - 26 - - https://avatars.githubusercontent.com/u/4765?s=40&v=4 - adamcooke - https://github.com/adamcooke + https://avatars.githubusercontent.com/u/126905?s=40&v=4 + tnm + https://github.com/tnm - https://avatars.githubusercontent.com/u/9741?s=40&v=4 - catphish - https://github.com/catphish + https://avatars.githubusercontent.com/u/627280?s=40&v=4 + lildude + https://github.com/lildude - https://avatars.githubusercontent.com/u/1619102?s=40&v=4 - willpower232 - https://github.com/willpower232 + https://avatars.githubusercontent.com/u/1764210?s=40&v=4 + pchaigno + https://github.com/pchaigno - dependabot/dependabot-core - https://github.com/dependabot/dependabot-core - 🤖 Dependabot's core logic for creating update PRs. - https://github.com/dependabot/dependabot-core + citation-style-language/styles + https://github.com/citation-style-language/styles + Official repository for Citation Style Language (CSL) citation styles. + https://github.com/citation-style-language/styles Ruby #701516 - 4,734 - 1,024 - 15 + 3,330 + 3,780 + 14 - https://avatars.githubusercontent.com/u/1144873?s=40&v=4 - greysteil - https://github.com/greysteil + https://avatars.githubusercontent.com/u/128986?s=40&v=4 + adam3smith + https://github.com/adam3smith + + + https://avatars.githubusercontent.com/u/77951?s=40&v=4 + rmzelle + https://github.com/rmzelle - https://avatars.githubusercontent.com/u/20165?s=40&v=4 - feelepxyz - https://github.com/feelepxyz + https://avatars.githubusercontent.com/u/954979?s=40&v=4 + POBrien333 + https://github.com/POBrien333 - https://avatars.githubusercontent.com/u/749864?s=40&v=4 - jurre - https://github.com/jurre + https://avatars.githubusercontent.com/u/4797?s=40&v=4 + cparnot + https://github.com/cparnot - https://avatars.githubusercontent.com/u/2887858?s=40&v=4 - deivid-rodriguez - https://github.com/deivid-rodriguez + https://avatars.githubusercontent.com/u/4773225?s=40&v=4 + bwiernik + https://github.com/bwiernik - github-linguist/linguist - https://github.com/github-linguist/linguist - Language Savant. If your repository's language is being reported incorrectly, send us a pull request! - https://github.com/github-linguist/linguist + discourse/discourse + https://github.com/discourse/discourse + A platform for community discussion. Free, open, simple. + https://github.com/discourse/discourse Ruby #701516 - 12,351 - 4,270 - 33 + 42,410 + 8,345 + 77 - https://avatars.githubusercontent.com/u/4483?s=40&v=4 - arfon - https://github.com/arfon + https://avatars.githubusercontent.com/u/5213?s=40&v=4 + SamSaffron + https://github.com/SamSaffron - https://avatars.githubusercontent.com/u/137?s=40&v=4 - josh - https://github.com/josh + https://avatars.githubusercontent.com/u/17538?s=40&v=4 + eviltrout + https://github.com/eviltrout - https://avatars.githubusercontent.com/u/126905?s=40&v=4 - tnm - https://github.com/tnm + https://avatars.githubusercontent.com/u/4335742?s=40&v=4 + tgxworld + https://github.com/tgxworld - https://avatars.githubusercontent.com/u/627280?s=40&v=4 - lildude - https://github.com/lildude + https://avatars.githubusercontent.com/u/362783?s=40&v=4 + ZogStriP + https://github.com/ZogStriP - https://avatars.githubusercontent.com/u/1764210?s=40&v=4 - pchaigno - https://github.com/pchaigno + https://avatars.githubusercontent.com/u/339945?s=40&v=4 + jjaffeux + https://github.com/jjaffeux - huginn/huginn - https://github.com/huginn/huginn - Create agents that monitor and act on your behalf. Your agents are standing by! - https://github.com/huginn/huginn + opf/openproject + https://github.com/opf/openproject + OpenProject is the leading open source project management software. + https://github.com/opf/openproject Ruby #701516 - 43,711 - 3,788 - 96 + 9,482 + 2,369 + 32 - https://avatars.githubusercontent.com/u/10236?s=40&v=4 - knu - https://github.com/knu + https://avatars.githubusercontent.com/u/459462?s=40&v=4 + oliverguenther + https://github.com/oliverguenther - https://avatars.githubusercontent.com/u/83835?s=40&v=4 - cantino - https://github.com/cantino + https://avatars.githubusercontent.com/u/617519?s=40&v=4 + ulferts + https://github.com/ulferts - https://avatars.githubusercontent.com/u/20943?s=40&v=4 - dsander - https://github.com/dsander + https://avatars.githubusercontent.com/u/7457313?s=40&v=4 + HDinger + https://github.com/HDinger - https://avatars.githubusercontent.com/u/1547934?s=40&v=4 - Jngai - https://github.com/Jngai + https://avatars.githubusercontent.com/u/15835030?s=40&v=4 + openprojectci + https://github.com/openprojectci - https://avatars.githubusercontent.com/u/382862?s=40&v=4 - albertsun - https://github.com/albertsun + https://avatars.githubusercontent.com/u/13084?s=40&v=4 + jplang + https://github.com/jplang - Shopify/liquid - https://github.com/Shopify/liquid - Liquid markup language. Safe, customer facing template language for flexible web apps. - https://github.com/Shopify/liquid + sinatra/sinatra + https://github.com/sinatra/sinatra + Classy web-development dressed in a DSL (official / canonical repo) + https://github.com/sinatra/sinatra Ruby #701516 - 11,140 - 1,394 - 19 + 12,211 + 2,076 + 8 - https://avatars.githubusercontent.com/u/954402?s=40&v=4 - dylanahsmith - https://github.com/dylanahsmith + https://avatars.githubusercontent.com/u/30442?s=40&v=4 + rkh + https://github.com/rkh - https://avatars.githubusercontent.com/u/984857?s=40&v=4 - pushrax - https://github.com/pushrax + https://avatars.githubusercontent.com/u/404?s=40&v=4 + rtomayko + https://github.com/rtomayko - https://avatars.githubusercontent.com/u/2072686?s=40&v=4 - fw42 - https://github.com/fw42 + https://avatars.githubusercontent.com/u/585943?s=40&v=4 + jkowens + https://github.com/jkowens - https://avatars.githubusercontent.com/u/2475611?s=40&v=4 - ggmichaelgo - https://github.com/ggmichaelgo + https://avatars.githubusercontent.com/u/309329?s=40&v=4 + namusyaka + https://github.com/namusyaka - https://avatars.githubusercontent.com/u/6955296?s=40&v=4 - adamhollett - https://github.com/adamhollett + https://avatars.githubusercontent.com/u/120195?s=40&v=4 + gnandretta + https://github.com/gnandretta - kilimchoi/engineering-blogs - https://github.com/kilimchoi/engineering-blogs - A curated list of engineering blogs - https://github.com/kilimchoi/engineering-blogs + alexrudall/ruby-openai + https://github.com/alexrudall/ruby-openai + OpenAI API + Ruby! 🤖❤️ + https://github.com/alexrudall/ruby-openai Ruby #701516 - 31,778 - 1,636 - 66 + 2,817 + 334 + 18 - https://avatars.githubusercontent.com/u/1356007?s=40&v=4 - kilimchoi - https://github.com/kilimchoi - - - https://avatars.githubusercontent.com/u/218869?s=40&v=4 - kaizensoze - https://github.com/kaizensoze - - - https://avatars.githubusercontent.com/u/3685290?s=40&v=4 - meisyal - https://github.com/meisyal + https://avatars.githubusercontent.com/u/7175262?s=40&v=4 + alexrudall + https://github.com/alexrudall - https://avatars.githubusercontent.com/u/346896?s=40&v=4 - griffio - https://github.com/griffio + https://avatars.githubusercontent.com/u/43669?s=40&v=4 + willywg + https://github.com/willywg - https://avatars.githubusercontent.com/u/792586?s=40&v=4 - nucreativa - https://github.com/nucreativa + https://avatars.githubusercontent.com/u/124099?s=40&v=4 + Haegin + https://github.com/Haegin @@ -749,7 +640,7 @@ https://github.com/sidekiq/sidekiq Ruby #701516 - 13,175 + 13,176 2,419 9 @@ -781,40 +672,106 @@ - elastic/ansible-elasticsearch - https://github.com/elastic/ansible-elasticsearch - Ansible playbook for Elasticsearch - https://github.com/elastic/ansible-elasticsearch + forem/forem + https://github.com/forem/forem + For empowering community 🌱 + https://github.com/forem/forem + Ruby + #701516 + 22,012 + 4,056 + 26 + + + https://avatars.githubusercontent.com/u/3102842?s=40&v=4 + benhalpern + https://github.com/benhalpern + + + https://avatars.githubusercontent.com/u/146201?s=40&v=4 + rhymes + https://github.com/rhymes + + + https://avatars.githubusercontent.com/u/1813380?s=40&v=4 + mstruve + https://github.com/mstruve + + + + + mileszs/wicked_pdf + https://github.com/mileszs/wicked_pdf + PDF generator (from HTML) plugin for Ruby on Rails + https://github.com/mileszs/wicked_pdf Ruby #701516 - 1,581 - 854 + 3,543 + 647 1 - https://avatars.githubusercontent.com/u/8582351?s=40&v=4 - jmlrt - https://github.com/jmlrt + https://avatars.githubusercontent.com/u/8360?s=40&v=4 + unixmonkey + https://github.com/unixmonkey + + + https://avatars.githubusercontent.com/u/10530520?s=40&v=4 + pedrofurtado + https://github.com/pedrofurtado + + + https://avatars.githubusercontent.com/u/34919?s=40&v=4 + lleirborras + https://github.com/lleirborras + + + https://avatars.githubusercontent.com/u/1587712?s=40&v=4 + sharpyfox + https://github.com/sharpyfox + + + https://avatars.githubusercontent.com/u/5356517?s=40&v=4 + yui-knk + https://github.com/yui-knk + + + + + Homebrew/homebrew-cask + https://github.com/Homebrew/homebrew-cask + 🍻 A CLI workflow for the administration of macOS applications distributed as binaries + https://github.com/Homebrew/homebrew-cask + Ruby + #701516 + 20,960 + 10,743 + 15 + + + https://avatars.githubusercontent.com/u/1589480?s=40&v=4 + BrewTestBot + https://github.com/BrewTestBot - https://avatars.githubusercontent.com/u/6436143?s=40&v=4 - jakommo - https://github.com/jakommo + https://avatars.githubusercontent.com/u/39449589?s=40&v=4 + krehel + https://github.com/krehel - https://avatars.githubusercontent.com/u/4550136?s=40&v=4 - Crazybus - https://github.com/Crazybus + https://avatars.githubusercontent.com/u/1699443?s=40&v=4 + vitorgalvao + https://github.com/vitorgalvao - https://avatars.githubusercontent.com/u/939704?s=40&v=4 - pemontto - https://github.com/pemontto + https://avatars.githubusercontent.com/u/17261190?s=40&v=4 + ran-dall + https://github.com/ran-dall - https://avatars.githubusercontent.com/u/3537222?s=40&v=4 - jeffrey-e - https://github.com/jeffrey-e + https://avatars.githubusercontent.com/u/727482?s=40&v=4 + rolandwalker + https://github.com/rolandwalker diff --git a/data/weekly/runoff.json b/data/weekly/runoff.json index 33e90269ce83..542401880b10 100644 --- a/data/weekly/runoff.json +++ b/data/weekly/runoff.json @@ -2,6 +2,6 @@ "title": "GitHub Runoff Languages Weekly Trending", "description": "Weekly Trending of Runoff Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/runoff.xml b/data/weekly/runoff.xml index c98a5be2645f..63ed80ab262e 100644 --- a/data/weekly/runoff.xml +++ b/data/weekly/runoff.xml @@ -3,6 +3,6 @@ GitHub Runoff Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Runoff Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/rust.json b/data/weekly/rust.json index bda0f6bc3902..6c98eab2af1e 100644 --- a/data/weekly/rust.json +++ b/data/weekly/rust.json @@ -2,7 +2,7 @@ "title": "GitHub Rust Languages Weekly Trending", "description": "Weekly Trending of Rust Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "mediar-ai/screenpipe", @@ -10,8 +10,8 @@ "description": "rewind.ai x cursor.com = your AI assistant that has all the context. 24/7 screen & voice recording for the age of super intelligence. get your data ready or be left behind", "language": "Rust", "languageColor": "#dea584", - "stars": "10,088", - "forks": "599", + "stars": "10,115", + "forks": "601", "addStars": "1,096", "contributors": [ { @@ -36,45 +36,13 @@ } ] }, - { - "title": "getzola/zola", - "url": "https://github.com/getzola/zola", - "description": "A fast static site generator in a single binary with everything built-in. https://www.getzola.org", - "language": "Rust", - "languageColor": "#dea584", - "stars": "14,187", - "forks": "970", - "addStars": "184", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/680355?s=40&v=4", - "name": "Keats", - "url": "https://github.com/Keats" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", - "name": "actions-user", - "url": "https://github.com/actions-user" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35405463?s=40&v=4", - "name": "codesections", - "url": "https://github.com/codesections" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", - "name": "rootkea", - "url": "https://github.com/rootkea" - } - ] - }, { "title": "cloudflare/pingora", "url": "https://github.com/cloudflare/pingora", "description": "A library for building fast, reliable and evolvable network services.", "language": "Rust", "languageColor": "#dea584", - "stars": "22,445", + "stars": "22,454", "forks": "1,239", "addStars": "290", "contributors": [ @@ -105,13 +73,50 @@ } ] }, + { + "title": "surrealdb/surrealdb", + "url": "https://github.com/surrealdb/surrealdb", + "description": "A scalable, distributed, collaborative, document-graph database, for the realtime web", + "language": "Rust", + "languageColor": "#dea584", + "stars": "27,931", + "forks": "915", + "addStars": "171", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1588290?s=40&v=4", + "name": "tobiemh", + "url": "https://github.com/tobiemh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4958190?s=40&v=4", + "name": "rushmorem", + "url": "https://github.com/rushmorem" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1282276?s=40&v=4", + "name": "emmanuel-keller", + "url": "https://github.com/emmanuel-keller" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7524414?s=40&v=4", + "name": "DelSkayn", + "url": "https://github.com/DelSkayn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17960250?s=40&v=4", + "name": "kearfy", + "url": "https://github.com/kearfy" + } + ] + }, { "title": "gleam-lang/gleam", "url": "https://github.com/gleam-lang/gleam", "description": "⭐️ A friendly language for building type-safe, scalable systems!", "language": "Rust", "languageColor": "#dea584", - "stars": "18,087", + "stars": "18,090", "forks": "757", "addStars": "93", "contributors": [ @@ -142,13 +147,45 @@ } ] }, + { + "title": "getzola/zola", + "url": "https://github.com/getzola/zola", + "description": "A fast static site generator in a single binary with everything built-in. https://www.getzola.org", + "language": "Rust", + "languageColor": "#dea584", + "stars": "14,190", + "forks": "970", + "addStars": "184", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/680355?s=40&v=4", + "name": "Keats", + "url": "https://github.com/Keats" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65916846?s=40&v=4", + "name": "actions-user", + "url": "https://github.com/actions-user" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35405463?s=40&v=4", + "name": "codesections", + "url": "https://github.com/codesections" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2694559?s=40&v=4", + "name": "rootkea", + "url": "https://github.com/rootkea" + } + ] + }, { "title": "rust-lang/rustlings", "url": "https://github.com/rust-lang/rustlings", "description": "🦀 Small exercises to get you used to reading and writing Rust code!", "language": "Rust", "languageColor": "#dea584", - "stars": "54,451", + "stars": "54,461", "forks": "10,189", "addStars": "204", "contributors": [ @@ -175,76 +212,61 @@ ] }, { - "title": "uutils/coreutils", - "url": "https://github.com/uutils/coreutils", - "description": "Cross-platform Rust rewrite of the GNU coreutils", + "title": "meilisearch/meilisearch", + "url": "https://github.com/meilisearch/meilisearch", + "description": "A lightning-fast search API that fits effortlessly into your apps, websites, and workflow", "language": "Rust", "languageColor": "#dea584", - "stars": "17,810", - "forks": "1,279", - "addStars": "61", + "stars": "47,591", + "forks": "1,857", + "addStars": "142", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/733326?s=40&v=4", - "name": "sylvestre", - "url": "https://github.com/sylvestre" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26196?s=40&v=4", - "name": "cakebaker", - "url": "https://github.com/cakebaker" + "avatar": "https://avatars.githubusercontent.com/u/3610253?s=40&v=4", + "name": "Kerollmops", + "url": "https://github.com/Kerollmops" }, { - "avatar": "https://avatars.githubusercontent.com/u/11643477?s=40&v=4", - "name": "tertsdiepraam", - "url": "https://github.com/tertsdiepraam" + "avatar": "https://avatars.githubusercontent.com/u/7032172?s=40&v=4", + "name": "irevoire", + "url": "https://github.com/irevoire" }, { - "avatar": "https://avatars.githubusercontent.com/u/80132?s=40&v=4", - "name": "rivy", - "url": "https://github.com/rivy" + "avatar": "https://avatars.githubusercontent.com/u/28804882?s=40&v=4", + "name": "MarinPostma", + "url": "https://github.com/MarinPostma" }, { - "avatar": "https://avatars.githubusercontent.com/u/829813?s=40&v=4", - "name": "Arcterus", - "url": "https://github.com/Arcterus" + "avatar": "https://avatars.githubusercontent.com/u/41078892?s=40&v=4", + "name": "dureuill", + "url": "https://github.com/dureuill" } ] }, { - "title": "paritytech/polkadot-sdk", - "url": "https://github.com/paritytech/polkadot-sdk", - "description": "The Parity Polkadot Blockchain SDK", + "title": "sharkdp/hyperfine", + "url": "https://github.com/sharkdp/hyperfine", + "description": "A command-line benchmarking tool", "language": "Rust", "languageColor": "#dea584", - "stars": "1,916", - "forks": "707", - "addStars": "25", + "stars": "22,852", + "forks": "364", + "addStars": "599", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/25482386?s=40&v=4", - "name": "devops-parity", - "url": "https://github.com/devops-parity" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1860335?s=40&v=4", - "name": "shawntabrizi", - "url": "https://github.com/shawntabrizi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10380170?s=40&v=4", - "name": "ggwpez", - "url": "https://github.com/ggwpez" + "avatar": "https://avatars.githubusercontent.com/u/4209276?s=40&v=4", + "name": "sharkdp", + "url": "https://github.com/sharkdp" }, { - "avatar": "https://avatars.githubusercontent.com/u/985319?s=40&v=4", - "name": "svyatonik", - "url": "https://github.com/svyatonik" + "avatar": "https://avatars.githubusercontent.com/u/36207117?s=40&v=4", + "name": "dependabot-bot", + "url": "https://github.com/dependabot-bot" }, { - "avatar": "https://avatars.githubusercontent.com/u/5718007?s=40&v=4", - "name": "bkchr", - "url": "https://github.com/bkchr" + "avatar": "https://avatars.githubusercontent.com/u/8147610?s=40&v=4", + "name": "stevepentland", + "url": "https://github.com/stevepentland" } ] }, @@ -254,8 +276,8 @@ "description": "A collection of Solana programs maintained by Solana Labs", "language": "Rust", "languageColor": "#dea584", - "stars": "3,592", - "forks": "2,095", + "stars": "3,595", + "forks": "2,096", "addStars": "31", "contributors": [ { @@ -274,83 +296,46 @@ "url": "https://github.com/CriesofCarrots" }, { - "avatar": "https://avatars.githubusercontent.com/u/81144685?s=40&v=4", - "name": "2501babe", - "url": "https://github.com/2501babe" - } - ] - }, - { - "title": "zed-industries/zed", - "url": "https://github.com/zed-industries/zed", - "description": "Code at the speed of thought – Zed is a high-performance, multiplayer code editor from the creators of Atom and Tree-sitter.", - "language": "Rust", - "languageColor": "#dea584", - "stars": "50,562", - "forks": "3,133", - "addStars": "444", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/482957?s=40&v=4", - "name": "as-cii", - "url": "https://github.com/as-cii" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/326587?s=40&v=4", - "name": "maxbrunsfeld", - "url": "https://github.com/maxbrunsfeld" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1789?s=40&v=4", - "name": "nathansobo", - "url": "https://github.com/nathansobo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1486634?s=40&v=4", - "name": "maxdeviant", - "url": "https://github.com/maxdeviant" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2280405?s=40&v=4", - "name": "mikayla-maki", - "url": "https://github.com/mikayla-maki" + "avatar": "https://avatars.githubusercontent.com/u/16846544?s=40&v=4", + "name": "samkim-crypto", + "url": "https://github.com/samkim-crypto" } ] }, { - "title": "surrealdb/surrealdb", - "url": "https://github.com/surrealdb/surrealdb", - "description": "A scalable, distributed, collaborative, document-graph database, for the realtime web", + "title": "spaceandtimelabs/sxt-proof-of-sql", + "url": "https://github.com/spaceandtimelabs/sxt-proof-of-sql", + "description": "Space and Time | Proof of SQL", "language": "Rust", "languageColor": "#dea584", - "stars": "27,876", - "forks": "912", - "addStars": "171", + "stars": "2,593", + "forks": "93", + "addStars": "403", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1588290?s=40&v=4", - "name": "tobiemh", - "url": "https://github.com/tobiemh" + "avatar": "https://avatars.githubusercontent.com/u/7530828?s=40&v=4", + "name": "JayWhite2357", + "url": "https://github.com/JayWhite2357" }, { - "avatar": "https://avatars.githubusercontent.com/u/4958190?s=40&v=4", - "name": "rushmorem", - "url": "https://github.com/rushmorem" + "avatar": "https://avatars.githubusercontent.com/u/14581281?s=40&v=4", + "name": "iajoiner", + "url": "https://github.com/iajoiner" }, { - "avatar": "https://avatars.githubusercontent.com/u/1282276?s=40&v=4", - "name": "emmanuel-keller", - "url": "https://github.com/emmanuel-keller" + "avatar": "https://avatars.githubusercontent.com/u/1923871?s=40&v=4", + "name": "rnburn", + "url": "https://github.com/rnburn" }, { - "avatar": "https://avatars.githubusercontent.com/u/7524414?s=40&v=4", - "name": "DelSkayn", - "url": "https://github.com/DelSkayn" + "avatar": "https://avatars.githubusercontent.com/u/40841027?s=40&v=4", + "name": "Dustin-Ray", + "url": "https://github.com/Dustin-Ray" }, { - "avatar": "https://avatars.githubusercontent.com/u/17960250?s=40&v=4", - "name": "kearfy", - "url": "https://github.com/kearfy" + "avatar": "https://avatars.githubusercontent.com/u/110196418?s=40&v=4", + "name": "tlovell-sxt", + "url": "https://github.com/tlovell-sxt" } ] }, @@ -360,8 +345,8 @@ "description": "⚓ Solana Sealevel Framework", "language": "Rust", "languageColor": "#dea584", - "stars": "3,721", - "forks": "1,362", + "stars": "3,723", + "forks": "1,364", "addStars": "31", "contributors": [ { @@ -392,98 +377,39 @@ ] }, { - "title": "sharkdp/hyperfine", - "url": "https://github.com/sharkdp/hyperfine", - "description": "A command-line benchmarking tool", + "title": "rust-unofficial/awesome-rust", + "url": "https://github.com/rust-unofficial/awesome-rust", + "description": "A curated list of Rust code and resources.", "language": "Rust", "languageColor": "#dea584", - "stars": "22,849", - "forks": "364", - "addStars": "599", + "stars": "47,153", + "forks": "2,773", + "addStars": "156", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4209276?s=40&v=4", - "name": "sharkdp", - "url": "https://github.com/sharkdp" + "avatar": "https://avatars.githubusercontent.com/u/38532?s=40&v=4", + "name": "palfrey", + "url": "https://github.com/palfrey" }, { - "avatar": "https://avatars.githubusercontent.com/u/36207117?s=40&v=4", - "name": "dependabot-bot", - "url": "https://github.com/dependabot-bot" + "avatar": "https://avatars.githubusercontent.com/u/8401799?s=40&v=4", + "name": "luciusmagn", + "url": "https://github.com/luciusmagn" }, { - "avatar": "https://avatars.githubusercontent.com/u/8147610?s=40&v=4", - "name": "stevepentland", - "url": "https://github.com/stevepentland" - } - ] - }, - { - "title": "meilisearch/meilisearch", - "url": "https://github.com/meilisearch/meilisearch", - "description": "A lightning-fast search API that fits effortlessly into your apps, websites, and workflow", - "language": "Rust", - "languageColor": "#dea584", - "stars": "47,583", - "forks": "1,857", - "addStars": "142", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3610253?s=40&v=4", - "name": "Kerollmops", - "url": "https://github.com/Kerollmops" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7032172?s=40&v=4", - "name": "irevoire", - "url": "https://github.com/irevoire" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28804882?s=40&v=4", - "name": "MarinPostma", - "url": "https://github.com/MarinPostma" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41078892?s=40&v=4", - "name": "dureuill", - "url": "https://github.com/dureuill" - } - ] - }, - { - "title": "zellij-org/zellij", - "url": "https://github.com/zellij-org/zellij", - "description": "A terminal workspace with batteries included", - "language": "Rust", - "languageColor": "#dea584", - "stars": "21,976", - "forks": "668", - "addStars": "206", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/795598?s=40&v=4", - "name": "imsnif", - "url": "https://github.com/imsnif" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65275785?s=40&v=4", - "name": "a-kenji", - "url": "https://github.com/a-kenji" + "avatar": "https://avatars.githubusercontent.com/u/391975?s=40&v=4", + "name": "kud1ing", + "url": "https://github.com/kud1ing" }, { - "avatar": "https://avatars.githubusercontent.com/u/6251883?s=40&v=4", - "name": "TheLostLambda", - "url": "https://github.com/TheLostLambda" + "avatar": "https://avatars.githubusercontent.com/u/1146369?s=40&v=4", + "name": "iddm", + "url": "https://github.com/iddm" }, { - "avatar": "https://avatars.githubusercontent.com/u/44079328?s=40&v=4", - "name": "kunalmohan", - "url": "https://github.com/kunalmohan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32578710?s=40&v=4", - "name": "jaeheonji", - "url": "https://github.com/jaeheonji" + "avatar": "https://avatars.githubusercontent.com/u/37858097?s=40&v=4", + "name": "BinChengZhao", + "url": "https://github.com/BinChengZhao" } ] }, @@ -493,8 +419,8 @@ "description": "Web-Scale Blockchain for fast, secure, scalable, decentralized apps and marketplaces.", "language": "Rust", "languageColor": "#dea584", - "stars": "13,284", - "forks": "4,323", + "stars": "13,287", + "forks": "4,322", "addStars": "81", "contributors": [ { @@ -519,13 +445,50 @@ } ] }, + { + "title": "MystenLabs/sui", + "url": "https://github.com/MystenLabs/sui", + "description": "Sui, a next-generation smart contract platform with high throughput, low latency, and an asset-oriented programming model powered by the Move programming language", + "language": "Rust", + "languageColor": "#dea584", + "stars": "6,265", + "forks": "11,211", + "addStars": "31", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1581614?s=40&v=4", + "name": "lxfind", + "url": "https://github.com/lxfind" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6741899?s=40&v=4", + "name": "bmwill", + "url": "https://github.com/bmwill" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/332275?s=40&v=4", + "name": "amnn", + "url": "https://github.com/amnn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/103447440?s=40&v=4", + "name": "mystenmark", + "url": "https://github.com/mystenmark" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8418040?s=40&v=4", + "name": "longbowlu", + "url": "https://github.com/longbowlu" + } + ] + }, { "title": "loco-rs/loco", "url": "https://github.com/loco-rs/loco", "description": "🚂 🦀 The one-person framework for Rust for side-projects and startups", "language": "Rust", "languageColor": "#dea584", - "stars": "5,506", + "stars": "5,516", "forks": "235", "addStars": "152", "contributors": [ @@ -557,71 +520,113 @@ ] }, { - "title": "helix-editor/helix", - "url": "https://github.com/helix-editor/helix", - "description": "A post-modern modal text editor.", + "title": "uutils/coreutils", + "url": "https://github.com/uutils/coreutils", + "description": "Cross-platform Rust rewrite of the GNU coreutils", "language": "Rust", "languageColor": "#dea584", - "stars": "33,967", - "forks": "2,510", - "addStars": "172", + "stars": "17,812", + "forks": "1,279", + "addStars": "61", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1372918?s=40&v=4", - "name": "archseer", - "url": "https://github.com/archseer" + "avatar": "https://avatars.githubusercontent.com/u/733326?s=40&v=4", + "name": "sylvestre", + "url": "https://github.com/sylvestre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26196?s=40&v=4", + "name": "cakebaker", + "url": "https://github.com/cakebaker" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11643477?s=40&v=4", + "name": "tertsdiepraam", + "url": "https://github.com/tertsdiepraam" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/80132?s=40&v=4", + "name": "rivy", + "url": "https://github.com/rivy" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/829813?s=40&v=4", + "name": "Arcterus", + "url": "https://github.com/Arcterus" + } + ] + }, + { + "title": "rpcpool/yellowstone-grpc", + "url": "https://github.com/rpcpool/yellowstone-grpc", + "description": "solana geyser grpc service", + "language": "Rust", + "languageColor": "#dea584", + "stars": "285", + "forks": "110", + "addStars": "27", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2633065?s=40&v=4", + "name": "fanatid", + "url": "https://github.com/fanatid" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5172293?s=40&v=4", + "name": "linuskendall", + "url": "https://github.com/linuskendall" }, { - "avatar": "https://avatars.githubusercontent.com/u/21230295?s=40&v=4", - "name": "the-mikedavis", - "url": "https://github.com/the-mikedavis" + "avatar": "https://avatars.githubusercontent.com/u/11276687?s=40&v=4", + "name": "Juanito87", + "url": "https://github.com/Juanito87" }, { - "avatar": "https://avatars.githubusercontent.com/u/61850714?s=40&v=4", - "name": "pascalkuthe", - "url": "https://github.com/pascalkuthe" + "avatar": "https://avatars.githubusercontent.com/u/160350983?s=40&v=4", + "name": "lvboudre", + "url": "https://github.com/lvboudre" }, { - "avatar": "https://avatars.githubusercontent.com/u/23398472?s=40&v=4", - "name": "sudormrfbin", - "url": "https://github.com/sudormrfbin" + "avatar": "https://avatars.githubusercontent.com/u/541141?s=40&v=4", + "name": "shuimuliang", + "url": "https://github.com/shuimuliang" } ] }, { - "title": "lencx/ChatGPT", - "url": "https://github.com/lencx/ChatGPT", - "description": "🔮 ChatGPT Desktop Application (Mac, Windows and Linux)", + "title": "zed-industries/zed", + "url": "https://github.com/zed-industries/zed", + "description": "Code at the speed of thought – Zed is a high-performance, multiplayer code editor from the creators of Atom and Tree-sitter.", "language": "Rust", "languageColor": "#dea584", - "stars": "53,024", - "forks": "5,969", - "addStars": "103", + "stars": "50,573", + "forks": "3,133", + "addStars": "444", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/16164244?s=40&v=4", - "name": "lencx", - "url": "https://github.com/lencx" + "avatar": "https://avatars.githubusercontent.com/u/482957?s=40&v=4", + "name": "as-cii", + "url": "https://github.com/as-cii" }, { - "avatar": "https://avatars.githubusercontent.com/u/4404609?s=40&v=4", - "name": "tk103331", - "url": "https://github.com/tk103331" + "avatar": "https://avatars.githubusercontent.com/u/326587?s=40&v=4", + "name": "maxbrunsfeld", + "url": "https://github.com/maxbrunsfeld" }, { - "avatar": "https://avatars.githubusercontent.com/u/61578883?s=40&v=4", - "name": "yixinBC", - "url": "https://github.com/yixinBC" + "avatar": "https://avatars.githubusercontent.com/u/1789?s=40&v=4", + "name": "nathansobo", + "url": "https://github.com/nathansobo" }, { - "avatar": "https://avatars.githubusercontent.com/u/49938263?s=40&v=4", - "name": "asheroto", - "url": "https://github.com/asheroto" + "avatar": "https://avatars.githubusercontent.com/u/1486634?s=40&v=4", + "name": "maxdeviant", + "url": "https://github.com/maxdeviant" }, { - "avatar": "https://avatars.githubusercontent.com/u/6962246?s=40&v=4", - "name": "djdarcy", - "url": "https://github.com/djdarcy" + "avatar": "https://avatars.githubusercontent.com/u/2280405?s=40&v=4", + "name": "mikayla-maki", + "url": "https://github.com/mikayla-maki" } ] }, @@ -631,7 +636,7 @@ "description": "Ergonomic and modular web framework built with Tokio, Tower, and Hyper", "language": "Rust", "languageColor": "#dea584", - "stars": "19,341", + "stars": "19,344", "forks": "1,068", "addStars": "108", "contributors": [ @@ -662,14 +667,51 @@ } ] }, + { + "title": "paritytech/polkadot-sdk", + "url": "https://github.com/paritytech/polkadot-sdk", + "description": "The Parity Polkadot Blockchain SDK", + "language": "Rust", + "languageColor": "#dea584", + "stars": "1,917", + "forks": "708", + "addStars": "25", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/25482386?s=40&v=4", + "name": "devops-parity", + "url": "https://github.com/devops-parity" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1860335?s=40&v=4", + "name": "shawntabrizi", + "url": "https://github.com/shawntabrizi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10380170?s=40&v=4", + "name": "ggwpez", + "url": "https://github.com/ggwpez" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/985319?s=40&v=4", + "name": "svyatonik", + "url": "https://github.com/svyatonik" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5718007?s=40&v=4", + "name": "bkchr", + "url": "https://github.com/bkchr" + } + ] + }, { "title": "RustScan/RustScan", "url": "https://github.com/RustScan/RustScan", "description": "🤖 The Modern Port Scanner 🤖", "language": "Rust", "languageColor": "#dea584", - "stars": "14,745", - "forks": "994", + "stars": "14,749", + "forks": "993", "addStars": "78", "contributors": [ { @@ -690,108 +732,71 @@ ] }, { - "title": "spaceandtimelabs/sxt-proof-of-sql", - "url": "https://github.com/spaceandtimelabs/sxt-proof-of-sql", - "description": "Space and Time | Proof of SQL", + "title": "google/comprehensive-rust", + "url": "https://github.com/google/comprehensive-rust", + "description": "This is the Rust course used by the Android team at Google. It provides you the material to quickly teach Rust.", "language": "Rust", "languageColor": "#dea584", - "stars": "2,586", - "forks": "92", - "addStars": "403", + "stars": "27,978", + "forks": "1,673", + "addStars": "57", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/7530828?s=40&v=4", - "name": "JayWhite2357", - "url": "https://github.com/JayWhite2357" + "avatar": "https://avatars.githubusercontent.com/u/89623?s=40&v=4", + "name": "mgeisler", + "url": "https://github.com/mgeisler" }, { - "avatar": "https://avatars.githubusercontent.com/u/14581281?s=40&v=4", - "name": "iajoiner", - "url": "https://github.com/iajoiner" + "avatar": "https://avatars.githubusercontent.com/u/103097?s=40&v=4", + "name": "qwandor", + "url": "https://github.com/qwandor" }, { - "avatar": "https://avatars.githubusercontent.com/u/1923871?s=40&v=4", - "name": "rnburn", - "url": "https://github.com/rnburn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40841027?s=40&v=4", - "name": "Dustin-Ray", - "url": "https://github.com/Dustin-Ray" + "avatar": "https://avatars.githubusercontent.com/u/11511589?s=40&v=4", + "name": "henrif75", + "url": "https://github.com/henrif75" }, { - "avatar": "https://avatars.githubusercontent.com/u/110196418?s=40&v=4", - "name": "tlovell-sxt", - "url": "https://github.com/tlovell-sxt" + "avatar": "https://avatars.githubusercontent.com/u/28673?s=40&v=4", + "name": "djmitche", + "url": "https://github.com/djmitche" } ] }, { - "title": "rpcpool/yellowstone-grpc", - "url": "https://github.com/rpcpool/yellowstone-grpc", - "description": "solana geyser grpc service", + "title": "eythaann/Seelen-UI", + "url": "https://github.com/eythaann/Seelen-UI", + "description": "The Fully Customizable Desktop Environment for Windows 10/11.", "language": "Rust", "languageColor": "#dea584", - "stars": "285", - "forks": "109", - "addStars": "27", + "stars": "3,363", + "forks": "84", + "addStars": "292", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2633065?s=40&v=4", - "name": "fanatid", - "url": "https://github.com/fanatid" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5172293?s=40&v=4", - "name": "linuskendall", - "url": "https://github.com/linuskendall" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11276687?s=40&v=4", - "name": "Juanito87", - "url": "https://github.com/Juanito87" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/160350983?s=40&v=4", - "name": "lvboudre", - "url": "https://github.com/lvboudre" + "avatar": "https://avatars.githubusercontent.com/u/76607907?s=40&v=4", + "name": "eythaann", + "url": "https://github.com/eythaann" }, { - "avatar": "https://avatars.githubusercontent.com/u/541141?s=40&v=4", - "name": "shuimuliang", - "url": "https://github.com/shuimuliang" - } - ] - }, - { - "title": "web-infra-dev/rspack", - "url": "https://github.com/web-infra-dev/rspack", - "description": "The fast Rust-based web bundler with webpack-compatible API 🦀️", - "language": "Rust", - "languageColor": "#dea584", - "stars": "10,108", - "forks": "574", - "addStars": "245", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17974631?s=40&v=4", - "name": "IWANABETHATGUY", - "url": "https://github.com/IWANABETHATGUY" + "avatar": "https://avatars.githubusercontent.com/u/185695608?s=40&v=4", + "name": "luriusTM", + "url": "https://github.com/luriusTM" }, { - "avatar": "https://avatars.githubusercontent.com/u/42857895?s=40&v=4", - "name": "ahabhgk", - "url": "https://github.com/ahabhgk" + "avatar": "https://avatars.githubusercontent.com/u/158539001?s=40&v=4", + "name": "PhantomPixel-0418", + "url": "https://github.com/PhantomPixel-0418" }, { - "avatar": "https://avatars.githubusercontent.com/u/10465670?s=40&v=4", - "name": "h-a-n-a", - "url": "https://github.com/h-a-n-a" + "avatar": "https://avatars.githubusercontent.com/u/132909895?s=40&v=4", + "name": "shibadogcap", + "url": "https://github.com/shibadogcap" }, { - "avatar": "https://avatars.githubusercontent.com/u/8898718?s=40&v=4", - "name": "hardfist", - "url": "https://github.com/hardfist" + "avatar": "https://avatars.githubusercontent.com/u/13931833?s=40&v=4", + "name": "LelouBil", + "url": "https://github.com/LelouBil" } ] } diff --git a/data/weekly/rust.xml b/data/weekly/rust.xml index 47cd1ea03798..a68d28a5da63 100644 --- a/data/weekly/rust.xml +++ b/data/weekly/rust.xml @@ -3,7 +3,7 @@ GitHub Rust Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Rust Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT mediar-ai/screenpipe https://github.com/mediar-ai/screenpipe @@ -11,8 +11,8 @@ https://github.com/mediar-ai/screenpipe Rust #dea584 - 10,088 - 599 + 10,115 + 601 1,096 @@ -37,39 +37,6 @@ - - getzola/zola - https://github.com/getzola/zola - A fast static site generator in a single binary with everything built-in. https://www.getzola.org - https://github.com/getzola/zola - Rust - #dea584 - 14,187 - 970 - 184 - - - https://avatars.githubusercontent.com/u/680355?s=40&v=4 - Keats - https://github.com/Keats - - - https://avatars.githubusercontent.com/u/65916846?s=40&v=4 - actions-user - https://github.com/actions-user - - - https://avatars.githubusercontent.com/u/35405463?s=40&v=4 - codesections - https://github.com/codesections - - - https://avatars.githubusercontent.com/u/2694559?s=40&v=4 - rootkea - https://github.com/rootkea - - - cloudflare/pingora https://github.com/cloudflare/pingora @@ -77,7 +44,7 @@ https://github.com/cloudflare/pingora Rust #dea584 - 22,445 + 22,454 1,239 290 @@ -108,6 +75,44 @@ + + surrealdb/surrealdb + https://github.com/surrealdb/surrealdb + A scalable, distributed, collaborative, document-graph database, for the realtime web + https://github.com/surrealdb/surrealdb + Rust + #dea584 + 27,931 + 915 + 171 + + + https://avatars.githubusercontent.com/u/1588290?s=40&v=4 + tobiemh + https://github.com/tobiemh + + + https://avatars.githubusercontent.com/u/4958190?s=40&v=4 + rushmorem + https://github.com/rushmorem + + + https://avatars.githubusercontent.com/u/1282276?s=40&v=4 + emmanuel-keller + https://github.com/emmanuel-keller + + + https://avatars.githubusercontent.com/u/7524414?s=40&v=4 + DelSkayn + https://github.com/DelSkayn + + + https://avatars.githubusercontent.com/u/17960250?s=40&v=4 + kearfy + https://github.com/kearfy + + + gleam-lang/gleam https://github.com/gleam-lang/gleam @@ -115,7 +120,7 @@ https://github.com/gleam-lang/gleam Rust #dea584 - 18,087 + 18,090 757 93 @@ -146,6 +151,39 @@ + + getzola/zola + https://github.com/getzola/zola + A fast static site generator in a single binary with everything built-in. https://www.getzola.org + https://github.com/getzola/zola + Rust + #dea584 + 14,190 + 970 + 184 + + + https://avatars.githubusercontent.com/u/680355?s=40&v=4 + Keats + https://github.com/Keats + + + https://avatars.githubusercontent.com/u/65916846?s=40&v=4 + actions-user + https://github.com/actions-user + + + https://avatars.githubusercontent.com/u/35405463?s=40&v=4 + codesections + https://github.com/codesections + + + https://avatars.githubusercontent.com/u/2694559?s=40&v=4 + rootkea + https://github.com/rootkea + + + rust-lang/rustlings https://github.com/rust-lang/rustlings @@ -153,7 +191,7 @@ https://github.com/rust-lang/rustlings Rust #dea584 - 54,451 + 54,461 10,189 204 @@ -180,78 +218,63 @@ - uutils/coreutils - https://github.com/uutils/coreutils - Cross-platform Rust rewrite of the GNU coreutils - https://github.com/uutils/coreutils + meilisearch/meilisearch + https://github.com/meilisearch/meilisearch + A lightning-fast search API that fits effortlessly into your apps, websites, and workflow + https://github.com/meilisearch/meilisearch Rust #dea584 - 17,810 - 1,279 - 61 + 47,591 + 1,857 + 142 - https://avatars.githubusercontent.com/u/733326?s=40&v=4 - sylvestre - https://github.com/sylvestre - - - https://avatars.githubusercontent.com/u/26196?s=40&v=4 - cakebaker - https://github.com/cakebaker + https://avatars.githubusercontent.com/u/3610253?s=40&v=4 + Kerollmops + https://github.com/Kerollmops - https://avatars.githubusercontent.com/u/11643477?s=40&v=4 - tertsdiepraam - https://github.com/tertsdiepraam + https://avatars.githubusercontent.com/u/7032172?s=40&v=4 + irevoire + https://github.com/irevoire - https://avatars.githubusercontent.com/u/80132?s=40&v=4 - rivy - https://github.com/rivy + https://avatars.githubusercontent.com/u/28804882?s=40&v=4 + MarinPostma + https://github.com/MarinPostma - https://avatars.githubusercontent.com/u/829813?s=40&v=4 - Arcterus - https://github.com/Arcterus + https://avatars.githubusercontent.com/u/41078892?s=40&v=4 + dureuill + https://github.com/dureuill - paritytech/polkadot-sdk - https://github.com/paritytech/polkadot-sdk - The Parity Polkadot Blockchain SDK - https://github.com/paritytech/polkadot-sdk + sharkdp/hyperfine + https://github.com/sharkdp/hyperfine + A command-line benchmarking tool + https://github.com/sharkdp/hyperfine Rust #dea584 - 1,916 - 707 - 25 + 22,852 + 364 + 599 - https://avatars.githubusercontent.com/u/25482386?s=40&v=4 - devops-parity - https://github.com/devops-parity - - - https://avatars.githubusercontent.com/u/1860335?s=40&v=4 - shawntabrizi - https://github.com/shawntabrizi - - - https://avatars.githubusercontent.com/u/10380170?s=40&v=4 - ggwpez - https://github.com/ggwpez + https://avatars.githubusercontent.com/u/4209276?s=40&v=4 + sharkdp + https://github.com/sharkdp - https://avatars.githubusercontent.com/u/985319?s=40&v=4 - svyatonik - https://github.com/svyatonik + https://avatars.githubusercontent.com/u/36207117?s=40&v=4 + dependabot-bot + https://github.com/dependabot-bot - https://avatars.githubusercontent.com/u/5718007?s=40&v=4 - bkchr - https://github.com/bkchr + https://avatars.githubusercontent.com/u/8147610?s=40&v=4 + stevepentland + https://github.com/stevepentland @@ -262,8 +285,8 @@ https://github.com/solana-labs/solana-program-library Rust #dea584 - 3,592 - 2,095 + 3,595 + 2,096 31 @@ -282,85 +305,47 @@ https://github.com/CriesofCarrots - https://avatars.githubusercontent.com/u/81144685?s=40&v=4 - 2501babe - https://github.com/2501babe + https://avatars.githubusercontent.com/u/16846544?s=40&v=4 + samkim-crypto + https://github.com/samkim-crypto - zed-industries/zed - https://github.com/zed-industries/zed - Code at the speed of thought – Zed is a high-performance, multiplayer code editor from the creators of Atom and Tree-sitter. - https://github.com/zed-industries/zed - Rust - #dea584 - 50,562 - 3,133 - 444 - - - https://avatars.githubusercontent.com/u/482957?s=40&v=4 - as-cii - https://github.com/as-cii - - - https://avatars.githubusercontent.com/u/326587?s=40&v=4 - maxbrunsfeld - https://github.com/maxbrunsfeld - - - https://avatars.githubusercontent.com/u/1789?s=40&v=4 - nathansobo - https://github.com/nathansobo - - - https://avatars.githubusercontent.com/u/1486634?s=40&v=4 - maxdeviant - https://github.com/maxdeviant - - - https://avatars.githubusercontent.com/u/2280405?s=40&v=4 - mikayla-maki - https://github.com/mikayla-maki - - - - - surrealdb/surrealdb - https://github.com/surrealdb/surrealdb - A scalable, distributed, collaborative, document-graph database, for the realtime web - https://github.com/surrealdb/surrealdb + spaceandtimelabs/sxt-proof-of-sql + https://github.com/spaceandtimelabs/sxt-proof-of-sql + Space and Time | Proof of SQL + https://github.com/spaceandtimelabs/sxt-proof-of-sql Rust #dea584 - 27,876 - 912 - 171 + 2,593 + 93 + 403 - https://avatars.githubusercontent.com/u/1588290?s=40&v=4 - tobiemh - https://github.com/tobiemh + https://avatars.githubusercontent.com/u/7530828?s=40&v=4 + JayWhite2357 + https://github.com/JayWhite2357 - https://avatars.githubusercontent.com/u/4958190?s=40&v=4 - rushmorem - https://github.com/rushmorem + https://avatars.githubusercontent.com/u/14581281?s=40&v=4 + iajoiner + https://github.com/iajoiner - https://avatars.githubusercontent.com/u/1282276?s=40&v=4 - emmanuel-keller - https://github.com/emmanuel-keller + https://avatars.githubusercontent.com/u/1923871?s=40&v=4 + rnburn + https://github.com/rnburn - https://avatars.githubusercontent.com/u/7524414?s=40&v=4 - DelSkayn - https://github.com/DelSkayn + https://avatars.githubusercontent.com/u/40841027?s=40&v=4 + Dustin-Ray + https://github.com/Dustin-Ray - https://avatars.githubusercontent.com/u/17960250?s=40&v=4 - kearfy - https://github.com/kearfy + https://avatars.githubusercontent.com/u/110196418?s=40&v=4 + tlovell-sxt + https://github.com/tlovell-sxt @@ -371,8 +356,8 @@ https://github.com/coral-xyz/anchor Rust #dea584 - 3,721 - 1,362 + 3,723 + 1,364 31 @@ -403,101 +388,40 @@ - sharkdp/hyperfine - https://github.com/sharkdp/hyperfine - A command-line benchmarking tool - https://github.com/sharkdp/hyperfine - Rust - #dea584 - 22,849 - 364 - 599 - - - https://avatars.githubusercontent.com/u/4209276?s=40&v=4 - sharkdp - https://github.com/sharkdp - - - https://avatars.githubusercontent.com/u/36207117?s=40&v=4 - dependabot-bot - https://github.com/dependabot-bot - - - https://avatars.githubusercontent.com/u/8147610?s=40&v=4 - stevepentland - https://github.com/stevepentland - - - - - meilisearch/meilisearch - https://github.com/meilisearch/meilisearch - A lightning-fast search API that fits effortlessly into your apps, websites, and workflow - https://github.com/meilisearch/meilisearch - Rust - #dea584 - 47,583 - 1,857 - 142 - - - https://avatars.githubusercontent.com/u/3610253?s=40&v=4 - Kerollmops - https://github.com/Kerollmops - - - https://avatars.githubusercontent.com/u/7032172?s=40&v=4 - irevoire - https://github.com/irevoire - - - https://avatars.githubusercontent.com/u/28804882?s=40&v=4 - MarinPostma - https://github.com/MarinPostma - - - https://avatars.githubusercontent.com/u/41078892?s=40&v=4 - dureuill - https://github.com/dureuill - - - - - zellij-org/zellij - https://github.com/zellij-org/zellij - A terminal workspace with batteries included - https://github.com/zellij-org/zellij + rust-unofficial/awesome-rust + https://github.com/rust-unofficial/awesome-rust + A curated list of Rust code and resources. + https://github.com/rust-unofficial/awesome-rust Rust #dea584 - 21,976 - 668 - 206 + 47,153 + 2,773 + 156 - https://avatars.githubusercontent.com/u/795598?s=40&v=4 - imsnif - https://github.com/imsnif + https://avatars.githubusercontent.com/u/38532?s=40&v=4 + palfrey + https://github.com/palfrey - https://avatars.githubusercontent.com/u/65275785?s=40&v=4 - a-kenji - https://github.com/a-kenji + https://avatars.githubusercontent.com/u/8401799?s=40&v=4 + luciusmagn + https://github.com/luciusmagn - https://avatars.githubusercontent.com/u/6251883?s=40&v=4 - TheLostLambda - https://github.com/TheLostLambda + https://avatars.githubusercontent.com/u/391975?s=40&v=4 + kud1ing + https://github.com/kud1ing - https://avatars.githubusercontent.com/u/44079328?s=40&v=4 - kunalmohan - https://github.com/kunalmohan + https://avatars.githubusercontent.com/u/1146369?s=40&v=4 + iddm + https://github.com/iddm - https://avatars.githubusercontent.com/u/32578710?s=40&v=4 - jaeheonji - https://github.com/jaeheonji + https://avatars.githubusercontent.com/u/37858097?s=40&v=4 + BinChengZhao + https://github.com/BinChengZhao @@ -508,8 +432,8 @@ https://github.com/solana-labs/solana Rust #dea584 - 13,284 - 4,323 + 13,287 + 4,322 81 @@ -534,6 +458,44 @@ + + MystenLabs/sui + https://github.com/MystenLabs/sui + Sui, a next-generation smart contract platform with high throughput, low latency, and an asset-oriented programming model powered by the Move programming language + https://github.com/MystenLabs/sui + Rust + #dea584 + 6,265 + 11,211 + 31 + + + https://avatars.githubusercontent.com/u/1581614?s=40&v=4 + lxfind + https://github.com/lxfind + + + https://avatars.githubusercontent.com/u/6741899?s=40&v=4 + bmwill + https://github.com/bmwill + + + https://avatars.githubusercontent.com/u/332275?s=40&v=4 + amnn + https://github.com/amnn + + + https://avatars.githubusercontent.com/u/103447440?s=40&v=4 + mystenmark + https://github.com/mystenmark + + + https://avatars.githubusercontent.com/u/8418040?s=40&v=4 + longbowlu + https://github.com/longbowlu + + + loco-rs/loco https://github.com/loco-rs/loco @@ -541,7 +503,7 @@ https://github.com/loco-rs/loco Rust #dea584 - 5,506 + 5,516 235 152 @@ -573,73 +535,116 @@ - helix-editor/helix - https://github.com/helix-editor/helix - A post-modern modal text editor. - https://github.com/helix-editor/helix + uutils/coreutils + https://github.com/uutils/coreutils + Cross-platform Rust rewrite of the GNU coreutils + https://github.com/uutils/coreutils Rust #dea584 - 33,967 - 2,510 - 172 + 17,812 + 1,279 + 61 - https://avatars.githubusercontent.com/u/1372918?s=40&v=4 - archseer - https://github.com/archseer + https://avatars.githubusercontent.com/u/733326?s=40&v=4 + sylvestre + https://github.com/sylvestre - https://avatars.githubusercontent.com/u/21230295?s=40&v=4 - the-mikedavis - https://github.com/the-mikedavis + https://avatars.githubusercontent.com/u/26196?s=40&v=4 + cakebaker + https://github.com/cakebaker - https://avatars.githubusercontent.com/u/61850714?s=40&v=4 - pascalkuthe - https://github.com/pascalkuthe + https://avatars.githubusercontent.com/u/11643477?s=40&v=4 + tertsdiepraam + https://github.com/tertsdiepraam - https://avatars.githubusercontent.com/u/23398472?s=40&v=4 - sudormrfbin - https://github.com/sudormrfbin + https://avatars.githubusercontent.com/u/80132?s=40&v=4 + rivy + https://github.com/rivy + + + https://avatars.githubusercontent.com/u/829813?s=40&v=4 + Arcterus + https://github.com/Arcterus - lencx/ChatGPT - https://github.com/lencx/ChatGPT - 🔮 ChatGPT Desktop Application (Mac, Windows and Linux) - https://github.com/lencx/ChatGPT + rpcpool/yellowstone-grpc + https://github.com/rpcpool/yellowstone-grpc + solana geyser grpc service + https://github.com/rpcpool/yellowstone-grpc Rust #dea584 - 53,024 - 5,969 - 103 + 285 + 110 + 27 - https://avatars.githubusercontent.com/u/16164244?s=40&v=4 - lencx - https://github.com/lencx + https://avatars.githubusercontent.com/u/2633065?s=40&v=4 + fanatid + https://github.com/fanatid - https://avatars.githubusercontent.com/u/4404609?s=40&v=4 - tk103331 - https://github.com/tk103331 + https://avatars.githubusercontent.com/u/5172293?s=40&v=4 + linuskendall + https://github.com/linuskendall - https://avatars.githubusercontent.com/u/61578883?s=40&v=4 - yixinBC - https://github.com/yixinBC + https://avatars.githubusercontent.com/u/11276687?s=40&v=4 + Juanito87 + https://github.com/Juanito87 - https://avatars.githubusercontent.com/u/49938263?s=40&v=4 - asheroto - https://github.com/asheroto + https://avatars.githubusercontent.com/u/160350983?s=40&v=4 + lvboudre + https://github.com/lvboudre - https://avatars.githubusercontent.com/u/6962246?s=40&v=4 - djdarcy - https://github.com/djdarcy + https://avatars.githubusercontent.com/u/541141?s=40&v=4 + shuimuliang + https://github.com/shuimuliang + + + + + zed-industries/zed + https://github.com/zed-industries/zed + Code at the speed of thought – Zed is a high-performance, multiplayer code editor from the creators of Atom and Tree-sitter. + https://github.com/zed-industries/zed + Rust + #dea584 + 50,573 + 3,133 + 444 + + + https://avatars.githubusercontent.com/u/482957?s=40&v=4 + as-cii + https://github.com/as-cii + + + https://avatars.githubusercontent.com/u/326587?s=40&v=4 + maxbrunsfeld + https://github.com/maxbrunsfeld + + + https://avatars.githubusercontent.com/u/1789?s=40&v=4 + nathansobo + https://github.com/nathansobo + + + https://avatars.githubusercontent.com/u/1486634?s=40&v=4 + maxdeviant + https://github.com/maxdeviant + + + https://avatars.githubusercontent.com/u/2280405?s=40&v=4 + mikayla-maki + https://github.com/mikayla-maki @@ -650,7 +655,7 @@ https://github.com/tokio-rs/axum Rust #dea584 - 19,341 + 19,344 1,068 108 @@ -681,6 +686,44 @@ + + paritytech/polkadot-sdk + https://github.com/paritytech/polkadot-sdk + The Parity Polkadot Blockchain SDK + https://github.com/paritytech/polkadot-sdk + Rust + #dea584 + 1,917 + 708 + 25 + + + https://avatars.githubusercontent.com/u/25482386?s=40&v=4 + devops-parity + https://github.com/devops-parity + + + https://avatars.githubusercontent.com/u/1860335?s=40&v=4 + shawntabrizi + https://github.com/shawntabrizi + + + https://avatars.githubusercontent.com/u/10380170?s=40&v=4 + ggwpez + https://github.com/ggwpez + + + https://avatars.githubusercontent.com/u/985319?s=40&v=4 + svyatonik + https://github.com/svyatonik + + + https://avatars.githubusercontent.com/u/5718007?s=40&v=4 + bkchr + https://github.com/bkchr + + + RustScan/RustScan https://github.com/RustScan/RustScan @@ -688,8 +731,8 @@ https://github.com/RustScan/RustScan Rust #dea584 - 14,745 - 994 + 14,749 + 993 78 @@ -710,111 +753,73 @@ - spaceandtimelabs/sxt-proof-of-sql - https://github.com/spaceandtimelabs/sxt-proof-of-sql - Space and Time | Proof of SQL - https://github.com/spaceandtimelabs/sxt-proof-of-sql + google/comprehensive-rust + https://github.com/google/comprehensive-rust + This is the Rust course used by the Android team at Google. It provides you the material to quickly teach Rust. + https://github.com/google/comprehensive-rust Rust #dea584 - 2,586 - 92 - 403 + 27,978 + 1,673 + 57 - https://avatars.githubusercontent.com/u/7530828?s=40&v=4 - JayWhite2357 - https://github.com/JayWhite2357 - - - https://avatars.githubusercontent.com/u/14581281?s=40&v=4 - iajoiner - https://github.com/iajoiner + https://avatars.githubusercontent.com/u/89623?s=40&v=4 + mgeisler + https://github.com/mgeisler - https://avatars.githubusercontent.com/u/1923871?s=40&v=4 - rnburn - https://github.com/rnburn + https://avatars.githubusercontent.com/u/103097?s=40&v=4 + qwandor + https://github.com/qwandor - https://avatars.githubusercontent.com/u/40841027?s=40&v=4 - Dustin-Ray - https://github.com/Dustin-Ray + https://avatars.githubusercontent.com/u/11511589?s=40&v=4 + henrif75 + https://github.com/henrif75 - https://avatars.githubusercontent.com/u/110196418?s=40&v=4 - tlovell-sxt - https://github.com/tlovell-sxt + https://avatars.githubusercontent.com/u/28673?s=40&v=4 + djmitche + https://github.com/djmitche - rpcpool/yellowstone-grpc - https://github.com/rpcpool/yellowstone-grpc - solana geyser grpc service - https://github.com/rpcpool/yellowstone-grpc + eythaann/Seelen-UI + https://github.com/eythaann/Seelen-UI + The Fully Customizable Desktop Environment for Windows 10/11. + https://github.com/eythaann/Seelen-UI Rust #dea584 - 285 - 109 - 27 + 3,363 + 84 + 292 - https://avatars.githubusercontent.com/u/2633065?s=40&v=4 - fanatid - https://github.com/fanatid - - - https://avatars.githubusercontent.com/u/5172293?s=40&v=4 - linuskendall - https://github.com/linuskendall - - - https://avatars.githubusercontent.com/u/11276687?s=40&v=4 - Juanito87 - https://github.com/Juanito87 + https://avatars.githubusercontent.com/u/76607907?s=40&v=4 + eythaann + https://github.com/eythaann - https://avatars.githubusercontent.com/u/160350983?s=40&v=4 - lvboudre - https://github.com/lvboudre - - - https://avatars.githubusercontent.com/u/541141?s=40&v=4 - shuimuliang - https://github.com/shuimuliang - - - - - web-infra-dev/rspack - https://github.com/web-infra-dev/rspack - The fast Rust-based web bundler with webpack-compatible API 🦀️ - https://github.com/web-infra-dev/rspack - Rust - #dea584 - 10,108 - 574 - 245 - - - https://avatars.githubusercontent.com/u/17974631?s=40&v=4 - IWANABETHATGUY - https://github.com/IWANABETHATGUY + https://avatars.githubusercontent.com/u/185695608?s=40&v=4 + luriusTM + https://github.com/luriusTM - https://avatars.githubusercontent.com/u/42857895?s=40&v=4 - ahabhgk - https://github.com/ahabhgk + https://avatars.githubusercontent.com/u/158539001?s=40&v=4 + PhantomPixel-0418 + https://github.com/PhantomPixel-0418 - https://avatars.githubusercontent.com/u/10465670?s=40&v=4 - h-a-n-a - https://github.com/h-a-n-a + https://avatars.githubusercontent.com/u/132909895?s=40&v=4 + shibadogcap + https://github.com/shibadogcap - https://avatars.githubusercontent.com/u/8898718?s=40&v=4 - hardfist - https://github.com/hardfist + https://avatars.githubusercontent.com/u/13931833?s=40&v=4 + LelouBil + https://github.com/LelouBil diff --git a/data/weekly/sage.json b/data/weekly/sage.json index 5a78ffa442d8..cf387c0e64ef 100644 --- a/data/weekly/sage.json +++ b/data/weekly/sage.json @@ -2,6 +2,6 @@ "title": "GitHub Sage Languages Weekly Trending", "description": "Weekly Trending of Sage Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sage.xml b/data/weekly/sage.xml index 95f9c688a5be..6b8bbfa49c55 100644 --- a/data/weekly/sage.xml +++ b/data/weekly/sage.xml @@ -3,6 +3,6 @@ GitHub Sage Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sage Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/saltstack.json b/data/weekly/saltstack.json index 4a1cf444d994..30f4fa67f737 100644 --- a/data/weekly/saltstack.json +++ b/data/weekly/saltstack.json @@ -2,6 +2,6 @@ "title": "GitHub Saltstack Languages Weekly Trending", "description": "Weekly Trending of Saltstack Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/saltstack.xml b/data/weekly/saltstack.xml index b52f49584e43..62b18f36850e 100644 --- a/data/weekly/saltstack.xml +++ b/data/weekly/saltstack.xml @@ -3,6 +3,6 @@ GitHub Saltstack Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Saltstack Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sas.json b/data/weekly/sas.json index 6385cb4b651b..55459629cd17 100644 --- a/data/weekly/sas.json +++ b/data/weekly/sas.json @@ -2,6 +2,6 @@ "title": "GitHub Sas Languages Weekly Trending", "description": "Weekly Trending of Sas Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sas.xml b/data/weekly/sas.xml index b52f87a99e80..d0ded3ed6ff9 100644 --- a/data/weekly/sas.xml +++ b/data/weekly/sas.xml @@ -3,6 +3,6 @@ GitHub Sas Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sas Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sass.json b/data/weekly/sass.json index 06dc93c51e7e..c0b29547e481 100644 --- a/data/weekly/sass.json +++ b/data/weekly/sass.json @@ -2,42 +2,32 @@ "title": "GitHub Sass Languages Weekly Trending", "description": "Weekly Trending of Sass Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { - "title": "readthedocs/sphinx_rtd_theme", - "url": "https://github.com/readthedocs/sphinx_rtd_theme", - "description": "Sphinx theme from Read the Docs", + "title": "pradyunsg/furo", + "url": "https://github.com/pradyunsg/furo", + "description": "A clean customizable documentation theme for Sphinx", "language": "Sass", "languageColor": "#a53b70", - "stars": "4,805", - "forks": "1,740", - "addStars": "5", + "stars": "2,806", + "forks": "322", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1140183?s=40&v=4", - "name": "agjohnson", - "url": "https://github.com/agjohnson" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15183467?s=40&v=4", - "name": "Blendify", - "url": "https://github.com/Blendify" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25510?s=40&v=4", - "name": "ericholscher", - "url": "https://github.com/ericholscher" + "avatar": "https://avatars.githubusercontent.com/u/3275593?s=40&v=4", + "name": "pradyunsg", + "url": "https://github.com/pradyunsg" }, { - "avatar": "https://avatars.githubusercontent.com/u/324519?s=40&v=4", - "name": "snide", - "url": "https://github.com/snide" + "avatar": "https://avatars.githubusercontent.com/u/9087854?s=40&v=4", + "name": "AA-Turner", + "url": "https://github.com/AA-Turner" }, { - "avatar": "https://avatars.githubusercontent.com/u/374612?s=40&v=4", - "name": "benjaoming", - "url": "https://github.com/benjaoming" + "avatar": "https://avatars.githubusercontent.com/u/1834509?s=40&v=4", + "name": "jdknight", + "url": "https://github.com/jdknight" } ] }, @@ -79,29 +69,39 @@ ] }, { - "title": "pradyunsg/furo", - "url": "https://github.com/pradyunsg/furo", - "description": "A clean customizable documentation theme for Sphinx", + "title": "readthedocs/sphinx_rtd_theme", + "url": "https://github.com/readthedocs/sphinx_rtd_theme", + "description": "Sphinx theme from Read the Docs", "language": "Sass", "languageColor": "#a53b70", - "stars": "2,805", - "forks": "322", - "addStars": "12", + "stars": "4,806", + "forks": "1,740", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/3275593?s=40&v=4", - "name": "pradyunsg", - "url": "https://github.com/pradyunsg" + "avatar": "https://avatars.githubusercontent.com/u/1140183?s=40&v=4", + "name": "agjohnson", + "url": "https://github.com/agjohnson" }, { - "avatar": "https://avatars.githubusercontent.com/u/9087854?s=40&v=4", - "name": "AA-Turner", - "url": "https://github.com/AA-Turner" + "avatar": "https://avatars.githubusercontent.com/u/15183467?s=40&v=4", + "name": "Blendify", + "url": "https://github.com/Blendify" }, { - "avatar": "https://avatars.githubusercontent.com/u/1834509?s=40&v=4", - "name": "jdknight", - "url": "https://github.com/jdknight" + "avatar": "https://avatars.githubusercontent.com/u/25510?s=40&v=4", + "name": "ericholscher", + "url": "https://github.com/ericholscher" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/324519?s=40&v=4", + "name": "snide", + "url": "https://github.com/snide" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/374612?s=40&v=4", + "name": "benjaoming", + "url": "https://github.com/benjaoming" } ] } diff --git a/data/weekly/sass.xml b/data/weekly/sass.xml index 0c803d4f97db..df9bab617746 100644 --- a/data/weekly/sass.xml +++ b/data/weekly/sass.xml @@ -3,42 +3,32 @@ GitHub Sass Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sass Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT - readthedocs/sphinx_rtd_theme - https://github.com/readthedocs/sphinx_rtd_theme - Sphinx theme from Read the Docs - https://github.com/readthedocs/sphinx_rtd_theme + pradyunsg/furo + https://github.com/pradyunsg/furo + A clean customizable documentation theme for Sphinx + https://github.com/pradyunsg/furo Sass #a53b70 - 4,805 - 1,740 - 5 + 2,806 + 322 + 12 - https://avatars.githubusercontent.com/u/1140183?s=40&v=4 - agjohnson - https://github.com/agjohnson - - - https://avatars.githubusercontent.com/u/15183467?s=40&v=4 - Blendify - https://github.com/Blendify - - - https://avatars.githubusercontent.com/u/25510?s=40&v=4 - ericholscher - https://github.com/ericholscher + https://avatars.githubusercontent.com/u/3275593?s=40&v=4 + pradyunsg + https://github.com/pradyunsg - https://avatars.githubusercontent.com/u/324519?s=40&v=4 - snide - https://github.com/snide + https://avatars.githubusercontent.com/u/9087854?s=40&v=4 + AA-Turner + https://github.com/AA-Turner - https://avatars.githubusercontent.com/u/374612?s=40&v=4 - benjaoming - https://github.com/benjaoming + https://avatars.githubusercontent.com/u/1834509?s=40&v=4 + jdknight + https://github.com/jdknight @@ -81,30 +71,40 @@ - pradyunsg/furo - https://github.com/pradyunsg/furo - A clean customizable documentation theme for Sphinx - https://github.com/pradyunsg/furo + readthedocs/sphinx_rtd_theme + https://github.com/readthedocs/sphinx_rtd_theme + Sphinx theme from Read the Docs + https://github.com/readthedocs/sphinx_rtd_theme Sass #a53b70 - 2,805 - 322 - 12 + 4,806 + 1,740 + 5 - https://avatars.githubusercontent.com/u/3275593?s=40&v=4 - pradyunsg - https://github.com/pradyunsg + https://avatars.githubusercontent.com/u/1140183?s=40&v=4 + agjohnson + https://github.com/agjohnson - https://avatars.githubusercontent.com/u/9087854?s=40&v=4 - AA-Turner - https://github.com/AA-Turner + https://avatars.githubusercontent.com/u/15183467?s=40&v=4 + Blendify + https://github.com/Blendify - https://avatars.githubusercontent.com/u/1834509?s=40&v=4 - jdknight - https://github.com/jdknight + https://avatars.githubusercontent.com/u/25510?s=40&v=4 + ericholscher + https://github.com/ericholscher + + + https://avatars.githubusercontent.com/u/324519?s=40&v=4 + snide + https://github.com/snide + + + https://avatars.githubusercontent.com/u/374612?s=40&v=4 + benjaoming + https://github.com/benjaoming diff --git a/data/weekly/scala.json b/data/weekly/scala.json index f2eb6357aba6..8883e77e6a1b 100644 --- a/data/weekly/scala.json +++ b/data/weekly/scala.json @@ -2,7 +2,7 @@ "title": "GitHub Scala Languages Weekly Trending", "description": "Weekly Trending of Scala Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "twitter/the-algorithm", @@ -10,7 +10,7 @@ "description": "Source code for Twitter's Recommendation Algorithm", "language": "Scala", "languageColor": "#c22d40", - "stars": "62,459", + "stars": "62,462", "forks": "12,159", "addStars": "75", "contributors": [ @@ -37,7 +37,7 @@ "description": "♞ lichess.org: the forever free, adless and open source chess server ♞", "language": "Scala", "languageColor": "#c22d40", - "stars": "15,722", + "stars": "15,723", "forks": "2,283", "addStars": "56", "contributors": [ @@ -69,29 +69,39 @@ ] }, { - "title": "chipsalliance/chisel", - "url": "https://github.com/chipsalliance/chisel", - "description": "Chisel: A Modern Hardware Design Language", + "title": "apache/incubator-gluten", + "url": "https://github.com/apache/incubator-gluten", + "description": "Gluten is a middle layer responsible for offloading JVM-based SQL engines' execution to native engines.", "language": "Scala", "languageColor": "#c22d40", - "stars": "4,007", - "forks": "602", - "addStars": "12", + "stars": "1,216", + "forks": "438", + "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8584418?s=40&v=4", - "name": "jackkoenig", - "url": "https://github.com/jackkoenig" + "avatar": "https://avatars.githubusercontent.com/u/11284395?s=40&v=4", + "name": "zhztheplayer", + "url": "https://github.com/zhztheplayer" }, { - "avatar": "https://avatars.githubusercontent.com/u/1018530?s=40&v=4", - "name": "seldridge", - "url": "https://github.com/seldridge" + "avatar": "https://avatars.githubusercontent.com/u/13806761?s=40&v=4", + "name": "PHILO-HE", + "url": "https://github.com/PHILO-HE" }, { - "avatar": "https://avatars.githubusercontent.com/u/6845888?s=40&v=4", - "name": "ucbjrl", - "url": "https://github.com/ucbjrl" + "avatar": "https://avatars.githubusercontent.com/u/52736607?s=40&v=4", + "name": "marin-ma", + "url": "https://github.com/marin-ma" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/41687378?s=40&v=4", + "name": "rui-mo", + "url": "https://github.com/rui-mo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/137994563?s=40&v=4", + "name": "GlutenPerfBot", + "url": "https://github.com/GlutenPerfBot" } ] }, @@ -101,7 +111,7 @@ "description": "An open-source storage framework that enables building a Lakehouse architecture with compute engines including Spark, PrestoDB, Flink, Trino, and Hive and APIs", "language": "Scala", "languageColor": "#c22d40", - "stars": "7,627", + "stars": "7,629", "forks": "1,712", "addStars": "27", "contributors": [ @@ -132,51 +142,14 @@ } ] }, - { - "title": "typelevel/cats", - "url": "https://github.com/typelevel/cats", - "description": "Lightweight, modular, and extensible library for functional programming.", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "5,259", - "forks": "1,210", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/977929?s=40&v=4", - "name": "ceedubs", - "url": "https://github.com/ceedubs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3119428?s=40&v=4", - "name": "armanbilge", - "url": "https://github.com/armanbilge" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/218045?s=40&v=4", - "name": "non", - "url": "https://github.com/non" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/83257?s=40&v=4", - "name": "kailuowang", - "url": "https://github.com/kailuowang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/316049?s=40&v=4", - "name": "travisbrown", - "url": "https://github.com/travisbrown" - } - ] - }, { "title": "apache/spark", "url": "https://github.com/apache/spark", "description": "Apache Spark - A unified analytics engine for large-scale data processing", "language": "Scala", "languageColor": "#c22d40", - "stars": "40,022", - "forks": "28,342", + "stars": "40,023", + "forks": "28,341", "addStars": "99", "contributors": [ { @@ -207,76 +180,103 @@ ] }, { - "title": "joernio/joern", - "url": "https://github.com/joernio/joern", - "description": "Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc", + "title": "typelevel/cats", + "url": "https://github.com/typelevel/cats", + "description": "Lightweight, modular, and extensible library for functional programming.", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,118", - "forks": "291", - "addStars": "16", + "stars": "5,260", + "forks": "1,210", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1379115?s=40&v=4", - "name": "fabsx00", - "url": "https://github.com/fabsx00" + "avatar": "https://avatars.githubusercontent.com/u/977929?s=40&v=4", + "name": "ceedubs", + "url": "https://github.com/ceedubs" }, { - "avatar": "https://avatars.githubusercontent.com/u/1417198?s=40&v=4", - "name": "max-leuthaeuser", - "url": "https://github.com/max-leuthaeuser" + "avatar": "https://avatars.githubusercontent.com/u/3119428?s=40&v=4", + "name": "armanbilge", + "url": "https://github.com/armanbilge" }, { - "avatar": "https://avatars.githubusercontent.com/u/28294550?s=40&v=4", - "name": "DavidBakerEffendi", - "url": "https://github.com/DavidBakerEffendi" + "avatar": "https://avatars.githubusercontent.com/u/218045?s=40&v=4", + "name": "non", + "url": "https://github.com/non" }, { - "avatar": "https://avatars.githubusercontent.com/u/497951?s=40&v=4", - "name": "ursachec", - "url": "https://github.com/ursachec" + "avatar": "https://avatars.githubusercontent.com/u/83257?s=40&v=4", + "name": "kailuowang", + "url": "https://github.com/kailuowang" }, { - "avatar": "https://avatars.githubusercontent.com/u/506752?s=40&v=4", - "name": "mpollmeier", - "url": "https://github.com/mpollmeier" + "avatar": "https://avatars.githubusercontent.com/u/316049?s=40&v=4", + "name": "travisbrown", + "url": "https://github.com/travisbrown" } ] }, { - "title": "databricks/Spark-The-Definitive-Guide", - "url": "https://github.com/databricks/Spark-The-Definitive-Guide", - "description": "Spark: The Definitive Guide's Code Repository", + "title": "chipsalliance/chisel", + "url": "https://github.com/chipsalliance/chisel", + "description": "Chisel: A Modern Hardware Design Language", "language": "Scala", "languageColor": "#c22d40", - "stars": "2,880", - "forks": "2,774", - "addStars": "5", + "stars": "4,007", + "forks": "602", + "addStars": "12", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1642503?s=40&v=4", - "name": "bllchmbrs", - "url": "https://github.com/bllchmbrs" + "avatar": "https://avatars.githubusercontent.com/u/8584418?s=40&v=4", + "name": "jackkoenig", + "url": "https://github.com/jackkoenig" }, { - "avatar": "https://avatars.githubusercontent.com/u/7601568?s=40&v=4", - "name": "neeleshkumar-mannur", - "url": "https://github.com/neeleshkumar-mannur" + "avatar": "https://avatars.githubusercontent.com/u/1018530?s=40&v=4", + "name": "seldridge", + "url": "https://github.com/seldridge" }, { - "avatar": "https://avatars.githubusercontent.com/u/7613583?s=40&v=4", - "name": "abouklila", - "url": "https://github.com/abouklila" + "avatar": "https://avatars.githubusercontent.com/u/6845888?s=40&v=4", + "name": "ucbjrl", + "url": "https://github.com/ucbjrl" + } + ] + }, + { + "title": "ucb-bar/chiseltest", + "url": "https://github.com/ucb-bar/chiseltest", + "description": "The batteries-included testing and formal verification library for Chisel-based RTL designs.", + "language": "Scala", + "languageColor": "#c22d40", + "stars": "223", + "forks": "75", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2456545?s=40&v=4", + "name": "ekiwi", + "url": "https://github.com/ekiwi" }, { - "avatar": "https://avatars.githubusercontent.com/u/29270236?s=40&v=4", - "name": "hajimurtaza", - "url": "https://github.com/hajimurtaza" + "avatar": "https://avatars.githubusercontent.com/u/1069863?s=40&v=4", + "name": "ducky64", + "url": "https://github.com/ducky64" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/923223?s=40&v=4", + "name": "chick", + "url": "https://github.com/chick" }, { - "avatar": "https://avatars.githubusercontent.com/u/9681292?s=40&v=4", - "name": "evohnave", - "url": "https://github.com/evohnave" + "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", + "name": "scala-steward", + "url": "https://github.com/scala-steward" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8584418?s=40&v=4", + "name": "jackkoenig", + "url": "https://github.com/jackkoenig" } ] }, @@ -318,66 +318,98 @@ ] }, { - "title": "apache/incubator-gluten", - "url": "https://github.com/apache/incubator-gluten", - "description": "Gluten is a middle layer responsible for offloading JVM-based SQL engines' execution to native engines.", + "title": "ucb-bar/chipyard", + "url": "https://github.com/ucb-bar/chipyard", + "description": "An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more", "language": "Scala", "languageColor": "#c22d40", - "stars": "1,216", - "forks": "438", + "stars": "1,659", + "forks": "656", "addStars": "7", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/11284395?s=40&v=4", - "name": "zhztheplayer", - "url": "https://github.com/zhztheplayer" + "avatar": "https://avatars.githubusercontent.com/u/8823803?s=40&v=4", + "name": "abejgonzalez", + "url": "https://github.com/abejgonzalez" }, { - "avatar": "https://avatars.githubusercontent.com/u/13806761?s=40&v=4", - "name": "PHILO-HE", - "url": "https://github.com/PHILO-HE" + "avatar": "https://avatars.githubusercontent.com/u/14086183?s=40&v=4", + "name": "jerryz123", + "url": "https://github.com/jerryz123" }, { - "avatar": "https://avatars.githubusercontent.com/u/52736607?s=40&v=4", - "name": "marin-ma", - "url": "https://github.com/marin-ma" + "avatar": "https://avatars.githubusercontent.com/u/6775168?s=40&v=4", + "name": "davidbiancolin", + "url": "https://github.com/davidbiancolin" }, { - "avatar": "https://avatars.githubusercontent.com/u/41687378?s=40&v=4", - "name": "rui-mo", - "url": "https://github.com/rui-mo" + "avatar": "https://avatars.githubusercontent.com/u/21228757?s=40&v=4", + "name": "alonamid", + "url": "https://github.com/alonamid" }, { - "avatar": "https://avatars.githubusercontent.com/u/137994563?s=40&v=4", - "name": "GlutenPerfBot", - "url": "https://github.com/GlutenPerfBot" + "avatar": "https://avatars.githubusercontent.com/u/433799?s=40&v=4", + "name": "zhemao", + "url": "https://github.com/zhemao" } ] }, { - "title": "ucb-bar/chiseltest", - "url": "https://github.com/ucb-bar/chiseltest", - "description": "The batteries-included testing and formal verification library for Chisel-based RTL designs.", + "title": "joernio/joern", + "url": "https://github.com/joernio/joern", + "description": "Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc", "language": "Scala", "languageColor": "#c22d40", - "stars": "223", - "forks": "75", - "addStars": "1", + "stars": "2,117", + "forks": "291", + "addStars": "16", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2456545?s=40&v=4", - "name": "ekiwi", - "url": "https://github.com/ekiwi" + "avatar": "https://avatars.githubusercontent.com/u/1379115?s=40&v=4", + "name": "fabsx00", + "url": "https://github.com/fabsx00" }, { - "avatar": "https://avatars.githubusercontent.com/u/1069863?s=40&v=4", - "name": "ducky64", - "url": "https://github.com/ducky64" + "avatar": "https://avatars.githubusercontent.com/u/1417198?s=40&v=4", + "name": "max-leuthaeuser", + "url": "https://github.com/max-leuthaeuser" }, { - "avatar": "https://avatars.githubusercontent.com/u/923223?s=40&v=4", - "name": "chick", - "url": "https://github.com/chick" + "avatar": "https://avatars.githubusercontent.com/u/28294550?s=40&v=4", + "name": "DavidBakerEffendi", + "url": "https://github.com/DavidBakerEffendi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/497951?s=40&v=4", + "name": "ursachec", + "url": "https://github.com/ursachec" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/506752?s=40&v=4", + "name": "mpollmeier", + "url": "https://github.com/mpollmeier" + } + ] + }, + { + "title": "zio/zio", + "url": "https://github.com/zio/zio", + "description": "ZIO — A type-safe, composable library for async and concurrent programming in Scala", + "language": "Scala", + "languageColor": "#c22d40", + "stars": "4,106", + "forks": "1,296", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/20825463?s=40&v=4", + "name": "adamgfraser", + "url": "https://github.com/adamgfraser" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/156745?s=40&v=4", + "name": "jdegoes", + "url": "https://github.com/jdegoes" }, { "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", @@ -385,9 +417,9 @@ "url": "https://github.com/scala-steward" }, { - "avatar": "https://avatars.githubusercontent.com/u/8584418?s=40&v=4", - "name": "jackkoenig", - "url": "https://github.com/jackkoenig" + "avatar": "https://avatars.githubusercontent.com/u/235974?s=40&v=4", + "name": "khajavi", + "url": "https://github.com/khajavi" } ] }, @@ -466,39 +498,39 @@ ] }, { - "title": "ucb-bar/chipyard", - "url": "https://github.com/ucb-bar/chipyard", - "description": "An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more", + "title": "com-lihaoyi/mill", + "url": "https://github.com/com-lihaoyi/mill", + "description": "Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible", "language": "Scala", "languageColor": "#c22d40", - "stars": "1,658", - "forks": "656", - "addStars": "7", + "stars": "2,222", + "forks": "358", + "addStars": "11", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8823803?s=40&v=4", - "name": "abejgonzalez", - "url": "https://github.com/abejgonzalez" + "avatar": "https://avatars.githubusercontent.com/u/1321393?s=40&v=4", + "name": "lefou", + "url": "https://github.com/lefou" }, { - "avatar": "https://avatars.githubusercontent.com/u/14086183?s=40&v=4", - "name": "jerryz123", - "url": "https://github.com/jerryz123" + "avatar": "https://avatars.githubusercontent.com/u/934140?s=40&v=4", + "name": "lihaoyi", + "url": "https://github.com/lihaoyi" }, { - "avatar": "https://avatars.githubusercontent.com/u/6775168?s=40&v=4", - "name": "davidbiancolin", - "url": "https://github.com/davidbiancolin" + "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", + "name": "scala-steward", + "url": "https://github.com/scala-steward" }, { - "avatar": "https://avatars.githubusercontent.com/u/21228757?s=40&v=4", - "name": "alonamid", - "url": "https://github.com/alonamid" + "avatar": "https://avatars.githubusercontent.com/u/5793054?s=40&v=4", + "name": "lolgab", + "url": "https://github.com/lolgab" }, { - "avatar": "https://avatars.githubusercontent.com/u/433799?s=40&v=4", - "name": "zhemao", - "url": "https://github.com/zhemao" + "avatar": "https://avatars.githubusercontent.com/u/35768070?s=40&v=4", + "name": "adadima", + "url": "https://github.com/adadima" } ] }, @@ -508,7 +540,7 @@ "description": "A platform to build and run apps that are elastic, agile, and resilient. SDK, libraries, and hosted environments.", "language": "Scala", "languageColor": "#c22d40", - "stars": "13,060", + "stars": "13,063", "forks": "3,592", "addStars": "7", "contributors": [ @@ -539,38 +571,6 @@ } ] }, - { - "title": "zio/zio", - "url": "https://github.com/zio/zio", - "description": "ZIO — A type-safe, composable library for async and concurrent programming in Scala", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "4,106", - "forks": "1,296", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20825463?s=40&v=4", - "name": "adamgfraser", - "url": "https://github.com/adamgfraser" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/156745?s=40&v=4", - "name": "jdegoes", - "url": "https://github.com/jdegoes" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", - "name": "scala-steward", - "url": "https://github.com/scala-steward" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/235974?s=40&v=4", - "name": "khajavi", - "url": "https://github.com/khajavi" - } - ] - }, { "title": "TheHive-Project/TheHive", "url": "https://github.com/TheHive-Project/TheHive", @@ -608,43 +608,6 @@ } ] }, - { - "title": "com-lihaoyi/mill", - "url": "https://github.com/com-lihaoyi/mill", - "description": "Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "2,221", - "forks": "358", - "addStars": "11", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1321393?s=40&v=4", - "name": "lefou", - "url": "https://github.com/lefou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/934140?s=40&v=4", - "name": "lihaoyi", - "url": "https://github.com/lihaoyi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43047562?s=40&v=4", - "name": "scala-steward", - "url": "https://github.com/scala-steward" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5793054?s=40&v=4", - "name": "lolgab", - "url": "https://github.com/lolgab" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35768070?s=40&v=4", - "name": "adadima", - "url": "https://github.com/adadima" - } - ] - }, { "title": "enso-org/enso", "url": "https://github.com/enso-org/enso", @@ -681,43 +644,6 @@ "url": "https://github.com/somebody1234" } ] - }, - { - "title": "chipsalliance/rocket-chip", - "url": "https://github.com/chipsalliance/rocket-chip", - "description": "Rocket Chip Generator", - "language": "Scala", - "languageColor": "#c22d40", - "stars": "3,266", - "forks": "1,131", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1101706?s=40&v=4", - "name": "terpstra", - "url": "https://github.com/terpstra" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1031106?s=40&v=4", - "name": "aswaterman", - "url": "https://github.com/aswaterman" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/461938?s=40&v=4", - "name": "hcook", - "url": "https://github.com/hcook" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/433799?s=40&v=4", - "name": "zhemao", - "url": "https://github.com/zhemao" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/17858596?s=40&v=4", - "name": "mwachs5", - "url": "https://github.com/mwachs5" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/scala.xml b/data/weekly/scala.xml index 1b794568966b..27db80536e7a 100644 --- a/data/weekly/scala.xml +++ b/data/weekly/scala.xml @@ -3,7 +3,7 @@ GitHub Scala Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Scala Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT twitter/the-algorithm https://github.com/twitter/the-algorithm @@ -11,7 +11,7 @@ https://github.com/twitter/the-algorithm Scala #c22d40 - 62,459 + 62,462 12,159 75 @@ -39,7 +39,7 @@ https://github.com/lichess-org/lila Scala #c22d40 - 15,722 + 15,723 2,283 56 @@ -71,30 +71,40 @@ - chipsalliance/chisel - https://github.com/chipsalliance/chisel - Chisel: A Modern Hardware Design Language - https://github.com/chipsalliance/chisel + apache/incubator-gluten + https://github.com/apache/incubator-gluten + Gluten is a middle layer responsible for offloading JVM-based SQL engines' execution to native engines. + https://github.com/apache/incubator-gluten Scala #c22d40 - 4,007 - 602 - 12 + 1,216 + 438 + 7 - https://avatars.githubusercontent.com/u/8584418?s=40&v=4 - jackkoenig - https://github.com/jackkoenig + https://avatars.githubusercontent.com/u/11284395?s=40&v=4 + zhztheplayer + https://github.com/zhztheplayer - https://avatars.githubusercontent.com/u/1018530?s=40&v=4 - seldridge - https://github.com/seldridge + https://avatars.githubusercontent.com/u/13806761?s=40&v=4 + PHILO-HE + https://github.com/PHILO-HE - https://avatars.githubusercontent.com/u/6845888?s=40&v=4 - ucbjrl - https://github.com/ucbjrl + https://avatars.githubusercontent.com/u/52736607?s=40&v=4 + marin-ma + https://github.com/marin-ma + + + https://avatars.githubusercontent.com/u/41687378?s=40&v=4 + rui-mo + https://github.com/rui-mo + + + https://avatars.githubusercontent.com/u/137994563?s=40&v=4 + GlutenPerfBot + https://github.com/GlutenPerfBot @@ -105,7 +115,7 @@ https://github.com/delta-io/delta Scala #c22d40 - 7,627 + 7,629 1,712 27 @@ -136,44 +146,6 @@ - - typelevel/cats - https://github.com/typelevel/cats - Lightweight, modular, and extensible library for functional programming. - https://github.com/typelevel/cats - Scala - #c22d40 - 5,259 - 1,210 - 5 - - - https://avatars.githubusercontent.com/u/977929?s=40&v=4 - ceedubs - https://github.com/ceedubs - - - https://avatars.githubusercontent.com/u/3119428?s=40&v=4 - armanbilge - https://github.com/armanbilge - - - https://avatars.githubusercontent.com/u/218045?s=40&v=4 - non - https://github.com/non - - - https://avatars.githubusercontent.com/u/83257?s=40&v=4 - kailuowang - https://github.com/kailuowang - - - https://avatars.githubusercontent.com/u/316049?s=40&v=4 - travisbrown - https://github.com/travisbrown - - - apache/spark https://github.com/apache/spark @@ -181,8 +153,8 @@ https://github.com/apache/spark Scala #c22d40 - 40,022 - 28,342 + 40,023 + 28,341 99 @@ -213,78 +185,106 @@ - joernio/joern - https://github.com/joernio/joern - Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc - https://github.com/joernio/joern + typelevel/cats + https://github.com/typelevel/cats + Lightweight, modular, and extensible library for functional programming. + https://github.com/typelevel/cats Scala #c22d40 - 2,118 - 291 - 16 + 5,260 + 1,210 + 5 - https://avatars.githubusercontent.com/u/1379115?s=40&v=4 - fabsx00 - https://github.com/fabsx00 + https://avatars.githubusercontent.com/u/977929?s=40&v=4 + ceedubs + https://github.com/ceedubs - https://avatars.githubusercontent.com/u/1417198?s=40&v=4 - max-leuthaeuser - https://github.com/max-leuthaeuser + https://avatars.githubusercontent.com/u/3119428?s=40&v=4 + armanbilge + https://github.com/armanbilge - https://avatars.githubusercontent.com/u/28294550?s=40&v=4 - DavidBakerEffendi - https://github.com/DavidBakerEffendi + https://avatars.githubusercontent.com/u/218045?s=40&v=4 + non + https://github.com/non - https://avatars.githubusercontent.com/u/497951?s=40&v=4 - ursachec - https://github.com/ursachec + https://avatars.githubusercontent.com/u/83257?s=40&v=4 + kailuowang + https://github.com/kailuowang - https://avatars.githubusercontent.com/u/506752?s=40&v=4 - mpollmeier - https://github.com/mpollmeier + https://avatars.githubusercontent.com/u/316049?s=40&v=4 + travisbrown + https://github.com/travisbrown - databricks/Spark-The-Definitive-Guide - https://github.com/databricks/Spark-The-Definitive-Guide - Spark: The Definitive Guide's Code Repository - https://github.com/databricks/Spark-The-Definitive-Guide + chipsalliance/chisel + https://github.com/chipsalliance/chisel + Chisel: A Modern Hardware Design Language + https://github.com/chipsalliance/chisel Scala #c22d40 - 2,880 - 2,774 - 5 + 4,007 + 602 + 12 - https://avatars.githubusercontent.com/u/1642503?s=40&v=4 - bllchmbrs - https://github.com/bllchmbrs + https://avatars.githubusercontent.com/u/8584418?s=40&v=4 + jackkoenig + https://github.com/jackkoenig - https://avatars.githubusercontent.com/u/7601568?s=40&v=4 - neeleshkumar-mannur - https://github.com/neeleshkumar-mannur + https://avatars.githubusercontent.com/u/1018530?s=40&v=4 + seldridge + https://github.com/seldridge - https://avatars.githubusercontent.com/u/7613583?s=40&v=4 - abouklila - https://github.com/abouklila + https://avatars.githubusercontent.com/u/6845888?s=40&v=4 + ucbjrl + https://github.com/ucbjrl + + + + ucb-bar/chiseltest + https://github.com/ucb-bar/chiseltest + The batteries-included testing and formal verification library for Chisel-based RTL designs. + https://github.com/ucb-bar/chiseltest + Scala + #c22d40 + 223 + 75 + 1 + - https://avatars.githubusercontent.com/u/29270236?s=40&v=4 - hajimurtaza - https://github.com/hajimurtaza + https://avatars.githubusercontent.com/u/2456545?s=40&v=4 + ekiwi + https://github.com/ekiwi - https://avatars.githubusercontent.com/u/9681292?s=40&v=4 - evohnave - https://github.com/evohnave + https://avatars.githubusercontent.com/u/1069863?s=40&v=4 + ducky64 + https://github.com/ducky64 + + + https://avatars.githubusercontent.com/u/923223?s=40&v=4 + chick + https://github.com/chick + + + https://avatars.githubusercontent.com/u/43047562?s=40&v=4 + scala-steward + https://github.com/scala-steward + + + https://avatars.githubusercontent.com/u/8584418?s=40&v=4 + jackkoenig + https://github.com/jackkoenig @@ -327,68 +327,101 @@ - apache/incubator-gluten - https://github.com/apache/incubator-gluten - Gluten is a middle layer responsible for offloading JVM-based SQL engines' execution to native engines. - https://github.com/apache/incubator-gluten + ucb-bar/chipyard + https://github.com/ucb-bar/chipyard + An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more + https://github.com/ucb-bar/chipyard Scala #c22d40 - 1,216 - 438 + 1,659 + 656 7 - https://avatars.githubusercontent.com/u/11284395?s=40&v=4 - zhztheplayer - https://github.com/zhztheplayer + https://avatars.githubusercontent.com/u/8823803?s=40&v=4 + abejgonzalez + https://github.com/abejgonzalez - https://avatars.githubusercontent.com/u/13806761?s=40&v=4 - PHILO-HE - https://github.com/PHILO-HE + https://avatars.githubusercontent.com/u/14086183?s=40&v=4 + jerryz123 + https://github.com/jerryz123 - https://avatars.githubusercontent.com/u/52736607?s=40&v=4 - marin-ma - https://github.com/marin-ma + https://avatars.githubusercontent.com/u/6775168?s=40&v=4 + davidbiancolin + https://github.com/davidbiancolin - https://avatars.githubusercontent.com/u/41687378?s=40&v=4 - rui-mo - https://github.com/rui-mo + https://avatars.githubusercontent.com/u/21228757?s=40&v=4 + alonamid + https://github.com/alonamid - https://avatars.githubusercontent.com/u/137994563?s=40&v=4 - GlutenPerfBot - https://github.com/GlutenPerfBot + https://avatars.githubusercontent.com/u/433799?s=40&v=4 + zhemao + https://github.com/zhemao - ucb-bar/chiseltest - https://github.com/ucb-bar/chiseltest - The batteries-included testing and formal verification library for Chisel-based RTL designs. - https://github.com/ucb-bar/chiseltest + joernio/joern + https://github.com/joernio/joern + Open-source code analysis platform for C/C++/Java/Binary/Javascript/Python/Kotlin based on code property graphs. Discord https://discord.gg/vv4MH284Hc + https://github.com/joernio/joern Scala #c22d40 - 223 - 75 - 1 + 2,117 + 291 + 16 - https://avatars.githubusercontent.com/u/2456545?s=40&v=4 - ekiwi - https://github.com/ekiwi + https://avatars.githubusercontent.com/u/1379115?s=40&v=4 + fabsx00 + https://github.com/fabsx00 - https://avatars.githubusercontent.com/u/1069863?s=40&v=4 - ducky64 - https://github.com/ducky64 + https://avatars.githubusercontent.com/u/1417198?s=40&v=4 + max-leuthaeuser + https://github.com/max-leuthaeuser - https://avatars.githubusercontent.com/u/923223?s=40&v=4 - chick - https://github.com/chick + https://avatars.githubusercontent.com/u/28294550?s=40&v=4 + DavidBakerEffendi + https://github.com/DavidBakerEffendi + + + https://avatars.githubusercontent.com/u/497951?s=40&v=4 + ursachec + https://github.com/ursachec + + + https://avatars.githubusercontent.com/u/506752?s=40&v=4 + mpollmeier + https://github.com/mpollmeier + + + + + zio/zio + https://github.com/zio/zio + ZIO — A type-safe, composable library for async and concurrent programming in Scala + https://github.com/zio/zio + Scala + #c22d40 + 4,106 + 1,296 + 7 + + + https://avatars.githubusercontent.com/u/20825463?s=40&v=4 + adamgfraser + https://github.com/adamgfraser + + + https://avatars.githubusercontent.com/u/156745?s=40&v=4 + jdegoes + https://github.com/jdegoes https://avatars.githubusercontent.com/u/43047562?s=40&v=4 @@ -396,9 +429,9 @@ https://github.com/scala-steward - https://avatars.githubusercontent.com/u/8584418?s=40&v=4 - jackkoenig - https://github.com/jackkoenig + https://avatars.githubusercontent.com/u/235974?s=40&v=4 + khajavi + https://github.com/khajavi @@ -479,40 +512,40 @@ - ucb-bar/chipyard - https://github.com/ucb-bar/chipyard - An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more - https://github.com/ucb-bar/chipyard + com-lihaoyi/mill + https://github.com/com-lihaoyi/mill + Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible + https://github.com/com-lihaoyi/mill Scala #c22d40 - 1,658 - 656 - 7 + 2,222 + 358 + 11 - https://avatars.githubusercontent.com/u/8823803?s=40&v=4 - abejgonzalez - https://github.com/abejgonzalez + https://avatars.githubusercontent.com/u/1321393?s=40&v=4 + lefou + https://github.com/lefou - https://avatars.githubusercontent.com/u/14086183?s=40&v=4 - jerryz123 - https://github.com/jerryz123 + https://avatars.githubusercontent.com/u/934140?s=40&v=4 + lihaoyi + https://github.com/lihaoyi - https://avatars.githubusercontent.com/u/6775168?s=40&v=4 - davidbiancolin - https://github.com/davidbiancolin + https://avatars.githubusercontent.com/u/43047562?s=40&v=4 + scala-steward + https://github.com/scala-steward - https://avatars.githubusercontent.com/u/21228757?s=40&v=4 - alonamid - https://github.com/alonamid + https://avatars.githubusercontent.com/u/5793054?s=40&v=4 + lolgab + https://github.com/lolgab - https://avatars.githubusercontent.com/u/433799?s=40&v=4 - zhemao - https://github.com/zhemao + https://avatars.githubusercontent.com/u/35768070?s=40&v=4 + adadima + https://github.com/adadima @@ -523,7 +556,7 @@ https://github.com/akka/akka Scala #c22d40 - 13,060 + 13,063 3,592 7 @@ -554,39 +587,6 @@ - - zio/zio - https://github.com/zio/zio - ZIO — A type-safe, composable library for async and concurrent programming in Scala - https://github.com/zio/zio - Scala - #c22d40 - 4,106 - 1,296 - 7 - - - https://avatars.githubusercontent.com/u/20825463?s=40&v=4 - adamgfraser - https://github.com/adamgfraser - - - https://avatars.githubusercontent.com/u/156745?s=40&v=4 - jdegoes - https://github.com/jdegoes - - - https://avatars.githubusercontent.com/u/43047562?s=40&v=4 - scala-steward - https://github.com/scala-steward - - - https://avatars.githubusercontent.com/u/235974?s=40&v=4 - khajavi - https://github.com/khajavi - - - TheHive-Project/TheHive https://github.com/TheHive-Project/TheHive @@ -625,44 +625,6 @@ - - com-lihaoyi/mill - https://github.com/com-lihaoyi/mill - Mill is a fast JVM build tool that supports Java and Scala. 2-4x faster than Gradle and 4-10x faster than Maven for common workflows, Mill aims to make your project’s build process performant, maintainable, and flexible - https://github.com/com-lihaoyi/mill - Scala - #c22d40 - 2,221 - 358 - 11 - - - https://avatars.githubusercontent.com/u/1321393?s=40&v=4 - lefou - https://github.com/lefou - - - https://avatars.githubusercontent.com/u/934140?s=40&v=4 - lihaoyi - https://github.com/lihaoyi - - - https://avatars.githubusercontent.com/u/43047562?s=40&v=4 - scala-steward - https://github.com/scala-steward - - - https://avatars.githubusercontent.com/u/5793054?s=40&v=4 - lolgab - https://github.com/lolgab - - - https://avatars.githubusercontent.com/u/35768070?s=40&v=4 - adadima - https://github.com/adadima - - - enso-org/enso https://github.com/enso-org/enso @@ -701,43 +663,5 @@ - - chipsalliance/rocket-chip - https://github.com/chipsalliance/rocket-chip - Rocket Chip Generator - https://github.com/chipsalliance/rocket-chip - Scala - #c22d40 - 3,266 - 1,131 - 9 - - - https://avatars.githubusercontent.com/u/1101706?s=40&v=4 - terpstra - https://github.com/terpstra - - - https://avatars.githubusercontent.com/u/1031106?s=40&v=4 - aswaterman - https://github.com/aswaterman - - - https://avatars.githubusercontent.com/u/461938?s=40&v=4 - hcook - https://github.com/hcook - - - https://avatars.githubusercontent.com/u/433799?s=40&v=4 - zhemao - https://github.com/zhemao - - - https://avatars.githubusercontent.com/u/17858596?s=40&v=4 - mwachs5 - https://github.com/mwachs5 - - - \ No newline at end of file diff --git a/data/weekly/scaml.json b/data/weekly/scaml.json index 0257801ccbc8..2fc3edb8d5f6 100644 --- a/data/weekly/scaml.json +++ b/data/weekly/scaml.json @@ -2,6 +2,6 @@ "title": "GitHub Scaml Languages Weekly Trending", "description": "Weekly Trending of Scaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/scaml.xml b/data/weekly/scaml.xml index c3007160c41d..7a3aa9c9b1db 100644 --- a/data/weekly/scaml.xml +++ b/data/weekly/scaml.xml @@ -3,6 +3,6 @@ GitHub Scaml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Scaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/scenic.json b/data/weekly/scenic.json index 18e0424ba260..7e2be59432cd 100644 --- a/data/weekly/scenic.json +++ b/data/weekly/scenic.json @@ -2,6 +2,6 @@ "title": "GitHub Scenic Languages Weekly Trending", "description": "Weekly Trending of Scenic Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/scenic.xml b/data/weekly/scenic.xml index e9019941b767..eb2c0a0d341d 100644 --- a/data/weekly/scenic.xml +++ b/data/weekly/scenic.xml @@ -3,6 +3,6 @@ GitHub Scenic Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Scenic Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/scheme.json b/data/weekly/scheme.json index 567b32850dc8..e154bf5864b6 100644 --- a/data/weekly/scheme.json +++ b/data/weekly/scheme.json @@ -2,7 +2,7 @@ "title": "GitHub Scheme Languages Weekly Trending", "description": "Weekly Trending of Scheme Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nvim-treesitter/nvim-treesitter", @@ -10,7 +10,7 @@ "description": "Nvim Treesitter configurations and abstraction layer", "language": "Scheme", "languageColor": "#1e4aec", - "stars": "10,881", + "stars": "10,883", "forks": "907", "addStars": "42", "contributors": [ @@ -109,6 +109,43 @@ "url": "https://github.com/AckslD" } ] + }, + { + "title": "RRethy/nvim-treesitter-textsubjects", + "url": "https://github.com/RRethy/nvim-treesitter-textsubjects", + "description": "Location and syntax aware text objects which *do what you mean*", + "language": "Scheme", + "languageColor": "#1e4aec", + "stars": "521", + "forks": "20", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/149870?s=40&v=4", + "name": "stephenprater", + "url": "https://github.com/stephenprater" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38843394?s=40&v=4", + "name": "timoleistner", + "url": "https://github.com/timoleistner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/866010?s=40&v=4", + "name": "rktjmp", + "url": "https://github.com/rktjmp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6652840?s=40&v=4", + "name": "lf-", + "url": "https://github.com/lf-" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8050659?s=40&v=4", + "name": "MunifTanjim", + "url": "https://github.com/MunifTanjim" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/scheme.xml b/data/weekly/scheme.xml index 8f6cc3ba54f0..b0a1ed3e5634 100644 --- a/data/weekly/scheme.xml +++ b/data/weekly/scheme.xml @@ -3,7 +3,7 @@ GitHub Scheme Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Scheme Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nvim-treesitter/nvim-treesitter https://github.com/nvim-treesitter/nvim-treesitter @@ -11,7 +11,7 @@ https://github.com/nvim-treesitter/nvim-treesitter Scheme #1e4aec - 10,881 + 10,883 907 42 @@ -113,5 +113,43 @@ + + RRethy/nvim-treesitter-textsubjects + https://github.com/RRethy/nvim-treesitter-textsubjects + Location and syntax aware text objects which *do what you mean* + https://github.com/RRethy/nvim-treesitter-textsubjects + Scheme + #1e4aec + 521 + 20 + 7 + + + https://avatars.githubusercontent.com/u/149870?s=40&v=4 + stephenprater + https://github.com/stephenprater + + + https://avatars.githubusercontent.com/u/38843394?s=40&v=4 + timoleistner + https://github.com/timoleistner + + + https://avatars.githubusercontent.com/u/866010?s=40&v=4 + rktjmp + https://github.com/rktjmp + + + https://avatars.githubusercontent.com/u/6652840?s=40&v=4 + lf- + https://github.com/lf- + + + https://avatars.githubusercontent.com/u/8050659?s=40&v=4 + MunifTanjim + https://github.com/MunifTanjim + + + \ No newline at end of file diff --git a/data/weekly/scilab.json b/data/weekly/scilab.json index ffdee8602d27..cee1e506775c 100644 --- a/data/weekly/scilab.json +++ b/data/weekly/scilab.json @@ -2,6 +2,6 @@ "title": "GitHub Scilab Languages Weekly Trending", "description": "Weekly Trending of Scilab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/scilab.xml b/data/weekly/scilab.xml index 9e2b12a63716..3dabdc3dff72 100644 --- a/data/weekly/scilab.xml +++ b/data/weekly/scilab.xml @@ -3,6 +3,6 @@ GitHub Scilab Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Scilab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/scss.json b/data/weekly/scss.json index ca0578d18dcd..78b32934ebef 100644 --- a/data/weekly/scss.json +++ b/data/weekly/scss.json @@ -2,7 +2,7 @@ "title": "GitHub Scss Languages Weekly Trending", "description": "Weekly Trending of Scss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "keyvanakbary/learning-notes", @@ -10,8 +10,8 @@ "description": "Notes on books I read, talks I watch, articles I study, and papers I love", "language": "SCSS", "languageColor": "#c6538c", - "stars": "5,498", - "forks": "1,186", + "stars": "5,501", + "forks": "1,187", "addStars": "31", "contributors": [ { @@ -37,8 +37,8 @@ "description": "Minima is a one-size-fits-all Jekyll theme for writers.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "3,450", - "forks": "3,638", + "stars": "3,451", + "forks": "3,639", "addStars": "5", "contributors": [ { @@ -68,43 +68,6 @@ } ] }, - { - "title": "pages-themes/minimal", - "url": "https://github.com/pages-themes/minimal", - "description": "Minimal is a Jekyll theme for GitHub Pages", - "language": "SCSS", - "languageColor": "#c6538c", - "stars": "1,598", - "forks": "6,035", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", - "name": "benbalter", - "url": "https://github.com/benbalter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", - "name": "parkr", - "url": "https://github.com/parkr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", - "name": "tsusdere", - "url": "https://github.com/tsusdere" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/615115?s=40&v=4", - "name": "Jmuccigr", - "url": "https://github.com/Jmuccigr" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3324775?s=40&v=4", - "name": "EricFromCanada", - "url": "https://github.com/EricFromCanada" - } - ] - }, { "title": "cobiwave/simplefolio", "url": "https://github.com/cobiwave/simplefolio", @@ -112,7 +75,7 @@ "language": "SCSS", "languageColor": "#c6538c", "stars": "13,476", - "forks": "5,752", + "forks": "5,751", "addStars": "20", "contributors": [ { @@ -142,43 +105,6 @@ } ] }, - { - "title": "piharpi/jekyll-klise", - "url": "https://github.com/piharpi/jekyll-klise", - "description": "🏖️ Klisé is a minimalist Jekyll theme for running a personal site or blog, light & dark mode support. (https://klise.vercel.app)", - "language": "SCSS", - "languageColor": "#c6538c", - "stars": "948", - "forks": "394", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/20749264?s=40&v=4", - "name": "piharpi", - "url": "https://github.com/piharpi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/63054324?s=40&v=4", - "name": "aliifam", - "url": "https://github.com/aliifam" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1573904?s=40&v=4", - "name": "simonaco", - "url": "https://github.com/simonaco" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5411840?s=40&v=4", - "name": "alfredcc", - "url": "https://github.com/alfredcc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/15648068?s=40&v=4", - "name": "mluerig", - "url": "https://github.com/mluerig" - } - ] - }, { "title": "andrewbanchich/forty-jekyll-theme", "url": "https://github.com/andrewbanchich/forty-jekyll-theme", @@ -217,98 +143,108 @@ ] }, { - "title": "pages-themes/hacker", - "url": "https://github.com/pages-themes/hacker", - "description": "Hacker is a Jekyll theme for GitHub Pages", + "title": "piharpi/jekyll-klise", + "url": "https://github.com/piharpi/jekyll-klise", + "description": "🏖️ Klisé is a minimalist Jekyll theme for running a personal site or blog, light & dark mode support. (https://klise.vercel.app)", "language": "SCSS", "languageColor": "#c6538c", - "stars": "985", - "forks": "1,209", - "addStars": "3", + "stars": "948", + "forks": "394", + "addStars": "9", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", - "name": "benbalter", - "url": "https://github.com/benbalter" + "avatar": "https://avatars.githubusercontent.com/u/20749264?s=40&v=4", + "name": "piharpi", + "url": "https://github.com/piharpi" }, { - "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", - "name": "parkr", - "url": "https://github.com/parkr" + "avatar": "https://avatars.githubusercontent.com/u/63054324?s=40&v=4", + "name": "aliifam", + "url": "https://github.com/aliifam" }, { - "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", - "name": "tsusdere", - "url": "https://github.com/tsusdere" + "avatar": "https://avatars.githubusercontent.com/u/1573904?s=40&v=4", + "name": "simonaco", + "url": "https://github.com/simonaco" }, { - "avatar": "https://avatars.githubusercontent.com/u/166759?s=40&v=4", - "name": "olifre", - "url": "https://github.com/olifre" + "avatar": "https://avatars.githubusercontent.com/u/5411840?s=40&v=4", + "name": "alfredcc", + "url": "https://github.com/alfredcc" }, { - "avatar": "https://avatars.githubusercontent.com/u/203767?s=40&v=4", - "name": "ousia", - "url": "https://github.com/ousia" + "avatar": "https://avatars.githubusercontent.com/u/15648068?s=40&v=4", + "name": "mluerig", + "url": "https://github.com/mluerig" } ] }, { - "title": "LeNPaul/Millennial", - "url": "https://github.com/LeNPaul/Millennial", - "description": "A minimalist Jekyll theme for running a blog or publication powered by Jekyll and GitHub Pages", + "title": "daviddarnes/alembic", + "url": "https://github.com/daviddarnes/alembic", + "description": "⚗️ A Jekyll boilerplate theme designed to be a starting point for any Jekyll website", "language": "SCSS", "languageColor": "#c6538c", - "stars": "439", - "forks": "919", - "addStars": "1", + "stars": "769", + "forks": "904", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/8409329?s=40&v=4", - "name": "LeNPaul", - "url": "https://github.com/LeNPaul" + "avatar": "https://avatars.githubusercontent.com/u/1177460?s=40&v=4", + "name": "daviddarnes", + "url": "https://github.com/daviddarnes" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1059570?s=40&v=4", + "name": "trenki2", + "url": "https://github.com/trenki2" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/580859?s=40&v=4", + "name": "benedfit", + "url": "https://github.com/benedfit" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/846587?s=40&v=4", + "name": "studioromeo", + "url": "https://github.com/studioromeo" }, { - "avatar": "https://avatars.githubusercontent.com/u/1931755?s=40&v=4", - "name": "mschaeffner", - "url": "https://github.com/mschaeffner" + "avatar": "https://avatars.githubusercontent.com/u/1708536?s=40&v=4", + "name": "boynux", + "url": "https://github.com/boynux" } ] }, { - "title": "duckduckgo/duckduckgo-help-pages", - "url": "https://github.com/duckduckgo/duckduckgo-help-pages", - "description": "DuckDuckGo Help Pages", + "title": "catppuccin/discord", + "url": "https://github.com/catppuccin/discord", + "description": "🎮 Soothing pastel theme for Discord", "language": "SCSS", "languageColor": "#c6538c", - "stars": "219", - "forks": "218", - "addStars": "4", + "stars": "816", + "forks": "173", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/873785?s=40&v=4", - "name": "moollaza", - "url": "https://github.com/moollaza" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/903414?s=40&v=4", - "name": "tara-gibbs", - "url": "https://github.com/tara-gibbs" + "avatar": "https://avatars.githubusercontent.com/u/105302757?s=40&v=4", + "name": "rubyowo", + "url": "https://github.com/rubyowo" }, { - "avatar": "https://avatars.githubusercontent.com/u/94173?s=40&v=4", - "name": "tagawa", - "url": "https://github.com/tagawa" + "avatar": "https://avatars.githubusercontent.com/u/53945697?s=40&v=4", + "name": "ToxicAven", + "url": "https://github.com/ToxicAven" }, { - "avatar": "https://avatars.githubusercontent.com/u/20972610?s=40&v=4", - "name": "laghee", - "url": "https://github.com/laghee" + "avatar": "https://avatars.githubusercontent.com/u/79978224?s=40&v=4", + "name": "nekowinston", + "url": "https://github.com/nekowinston" }, { - "avatar": "https://avatars.githubusercontent.com/u/444449?s=40&v=4", - "name": "jbarrett", - "url": "https://github.com/jbarrett" + "avatar": "https://avatars.githubusercontent.com/u/102488279?s=40&v=4", + "name": "AnubisNekhet", + "url": "https://github.com/AnubisNekhet" } ] }, @@ -350,93 +286,187 @@ ] }, { - "title": "daviddarnes/alembic", - "url": "https://github.com/daviddarnes/alembic", - "description": "⚗️ A Jekyll boilerplate theme designed to be a starting point for any Jekyll website", + "title": "pages-themes/minimal", + "url": "https://github.com/pages-themes/minimal", + "description": "Minimal is a Jekyll theme for GitHub Pages", "language": "SCSS", "languageColor": "#c6538c", - "stars": "769", - "forks": "904", - "addStars": "2", + "stars": "1,598", + "forks": "6,036", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1177460?s=40&v=4", - "name": "daviddarnes", - "url": "https://github.com/daviddarnes" + "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", + "name": "benbalter", + "url": "https://github.com/benbalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/1059570?s=40&v=4", - "name": "trenki2", - "url": "https://github.com/trenki2" + "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", + "name": "parkr", + "url": "https://github.com/parkr" }, { - "avatar": "https://avatars.githubusercontent.com/u/580859?s=40&v=4", - "name": "benedfit", - "url": "https://github.com/benedfit" + "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", + "name": "tsusdere", + "url": "https://github.com/tsusdere" }, { - "avatar": "https://avatars.githubusercontent.com/u/846587?s=40&v=4", - "name": "studioromeo", - "url": "https://github.com/studioromeo" + "avatar": "https://avatars.githubusercontent.com/u/615115?s=40&v=4", + "name": "Jmuccigr", + "url": "https://github.com/Jmuccigr" }, { - "avatar": "https://avatars.githubusercontent.com/u/1708536?s=40&v=4", - "name": "boynux", - "url": "https://github.com/boynux" + "avatar": "https://avatars.githubusercontent.com/u/3324775?s=40&v=4", + "name": "EricFromCanada", + "url": "https://github.com/EricFromCanada" + } + ] + }, + { + "title": "kitian616/jekyll-TeXt-theme", + "url": "https://github.com/kitian616/jekyll-TeXt-theme", + "description": "💎 🐳 A super customizable Jekyll theme for personal site, team site, blog, project, documentation, etc.", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "3,171", + "forks": "2,569", + "addStars": "11", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9103558?s=40&v=4", + "name": "kitian616", + "url": "https://github.com/kitian616" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1129155?s=40&v=4", + "name": "tanersenyurt", + "url": "https://github.com/tanersenyurt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5896343?s=40&v=4", + "name": "HenryQW", + "url": "https://github.com/HenryQW" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20252212?s=40&v=4", + "name": "ssosso", + "url": "https://github.com/ssosso" } ] }, { - "title": "okta/okta-developer-docs", - "url": "https://github.com/okta/okta-developer-docs", - "description": "okta-developer-docs", + "title": "mmistakes/so-simple-theme", + "url": "https://github.com/mmistakes/so-simple-theme", + "description": "A simple Jekyll theme for words and pictures.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "141", - "forks": "618", + "stars": "2,049", + "forks": "2,491", "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45509385?s=40&v=4", - "name": "susanharper-okta", - "url": "https://github.com/susanharper-okta" + "avatar": "https://avatars.githubusercontent.com/u/1376749?s=40&v=4", + "name": "mmistakes", + "url": "https://github.com/mmistakes" }, { - "avatar": "https://avatars.githubusercontent.com/u/89146940?s=40&v=4", - "name": "brentschaus-okta", - "url": "https://github.com/brentschaus-okta" + "avatar": "https://avatars.githubusercontent.com/u/192539?s=40&v=4", + "name": "xuv", + "url": "https://github.com/xuv" }, { - "avatar": "https://avatars.githubusercontent.com/u/80703015?s=40&v=4", - "name": "vanngo-okta", - "url": "https://github.com/vanngo-okta" + "avatar": "https://avatars.githubusercontent.com/u/1206159?s=40&v=4", + "name": "alfo", + "url": "https://github.com/alfo" }, { - "avatar": "https://avatars.githubusercontent.com/u/87396614?s=40&v=4", - "name": "chrisdmills-okta", - "url": "https://github.com/chrisdmills-okta" + "avatar": "https://avatars.githubusercontent.com/u/421146?s=40&v=4", + "name": "diegocaro", + "url": "https://github.com/diegocaro" }, { - "avatar": "https://avatars.githubusercontent.com/u/70648001?s=40&v=4", - "name": "brianduffield-okta", - "url": "https://github.com/brianduffield-okta" + "avatar": "https://avatars.githubusercontent.com/u/56115647?s=40&v=4", + "name": "alxddh", + "url": "https://github.com/alxddh" } ] }, { - "title": "pages-themes/cayman", - "url": "https://github.com/pages-themes/cayman", - "description": "Cayman is a Jekyll theme for GitHub Pages", + "title": "rstacruz/cheatsheets", + "url": "https://github.com/rstacruz/cheatsheets", + "description": "Cheatsheets for web development - devhints.io", "language": "SCSS", "languageColor": "#c6538c", - "stars": "1,227", - "forks": "3,192", + "stars": "13,844", + "forks": "3,592", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/74385?s=40&v=4", + "name": "rstacruz", + "url": "https://github.com/rstacruz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/259196?s=40&v=4", + "name": "chadwithuhc", + "url": "https://github.com/chadwithuhc" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40914576?s=40&v=4", + "name": "mhienle", + "url": "https://github.com/mhienle" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1437428?s=40&v=4", + "name": "bezhermoso", + "url": "https://github.com/bezhermoso" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/760204?s=40&v=4", + "name": "forivall", + "url": "https://github.com/forivall" + } + ] + }, + { + "title": "duckduckgo/duckduckgo-help-pages", + "url": "https://github.com/duckduckgo/duckduckgo-help-pages", + "description": "DuckDuckGo Help Pages", + "language": "SCSS", + "languageColor": "#c6538c", + "stars": "219", + "forks": "219", "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", - "name": "tsusdere", - "url": "https://github.com/tsusdere" + "avatar": "https://avatars.githubusercontent.com/u/873785?s=40&v=4", + "name": "moollaza", + "url": "https://github.com/moollaza" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/903414?s=40&v=4", + "name": "tara-gibbs", + "url": "https://github.com/tara-gibbs" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94173?s=40&v=4", + "name": "tagawa", + "url": "https://github.com/tagawa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/20972610?s=40&v=4", + "name": "laghee", + "url": "https://github.com/laghee" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/444449?s=40&v=4", + "name": "jbarrett", + "url": "https://github.com/jbarrett" } ] }, @@ -478,98 +508,76 @@ ] }, { - "title": "mldangelo/personal-site", - "url": "https://github.com/mldangelo/personal-site", - "description": "My personal website - built with React, React-Router, React-Snap for Static-Export, and GitHub Pages.", - "language": "SCSS", - "languageColor": "#c6538c", - "stars": "1,351", - "forks": "904", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7235481?s=40&v=4", - "name": "mldangelo", - "url": "https://github.com/mldangelo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/310310?s=40&v=4", - "name": "typpo", - "url": "https://github.com/typpo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40576412?s=40&v=4", - "name": "notrueblood", - "url": "https://github.com/notrueblood" - } - ] - }, - { - "title": "Ileriayo/markdown-badges", - "url": "https://github.com/Ileriayo/markdown-badges", - "description": "Badges for your personal developer branding, profile, and projects.", + "title": "pages-themes/hacker", + "url": "https://github.com/pages-themes/hacker", + "description": "Hacker is a Jekyll theme for GitHub Pages", "language": "SCSS", "languageColor": "#c6538c", - "stars": "13,608", - "forks": "1,629", - "addStars": "66", + "stars": "985", + "forks": "1,208", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13166712?s=40&v=4", - "name": "Ileriayo", - "url": "https://github.com/Ileriayo" + "avatar": "https://avatars.githubusercontent.com/u/282759?s=40&v=4", + "name": "benbalter", + "url": "https://github.com/benbalter" }, { - "avatar": "https://avatars.githubusercontent.com/u/66284362?s=40&v=4", - "name": "kohasummons", - "url": "https://github.com/kohasummons" + "avatar": "https://avatars.githubusercontent.com/u/237985?s=40&v=4", + "name": "parkr", + "url": "https://github.com/parkr" }, { - "avatar": "https://avatars.githubusercontent.com/u/46837820?s=40&v=4", - "name": "mehtaAnsh", - "url": "https://github.com/mehtaAnsh" + "avatar": "https://avatars.githubusercontent.com/u/27039604?s=40&v=4", + "name": "tsusdere", + "url": "https://github.com/tsusdere" }, { - "avatar": "https://avatars.githubusercontent.com/u/73753957?s=40&v=4", - "name": "gurjeetsinghvirdee", - "url": "https://github.com/gurjeetsinghvirdee" + "avatar": "https://avatars.githubusercontent.com/u/166759?s=40&v=4", + "name": "olifre", + "url": "https://github.com/olifre" }, { - "avatar": "https://avatars.githubusercontent.com/u/16662291?s=40&v=4", - "name": "rajrohanyadav", - "url": "https://github.com/rajrohanyadav" + "avatar": "https://avatars.githubusercontent.com/u/203767?s=40&v=4", + "name": "ousia", + "url": "https://github.com/ousia" } ] }, { - "title": "prakhar1989/docker-curriculum", - "url": "https://github.com/prakhar1989/docker-curriculum", - "description": "🐬 A comprehensive tutorial on getting started with Docker!", + "title": "emilbaehr/automatic-app-landing-page", + "url": "https://github.com/emilbaehr/automatic-app-landing-page", + "description": "A Jekyll theme for automatically generating and deploying landing page sites for mobile apps.", "language": "SCSS", "languageColor": "#c6538c", - "stars": "5,685", - "forks": "2,170", - "addStars": "6", + "stars": "3,450", + "forks": "1,664", + "addStars": "2", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/649249?s=40&v=4", - "name": "prakhar1989", - "url": "https://github.com/prakhar1989" + "avatar": "https://avatars.githubusercontent.com/u/1547766?s=40&v=4", + "name": "emilbaehr", + "url": "https://github.com/emilbaehr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1503280?s=40&v=4", + "name": "QYL", + "url": "https://github.com/QYL" }, { - "avatar": "https://avatars.githubusercontent.com/u/2433509?s=40&v=4", - "name": "schlosser", - "url": "https://github.com/schlosser" + "avatar": "https://avatars.githubusercontent.com/u/1477010?s=40&v=4", + "name": "stnguyen90", + "url": "https://github.com/stnguyen90" }, { - "avatar": "https://avatars.githubusercontent.com/u/6964693?s=40&v=4", - "name": "robertoej", - "url": "https://github.com/robertoej" + "avatar": "https://avatars.githubusercontent.com/u/5361?s=40&v=4", + "name": "cowlibob", + "url": "https://github.com/cowlibob" }, { - "avatar": "https://avatars.githubusercontent.com/u/9309145?s=40&v=4", - "name": "camisatx", - "url": "https://github.com/camisatx" + "avatar": "https://avatars.githubusercontent.com/u/40849?s=40&v=4", + "name": "marcpalmer", + "url": "https://github.com/marcpalmer" } ] } diff --git a/data/weekly/scss.xml b/data/weekly/scss.xml index 97954e0c4702..1b855d9588d2 100644 --- a/data/weekly/scss.xml +++ b/data/weekly/scss.xml @@ -3,7 +3,7 @@ GitHub Scss Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Scss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT keyvanakbary/learning-notes https://github.com/keyvanakbary/learning-notes @@ -11,8 +11,8 @@ https://github.com/keyvanakbary/learning-notes SCSS #c6538c - 5,498 - 1,186 + 5,501 + 1,187 31 @@ -39,8 +39,8 @@ https://github.com/jekyll/minima SCSS #c6538c - 3,450 - 3,638 + 3,451 + 3,639 5 @@ -70,44 +70,6 @@ - - pages-themes/minimal - https://github.com/pages-themes/minimal - Minimal is a Jekyll theme for GitHub Pages - https://github.com/pages-themes/minimal - SCSS - #c6538c - 1,598 - 6,035 - 5 - - - https://avatars.githubusercontent.com/u/282759?s=40&v=4 - benbalter - https://github.com/benbalter - - - https://avatars.githubusercontent.com/u/237985?s=40&v=4 - parkr - https://github.com/parkr - - - https://avatars.githubusercontent.com/u/27039604?s=40&v=4 - tsusdere - https://github.com/tsusdere - - - https://avatars.githubusercontent.com/u/615115?s=40&v=4 - Jmuccigr - https://github.com/Jmuccigr - - - https://avatars.githubusercontent.com/u/3324775?s=40&v=4 - EricFromCanada - https://github.com/EricFromCanada - - - cobiwave/simplefolio https://github.com/cobiwave/simplefolio @@ -116,7 +78,7 @@ SCSS #c6538c 13,476 - 5,752 + 5,751 20 @@ -146,44 +108,6 @@ - - piharpi/jekyll-klise - https://github.com/piharpi/jekyll-klise - 🏖️ Klisé is a minimalist Jekyll theme for running a personal site or blog, light & dark mode support. (https://klise.vercel.app) - https://github.com/piharpi/jekyll-klise - SCSS - #c6538c - 948 - 394 - 9 - - - https://avatars.githubusercontent.com/u/20749264?s=40&v=4 - piharpi - https://github.com/piharpi - - - https://avatars.githubusercontent.com/u/63054324?s=40&v=4 - aliifam - https://github.com/aliifam - - - https://avatars.githubusercontent.com/u/1573904?s=40&v=4 - simonaco - https://github.com/simonaco - - - https://avatars.githubusercontent.com/u/5411840?s=40&v=4 - alfredcc - https://github.com/alfredcc - - - https://avatars.githubusercontent.com/u/15648068?s=40&v=4 - mluerig - https://github.com/mluerig - - - andrewbanchich/forty-jekyll-theme https://github.com/andrewbanchich/forty-jekyll-theme @@ -223,101 +147,111 @@ - pages-themes/hacker - https://github.com/pages-themes/hacker - Hacker is a Jekyll theme for GitHub Pages - https://github.com/pages-themes/hacker + piharpi/jekyll-klise + https://github.com/piharpi/jekyll-klise + 🏖️ Klisé is a minimalist Jekyll theme for running a personal site or blog, light & dark mode support. (https://klise.vercel.app) + https://github.com/piharpi/jekyll-klise SCSS #c6538c - 985 - 1,209 - 3 + 948 + 394 + 9 - https://avatars.githubusercontent.com/u/282759?s=40&v=4 - benbalter - https://github.com/benbalter + https://avatars.githubusercontent.com/u/20749264?s=40&v=4 + piharpi + https://github.com/piharpi - https://avatars.githubusercontent.com/u/237985?s=40&v=4 - parkr - https://github.com/parkr + https://avatars.githubusercontent.com/u/63054324?s=40&v=4 + aliifam + https://github.com/aliifam - https://avatars.githubusercontent.com/u/27039604?s=40&v=4 - tsusdere - https://github.com/tsusdere + https://avatars.githubusercontent.com/u/1573904?s=40&v=4 + simonaco + https://github.com/simonaco - https://avatars.githubusercontent.com/u/166759?s=40&v=4 - olifre - https://github.com/olifre + https://avatars.githubusercontent.com/u/5411840?s=40&v=4 + alfredcc + https://github.com/alfredcc - https://avatars.githubusercontent.com/u/203767?s=40&v=4 - ousia - https://github.com/ousia + https://avatars.githubusercontent.com/u/15648068?s=40&v=4 + mluerig + https://github.com/mluerig - LeNPaul/Millennial - https://github.com/LeNPaul/Millennial - A minimalist Jekyll theme for running a blog or publication powered by Jekyll and GitHub Pages - https://github.com/LeNPaul/Millennial + daviddarnes/alembic + https://github.com/daviddarnes/alembic + ⚗️ A Jekyll boilerplate theme designed to be a starting point for any Jekyll website + https://github.com/daviddarnes/alembic SCSS #c6538c - 439 - 919 - 1 + 769 + 904 + 2 - https://avatars.githubusercontent.com/u/8409329?s=40&v=4 - LeNPaul - https://github.com/LeNPaul + https://avatars.githubusercontent.com/u/1177460?s=40&v=4 + daviddarnes + https://github.com/daviddarnes + + + https://avatars.githubusercontent.com/u/1059570?s=40&v=4 + trenki2 + https://github.com/trenki2 - https://avatars.githubusercontent.com/u/1931755?s=40&v=4 - mschaeffner - https://github.com/mschaeffner + https://avatars.githubusercontent.com/u/580859?s=40&v=4 + benedfit + https://github.com/benedfit + + + https://avatars.githubusercontent.com/u/846587?s=40&v=4 + studioromeo + https://github.com/studioromeo + + + https://avatars.githubusercontent.com/u/1708536?s=40&v=4 + boynux + https://github.com/boynux - duckduckgo/duckduckgo-help-pages - https://github.com/duckduckgo/duckduckgo-help-pages - DuckDuckGo Help Pages - https://github.com/duckduckgo/duckduckgo-help-pages + catppuccin/discord + https://github.com/catppuccin/discord + 🎮 Soothing pastel theme for Discord + https://github.com/catppuccin/discord SCSS #c6538c - 219 - 218 - 4 + 816 + 173 + 8 - https://avatars.githubusercontent.com/u/873785?s=40&v=4 - moollaza - https://github.com/moollaza - - - https://avatars.githubusercontent.com/u/903414?s=40&v=4 - tara-gibbs - https://github.com/tara-gibbs + https://avatars.githubusercontent.com/u/105302757?s=40&v=4 + rubyowo + https://github.com/rubyowo - https://avatars.githubusercontent.com/u/94173?s=40&v=4 - tagawa - https://github.com/tagawa + https://avatars.githubusercontent.com/u/53945697?s=40&v=4 + ToxicAven + https://github.com/ToxicAven - https://avatars.githubusercontent.com/u/20972610?s=40&v=4 - laghee - https://github.com/laghee + https://avatars.githubusercontent.com/u/79978224?s=40&v=4 + nekowinston + https://github.com/nekowinston - https://avatars.githubusercontent.com/u/444449?s=40&v=4 - jbarrett - https://github.com/jbarrett + https://avatars.githubusercontent.com/u/102488279?s=40&v=4 + AnubisNekhet + https://github.com/AnubisNekhet @@ -360,96 +294,192 @@ - daviddarnes/alembic - https://github.com/daviddarnes/alembic - ⚗️ A Jekyll boilerplate theme designed to be a starting point for any Jekyll website - https://github.com/daviddarnes/alembic + pages-themes/minimal + https://github.com/pages-themes/minimal + Minimal is a Jekyll theme for GitHub Pages + https://github.com/pages-themes/minimal SCSS #c6538c - 769 - 904 - 2 + 1,598 + 6,036 + 5 - https://avatars.githubusercontent.com/u/1177460?s=40&v=4 - daviddarnes - https://github.com/daviddarnes + https://avatars.githubusercontent.com/u/282759?s=40&v=4 + benbalter + https://github.com/benbalter - https://avatars.githubusercontent.com/u/1059570?s=40&v=4 - trenki2 - https://github.com/trenki2 + https://avatars.githubusercontent.com/u/237985?s=40&v=4 + parkr + https://github.com/parkr - https://avatars.githubusercontent.com/u/580859?s=40&v=4 - benedfit - https://github.com/benedfit + https://avatars.githubusercontent.com/u/27039604?s=40&v=4 + tsusdere + https://github.com/tsusdere - https://avatars.githubusercontent.com/u/846587?s=40&v=4 - studioromeo - https://github.com/studioromeo + https://avatars.githubusercontent.com/u/615115?s=40&v=4 + Jmuccigr + https://github.com/Jmuccigr - https://avatars.githubusercontent.com/u/1708536?s=40&v=4 - boynux - https://github.com/boynux + https://avatars.githubusercontent.com/u/3324775?s=40&v=4 + EricFromCanada + https://github.com/EricFromCanada - okta/okta-developer-docs - https://github.com/okta/okta-developer-docs - okta-developer-docs - https://github.com/okta/okta-developer-docs + kitian616/jekyll-TeXt-theme + https://github.com/kitian616/jekyll-TeXt-theme + 💎 🐳 A super customizable Jekyll theme for personal site, team site, blog, project, documentation, etc. + https://github.com/kitian616/jekyll-TeXt-theme SCSS #c6538c - 141 - 618 + 3,171 + 2,569 + 11 + + + https://avatars.githubusercontent.com/u/9103558?s=40&v=4 + kitian616 + https://github.com/kitian616 + + + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot + + + https://avatars.githubusercontent.com/u/1129155?s=40&v=4 + tanersenyurt + https://github.com/tanersenyurt + + + https://avatars.githubusercontent.com/u/5896343?s=40&v=4 + HenryQW + https://github.com/HenryQW + + + https://avatars.githubusercontent.com/u/20252212?s=40&v=4 + ssosso + https://github.com/ssosso + + + + + mmistakes/so-simple-theme + https://github.com/mmistakes/so-simple-theme + A simple Jekyll theme for words and pictures. + https://github.com/mmistakes/so-simple-theme + SCSS + #c6538c + 2,049 + 2,491 0 - https://avatars.githubusercontent.com/u/45509385?s=40&v=4 - susanharper-okta - https://github.com/susanharper-okta + https://avatars.githubusercontent.com/u/1376749?s=40&v=4 + mmistakes + https://github.com/mmistakes + + + https://avatars.githubusercontent.com/u/192539?s=40&v=4 + xuv + https://github.com/xuv + + + https://avatars.githubusercontent.com/u/1206159?s=40&v=4 + alfo + https://github.com/alfo + + + https://avatars.githubusercontent.com/u/421146?s=40&v=4 + diegocaro + https://github.com/diegocaro + + + https://avatars.githubusercontent.com/u/56115647?s=40&v=4 + alxddh + https://github.com/alxddh + + + + + rstacruz/cheatsheets + https://github.com/rstacruz/cheatsheets + Cheatsheets for web development - devhints.io + https://github.com/rstacruz/cheatsheets + SCSS + #c6538c + 13,844 + 3,592 + 15 + + + https://avatars.githubusercontent.com/u/74385?s=40&v=4 + rstacruz + https://github.com/rstacruz - https://avatars.githubusercontent.com/u/89146940?s=40&v=4 - brentschaus-okta - https://github.com/brentschaus-okta + https://avatars.githubusercontent.com/u/259196?s=40&v=4 + chadwithuhc + https://github.com/chadwithuhc - https://avatars.githubusercontent.com/u/80703015?s=40&v=4 - vanngo-okta - https://github.com/vanngo-okta + https://avatars.githubusercontent.com/u/40914576?s=40&v=4 + mhienle + https://github.com/mhienle - https://avatars.githubusercontent.com/u/87396614?s=40&v=4 - chrisdmills-okta - https://github.com/chrisdmills-okta + https://avatars.githubusercontent.com/u/1437428?s=40&v=4 + bezhermoso + https://github.com/bezhermoso - https://avatars.githubusercontent.com/u/70648001?s=40&v=4 - brianduffield-okta - https://github.com/brianduffield-okta + https://avatars.githubusercontent.com/u/760204?s=40&v=4 + forivall + https://github.com/forivall - pages-themes/cayman - https://github.com/pages-themes/cayman - Cayman is a Jekyll theme for GitHub Pages - https://github.com/pages-themes/cayman + duckduckgo/duckduckgo-help-pages + https://github.com/duckduckgo/duckduckgo-help-pages + DuckDuckGo Help Pages + https://github.com/duckduckgo/duckduckgo-help-pages SCSS #c6538c - 1,227 - 3,192 + 219 + 219 4 - https://avatars.githubusercontent.com/u/27039604?s=40&v=4 - tsusdere - https://github.com/tsusdere + https://avatars.githubusercontent.com/u/873785?s=40&v=4 + moollaza + https://github.com/moollaza + + + https://avatars.githubusercontent.com/u/903414?s=40&v=4 + tara-gibbs + https://github.com/tara-gibbs + + + https://avatars.githubusercontent.com/u/94173?s=40&v=4 + tagawa + https://github.com/tagawa + + + https://avatars.githubusercontent.com/u/20972610?s=40&v=4 + laghee + https://github.com/laghee + + + https://avatars.githubusercontent.com/u/444449?s=40&v=4 + jbarrett + https://github.com/jbarrett @@ -492,101 +522,78 @@ - mldangelo/personal-site - https://github.com/mldangelo/personal-site - My personal website - built with React, React-Router, React-Snap for Static-Export, and GitHub Pages. - https://github.com/mldangelo/personal-site - SCSS - #c6538c - 1,351 - 904 - 1 - - - https://avatars.githubusercontent.com/u/7235481?s=40&v=4 - mldangelo - https://github.com/mldangelo - - - https://avatars.githubusercontent.com/u/310310?s=40&v=4 - typpo - https://github.com/typpo - - - https://avatars.githubusercontent.com/u/40576412?s=40&v=4 - notrueblood - https://github.com/notrueblood - - - - - Ileriayo/markdown-badges - https://github.com/Ileriayo/markdown-badges - Badges for your personal developer branding, profile, and projects. - https://github.com/Ileriayo/markdown-badges + pages-themes/hacker + https://github.com/pages-themes/hacker + Hacker is a Jekyll theme for GitHub Pages + https://github.com/pages-themes/hacker SCSS #c6538c - 13,608 - 1,629 - 66 + 985 + 1,208 + 3 - https://avatars.githubusercontent.com/u/13166712?s=40&v=4 - Ileriayo - https://github.com/Ileriayo + https://avatars.githubusercontent.com/u/282759?s=40&v=4 + benbalter + https://github.com/benbalter - https://avatars.githubusercontent.com/u/66284362?s=40&v=4 - kohasummons - https://github.com/kohasummons + https://avatars.githubusercontent.com/u/237985?s=40&v=4 + parkr + https://github.com/parkr - https://avatars.githubusercontent.com/u/46837820?s=40&v=4 - mehtaAnsh - https://github.com/mehtaAnsh + https://avatars.githubusercontent.com/u/27039604?s=40&v=4 + tsusdere + https://github.com/tsusdere - https://avatars.githubusercontent.com/u/73753957?s=40&v=4 - gurjeetsinghvirdee - https://github.com/gurjeetsinghvirdee + https://avatars.githubusercontent.com/u/166759?s=40&v=4 + olifre + https://github.com/olifre - https://avatars.githubusercontent.com/u/16662291?s=40&v=4 - rajrohanyadav - https://github.com/rajrohanyadav + https://avatars.githubusercontent.com/u/203767?s=40&v=4 + ousia + https://github.com/ousia - prakhar1989/docker-curriculum - https://github.com/prakhar1989/docker-curriculum - 🐬 A comprehensive tutorial on getting started with Docker! - https://github.com/prakhar1989/docker-curriculum + emilbaehr/automatic-app-landing-page + https://github.com/emilbaehr/automatic-app-landing-page + A Jekyll theme for automatically generating and deploying landing page sites for mobile apps. + https://github.com/emilbaehr/automatic-app-landing-page SCSS #c6538c - 5,685 - 2,170 - 6 + 3,450 + 1,664 + 2 - https://avatars.githubusercontent.com/u/649249?s=40&v=4 - prakhar1989 - https://github.com/prakhar1989 + https://avatars.githubusercontent.com/u/1547766?s=40&v=4 + emilbaehr + https://github.com/emilbaehr + + + https://avatars.githubusercontent.com/u/1503280?s=40&v=4 + QYL + https://github.com/QYL - https://avatars.githubusercontent.com/u/2433509?s=40&v=4 - schlosser - https://github.com/schlosser + https://avatars.githubusercontent.com/u/1477010?s=40&v=4 + stnguyen90 + https://github.com/stnguyen90 - https://avatars.githubusercontent.com/u/6964693?s=40&v=4 - robertoej - https://github.com/robertoej + https://avatars.githubusercontent.com/u/5361?s=40&v=4 + cowlibob + https://github.com/cowlibob - https://avatars.githubusercontent.com/u/9309145?s=40&v=4 - camisatx - https://github.com/camisatx + https://avatars.githubusercontent.com/u/40849?s=40&v=4 + marcpalmer + https://github.com/marcpalmer diff --git a/data/weekly/sed.json b/data/weekly/sed.json index f71a245de363..e08e5443264c 100644 --- a/data/weekly/sed.json +++ b/data/weekly/sed.json @@ -2,6 +2,6 @@ "title": "GitHub Sed Languages Weekly Trending", "description": "Weekly Trending of Sed Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sed.xml b/data/weekly/sed.xml index d157ab9a8a12..4a0f31a5189a 100644 --- a/data/weekly/sed.xml +++ b/data/weekly/sed.xml @@ -3,6 +3,6 @@ GitHub Sed Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sed Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/self.json b/data/weekly/self.json index 53b9d41603e5..d71b9230b1c6 100644 --- a/data/weekly/self.json +++ b/data/weekly/self.json @@ -2,6 +2,6 @@ "title": "GitHub Self Languages Weekly Trending", "description": "Weekly Trending of Self Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/self.xml b/data/weekly/self.xml index 37148d1d97d6..e80878127f59 100644 --- a/data/weekly/self.xml +++ b/data/weekly/self.xml @@ -3,6 +3,6 @@ GitHub Self Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Self Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/selinux-policy.json b/data/weekly/selinux-policy.json index 0ba0983729fc..c7364e6fdebc 100644 --- a/data/weekly/selinux-policy.json +++ b/data/weekly/selinux-policy.json @@ -2,6 +2,6 @@ "title": "GitHub Selinux-policy Languages Weekly Trending", "description": "Weekly Trending of Selinux-policy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/selinux-policy.xml b/data/weekly/selinux-policy.xml index 114baef892bc..f02654715e19 100644 --- a/data/weekly/selinux-policy.xml +++ b/data/weekly/selinux-policy.xml @@ -3,6 +3,6 @@ GitHub Selinux-policy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Selinux-policy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/shaderlab.json b/data/weekly/shaderlab.json index a3a6dde3faa3..f4b8987ad44a 100644 --- a/data/weekly/shaderlab.json +++ b/data/weekly/shaderlab.json @@ -2,45 +2,8 @@ "title": "GitHub Shaderlab Languages Weekly Trending", "description": "Weekly Trending of Shaderlab Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "unity3d-jp/UnityChanToonShaderVer2_Project", - "url": "https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project", - "description": "UnityChanToonShaderVer2 Project / v.2.0.9 Release", - "language": "ShaderLab", - "languageColor": "#222c37", - "stars": "3,839", - "forks": "813", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3204820?s=40&v=4", - "name": "Nobuyuki-Kobayashi", - "url": "https://github.com/Nobuyuki-Kobayashi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/71803280?s=40&v=4", - "name": "sindharta-tanuwijaya", - "url": "https://github.com/sindharta-tanuwijaya" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4477357?s=40&v=4", - "name": "H3idi-X", - "url": "https://github.com/H3idi-X" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1042507?s=40&v=4", - "name": "Thaina", - "url": "https://github.com/Thaina" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7379311?s=40&v=4", - "name": "sindharta", - "url": "https://github.com/sindharta" - } - ] - }, { "title": "candycat1992/Unity_Shaders_Book", "url": "https://github.com/candycat1992/Unity_Shaders_Book", @@ -99,6 +62,43 @@ "url": "https://github.com/rrazgriz" } ] + }, + { + "title": "unity3d-jp/UnityChanToonShaderVer2_Project", + "url": "https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project", + "description": "UnityChanToonShaderVer2 Project / v.2.0.9 Release", + "language": "ShaderLab", + "languageColor": "#222c37", + "stars": "3,840", + "forks": "814", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3204820?s=40&v=4", + "name": "Nobuyuki-Kobayashi", + "url": "https://github.com/Nobuyuki-Kobayashi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/71803280?s=40&v=4", + "name": "sindharta-tanuwijaya", + "url": "https://github.com/sindharta-tanuwijaya" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4477357?s=40&v=4", + "name": "H3idi-X", + "url": "https://github.com/H3idi-X" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1042507?s=40&v=4", + "name": "Thaina", + "url": "https://github.com/Thaina" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7379311?s=40&v=4", + "name": "sindharta", + "url": "https://github.com/sindharta" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/shaderlab.xml b/data/weekly/shaderlab.xml index 97a7899b0ea1..7dd944f66200 100644 --- a/data/weekly/shaderlab.xml +++ b/data/weekly/shaderlab.xml @@ -3,45 +3,7 @@ GitHub Shaderlab Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Shaderlab Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - unity3d-jp/UnityChanToonShaderVer2_Project - https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project - UnityChanToonShaderVer2 Project / v.2.0.9 Release - https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project - ShaderLab - #222c37 - 3,839 - 813 - 2 - - - https://avatars.githubusercontent.com/u/3204820?s=40&v=4 - Nobuyuki-Kobayashi - https://github.com/Nobuyuki-Kobayashi - - - https://avatars.githubusercontent.com/u/71803280?s=40&v=4 - sindharta-tanuwijaya - https://github.com/sindharta-tanuwijaya - - - https://avatars.githubusercontent.com/u/4477357?s=40&v=4 - H3idi-X - https://github.com/H3idi-X - - - https://avatars.githubusercontent.com/u/1042507?s=40&v=4 - Thaina - https://github.com/Thaina - - - https://avatars.githubusercontent.com/u/7379311?s=40&v=4 - sindharta - https://github.com/sindharta - - - + Mon, 25 Nov 2024 13:30:36 GMT candycat1992/Unity_Shaders_Book https://github.com/candycat1992/Unity_Shaders_Book @@ -103,5 +65,43 @@ + + unity3d-jp/UnityChanToonShaderVer2_Project + https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project + UnityChanToonShaderVer2 Project / v.2.0.9 Release + https://github.com/unity3d-jp/UnityChanToonShaderVer2_Project + ShaderLab + #222c37 + 3,840 + 814 + 2 + + + https://avatars.githubusercontent.com/u/3204820?s=40&v=4 + Nobuyuki-Kobayashi + https://github.com/Nobuyuki-Kobayashi + + + https://avatars.githubusercontent.com/u/71803280?s=40&v=4 + sindharta-tanuwijaya + https://github.com/sindharta-tanuwijaya + + + https://avatars.githubusercontent.com/u/4477357?s=40&v=4 + H3idi-X + https://github.com/H3idi-X + + + https://avatars.githubusercontent.com/u/1042507?s=40&v=4 + Thaina + https://github.com/Thaina + + + https://avatars.githubusercontent.com/u/7379311?s=40&v=4 + sindharta + https://github.com/sindharta + + + \ No newline at end of file diff --git a/data/weekly/shell.json b/data/weekly/shell.json index e2272c3ffed7..8aae4a029ef6 100644 --- a/data/weekly/shell.json +++ b/data/weekly/shell.json @@ -2,7 +2,7 @@ "title": "GitHub Shell Languages Weekly Trending", "description": "Weekly Trending of Shell Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "bluesky-social/pds", @@ -10,8 +10,8 @@ "description": "Bluesky PDS (Personal Data Server) container image, compose file, and documentation", "language": "Shell", "languageColor": "#89e051", - "stars": "1,432", - "forks": "132", + "stars": "1,438", + "forks": "133", "addStars": "180", "contributors": [ { @@ -47,7 +47,7 @@ "description": "Qwen2.5 is the large language model series developed by Qwen team, Alibaba Cloud.", "language": "Shell", "languageColor": "#89e051", - "stars": "9,900", + "stars": "9,915", "forks": "615", "addStars": "198", "contributors": [ @@ -84,8 +84,8 @@ "description": "OSS-Fuzz - continuous fuzzing for open source software.", "language": "Shell", "languageColor": "#89e051", - "stars": "10,595", - "forks": "2,247", + "stars": "10,601", + "forks": "2,246", "addStars": "46", "contributors": [ { @@ -121,7 +121,7 @@ "description": "Enable macOS HiDPI and have a native setting.", "language": "Shell", "languageColor": "#89e051", - "stars": "8,919", + "stars": "8,921", "forks": "1,015", "addStars": "76", "contributors": [ @@ -152,87 +152,13 @@ } ] }, - { - "title": "nvm-sh/nvm", - "url": "https://github.com/nvm-sh/nvm", - "description": "Node Version Manager - POSIX-compliant bash script to manage multiple active node.js versions", - "language": "Shell", - "languageColor": "#89e051", - "stars": "80,597", - "forks": "8,034", - "addStars": "236", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/45469?s=40&v=4", - "name": "ljharb", - "url": "https://github.com/ljharb" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3691490?s=40&v=4", - "name": "PeterDaveHello", - "url": "https://github.com/PeterDaveHello" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89353?s=40&v=4", - "name": "creationix", - "url": "https://github.com/creationix" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/351038?s=40&v=4", - "name": "koenpunt", - "url": "https://github.com/koenpunt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2123375?s=40&v=4", - "name": "lukechilds", - "url": "https://github.com/lukechilds" - } - ] - }, - { - "title": "youngyangyang04/leetcode-master", - "url": "https://github.com/youngyangyang04/leetcode-master", - "description": "《代码随想录》LeetCode 刷题攻略:200道经典题目刷题顺序,共60w字的详细图解,视频难点剖析,50余张思维导图,支持C++,Java,Python,Go,JavaScript等多语言版本,从此算法学习不再迷茫!🔥🔥 来看看,你会发现相见恨晚!🚀", - "language": "Shell", - "languageColor": "#89e051", - "stars": "52,197", - "forks": "11,570", - "addStars": "189", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/8636020?s=40&v=4", - "name": "youngyangyang04", - "url": "https://github.com/youngyangyang04" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/82551626?s=40&v=4", - "name": "fwqaaq", - "url": "https://github.com/fwqaaq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60663472?s=40&v=4", - "name": "xiaofei-2020", - "url": "https://github.com/xiaofei-2020" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/62091654?s=40&v=4", - "name": "juguagua", - "url": "https://github.com/juguagua" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35664721?s=40&v=4", - "name": "jianghongcheng", - "url": "https://github.com/jianghongcheng" - } - ] - }, { "title": "gpakosz/.tmux", "url": "https://github.com/gpakosz/.tmux", "description": "🇫🇷 Oh my tmux! My self-contained, pretty & versatile tmux configuration made with ❤️", "language": "Shell", "languageColor": "#89e051", - "stars": "22,106", + "stars": "22,108", "forks": "3,372", "addStars": "57", "contributors": [ @@ -263,75 +189,6 @@ } ] }, - { - "title": "BtbN/FFmpeg-Builds", - "url": "https://github.com/BtbN/FFmpeg-Builds", - "description": "", - "language": "Shell", - "languageColor": "#89e051", - "stars": "7,766", - "forks": "1,068", - "addStars": "57", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/294293?s=40&v=4", - "name": "BtbN", - "url": "https://github.com/BtbN" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/467392?s=40&v=4", - "name": "nanake", - "url": "https://github.com/nanake" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14953024?s=40&v=4", - "name": "nyanmisaka", - "url": "https://github.com/nyanmisaka" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/61149547?s=40&v=4", - "name": "n00mkrad", - "url": "https://github.com/n00mkrad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4866740?s=40&v=4", - "name": "bitraid", - "url": "https://github.com/bitraid" - } - ] - }, - { - "title": "RROrg/rr", - "url": "https://github.com/RROrg/rr", - "description": "Redpill Recovery (arpl-i18n)", - "language": "Shell", - "languageColor": "#89e051", - "stars": "4,863", - "forks": "825", - "addStars": "126", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5615843?s=40&v=4", - "name": "wjz304", - "url": "https://github.com/wjz304" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/11461290?s=40&v=4", - "name": "fbelavenuto", - "url": "https://github.com/fbelavenuto" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/115027125?s=40&v=4", - "name": "marchfun1", - "url": "https://github.com/marchfun1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/110688601?s=40&v=4", - "name": "servnas", - "url": "https://github.com/servnas" - } - ] - }, { "title": "kodekloudhub/certified-kubernetes-administrator-course", "url": "https://github.com/kodekloudhub/certified-kubernetes-administrator-course", @@ -339,7 +196,7 @@ "language": "Shell", "languageColor": "#89e051", "stars": "8,029", - "forks": "7,675", + "forks": "7,676", "addStars": "47", "contributors": [ { @@ -370,39 +227,39 @@ ] }, { - "title": "getsentry/self-hosted", - "url": "https://github.com/getsentry/self-hosted", - "description": "Sentry, feature-complete and packaged up for low-volume deployments and proofs-of-concept", + "title": "007revad/Synology_HDD_db", + "url": "https://github.com/007revad/Synology_HDD_db", + "description": "Add your HDD, SSD and NVMe drives to your Synology's compatible drive database and a lot more", "language": "Shell", "languageColor": "#89e051", - "stars": "7,938", - "forks": "1,777", - "addStars": "29", + "stars": "2,709", + "forks": "180", + "addStars": "38", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/126780?s=40&v=4", - "name": "BYK", - "url": "https://github.com/BYK" + "avatar": "https://avatars.githubusercontent.com/u/39733752?s=40&v=4", + "name": "007revad", + "url": "https://github.com/007revad" }, { - "avatar": "https://avatars.githubusercontent.com/u/25517925?s=40&v=4", - "name": "hubertdeng123", - "url": "https://github.com/hubertdeng123" + "avatar": "https://avatars.githubusercontent.com/u/58368166?s=40&v=4", + "name": "leonpano2006", + "url": "https://github.com/leonpano2006" }, { - "avatar": "https://avatars.githubusercontent.com/u/134455?s=40&v=4", - "name": "chadwhitacre", - "url": "https://github.com/chadwhitacre" + "avatar": "https://avatars.githubusercontent.com/u/823316?s=40&v=4", + "name": "martinbjeldbak", + "url": "https://github.com/martinbjeldbak" }, { - "avatar": "https://avatars.githubusercontent.com/u/10587625?s=40&v=4", - "name": "getsentry-bot", - "url": "https://github.com/getsentry-bot" + "avatar": "https://avatars.githubusercontent.com/u/1109954?s=40&v=4", + "name": "Xeroxxx", + "url": "https://github.com/Xeroxxx" }, { - "avatar": "https://avatars.githubusercontent.com/u/375744?s=40&v=4", - "name": "mattrobenolt", - "url": "https://github.com/mattrobenolt" + "avatar": "https://avatars.githubusercontent.com/u/3037189?s=40&v=4", + "name": "antons-", + "url": "https://github.com/antons-" } ] }, @@ -412,7 +269,7 @@ "description": "最好用的 V2Ray 一键安装脚本 & 管理脚本", "language": "Shell", "languageColor": "#89e051", - "stars": "24,765", + "stars": "24,769", "forks": "16,179", "addStars": "82", "contributors": [ @@ -443,28 +300,6 @@ } ] }, - { - "title": "tom-snow/wechat-windows-versions", - "url": "https://github.com/tom-snow/wechat-windows-versions", - "description": "保存微信历史版本", - "language": "Shell", - "languageColor": "#89e051", - "stars": "1,725", - "forks": "239", - "addStars": "32", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9976098?s=40&v=4", - "name": "NewUserNamePls", - "url": "https://github.com/NewUserNamePls" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/79245287?s=40&v=4", - "name": "tom-snow", - "url": "https://github.com/tom-snow" - } - ] - }, { "title": "taigaio/taiga-docker", "url": "https://github.com/taigaio/taiga-docker", @@ -502,14 +337,51 @@ } ] }, + { + "title": "BtbN/FFmpeg-Builds", + "url": "https://github.com/BtbN/FFmpeg-Builds", + "description": "", + "language": "Shell", + "languageColor": "#89e051", + "stars": "7,766", + "forks": "1,067", + "addStars": "57", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/294293?s=40&v=4", + "name": "BtbN", + "url": "https://github.com/BtbN" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/467392?s=40&v=4", + "name": "nanake", + "url": "https://github.com/nanake" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14953024?s=40&v=4", + "name": "nyanmisaka", + "url": "https://github.com/nyanmisaka" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/61149547?s=40&v=4", + "name": "n00mkrad", + "url": "https://github.com/n00mkrad" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4866740?s=40&v=4", + "name": "bitraid", + "url": "https://github.com/bitraid" + } + ] + }, { "title": "kiddin9/Kwrt", "url": "https://github.com/kiddin9/Kwrt", "description": "一分钟在线定制编译 X86/64, NanoPi R2S R4S R5S R6S, 斐讯 Phicomm N1 K2P, 树莓派 Raspberry Pi, 香橙派 Orange Pi, 红米AX6, 小米AX3600, 小米AX9000, 红米AX6S 小米AX3200, 红米AC2100, 华硕ASUS, 网件NETGEAR 等主流软硬路由", "language": "Shell", "languageColor": "#89e051", - "stars": "7,802", - "forks": "3,128", + "stars": "7,805", + "forks": "3,131", "addStars": "40", "contributors": [ { @@ -540,66 +412,167 @@ ] }, { - "title": "SeleniumHQ/docker-selenium", - "url": "https://github.com/SeleniumHQ/docker-selenium", - "description": "Provides a simple way to run Selenium Grid with Chrome, Firefox, and Edge using Docker, making it easier to perform browser automation", + "title": "RROrg/rr", + "url": "https://github.com/RROrg/rr", + "description": "Redpill Recovery (arpl-i18n)", + "language": "Shell", + "languageColor": "#89e051", + "stars": "4,869", + "forks": "826", + "addStars": "126", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5615843?s=40&v=4", + "name": "wjz304", + "url": "https://github.com/wjz304" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11461290?s=40&v=4", + "name": "fbelavenuto", + "url": "https://github.com/fbelavenuto" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/115027125?s=40&v=4", + "name": "marchfun1", + "url": "https://github.com/marchfun1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/110688601?s=40&v=4", + "name": "servnas", + "url": "https://github.com/servnas" + } + ] + }, + { + "title": "skywind3000/awesome-cheatsheets", + "url": "https://github.com/skywind3000/awesome-cheatsheets", + "description": "超级速查表 - 编程语言、框架和开发工具的速查表,单个文件包含一切你需要知道的东西 ⚡", "language": "Shell", "languageColor": "#89e051", - "stars": "7,994", - "forks": "2,507", - "addStars": "19", + "stars": "11,555", + "forks": "2,088", + "addStars": "207", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5992658?s=40&v=4", - "name": "diemol", - "url": "https://github.com/diemol" + "avatar": "https://avatars.githubusercontent.com/u/3035071?s=40&v=4", + "name": "skywind3000", + "url": "https://github.com/skywind3000" }, { - "avatar": "https://avatars.githubusercontent.com/u/23253546?s=40&v=4", - "name": "VietND96", - "url": "https://github.com/VietND96" + "avatar": "https://avatars.githubusercontent.com/u/4104311?s=40&v=4", + "name": "evilpan", + "url": "https://github.com/evilpan" }, { - "avatar": "https://avatars.githubusercontent.com/u/3331063?s=40&v=4", - "name": "selenium-ci", - "url": "https://github.com/selenium-ci" + "avatar": "https://avatars.githubusercontent.com/u/12680551?s=40&v=4", + "name": "lxsz", + "url": "https://github.com/lxsz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18593673?s=40&v=4", + "name": "vectorsss", + "url": "https://github.com/vectorsss" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19705337?s=40&v=4", + "name": "yx-ren", + "url": "https://github.com/yx-ren" + } + ] + }, + { + "title": "tom-snow/wechat-windows-versions", + "url": "https://github.com/tom-snow/wechat-windows-versions", + "description": "保存微信历史版本", + "language": "Shell", + "languageColor": "#89e051", + "stars": "1,725", + "forks": "239", + "addStars": "32", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/9976098?s=40&v=4", + "name": "NewUserNamePls", + "url": "https://github.com/NewUserNamePls" }, { - "avatar": "https://avatars.githubusercontent.com/u/2972876?s=40&v=4", - "name": "ddavison", - "url": "https://github.com/ddavison" + "avatar": "https://avatars.githubusercontent.com/u/79245287?s=40&v=4", + "name": "tom-snow", + "url": "https://github.com/tom-snow" } ] }, { - "title": "xubiaolin/docker-zerotier-planet", - "url": "https://github.com/xubiaolin/docker-zerotier-planet", - "description": "一分钟私有部署zerotier-planet服务", + "title": "masonr/yet-another-bench-script", + "url": "https://github.com/masonr/yet-another-bench-script", + "description": "YABS - a simple bash script to estimate Linux server performance using fio, iperf3, & Geekbench", "language": "Shell", "languageColor": "#89e051", - "stars": "2,505", - "forks": "466", - "addStars": "55", + "stars": "4,437", + "forks": "443", + "addStars": "46", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/51899048?s=40&v=4", - "name": "xubiaolin", - "url": "https://github.com/xubiaolin" + "avatar": "https://avatars.githubusercontent.com/u/8313125?s=40&v=4", + "name": "masonr", + "url": "https://github.com/masonr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/107681877?s=40&v=4", + "name": "Debcharon", + "url": "https://github.com/Debcharon" }, { - "avatar": "https://avatars.githubusercontent.com/u/20714618?s=40&v=4", - "name": "pescn", - "url": "https://github.com/pescn" + "avatar": "https://avatars.githubusercontent.com/u/744417?s=40&v=4", + "name": "hohl", + "url": "https://github.com/hohl" }, { - "avatar": "https://avatars.githubusercontent.com/u/7891383?s=40&v=4", - "name": "rwv", - "url": "https://github.com/rwv" + "avatar": "https://avatars.githubusercontent.com/u/7556250?s=40&v=4", + "name": "su-haris", + "url": "https://github.com/su-haris" }, { - "avatar": "https://avatars.githubusercontent.com/u/7941669?s=40&v=4", - "name": "hisune", - "url": "https://github.com/hisune" + "avatar": "https://avatars.githubusercontent.com/u/4096468?s=40&v=4", + "name": "BKPepe", + "url": "https://github.com/BKPepe" + } + ] + }, + { + "title": "getsentry/self-hosted", + "url": "https://github.com/getsentry/self-hosted", + "description": "Sentry, feature-complete and packaged up for low-volume deployments and proofs-of-concept", + "language": "Shell", + "languageColor": "#89e051", + "stars": "7,940", + "forks": "1,777", + "addStars": "29", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/126780?s=40&v=4", + "name": "BYK", + "url": "https://github.com/BYK" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25517925?s=40&v=4", + "name": "hubertdeng123", + "url": "https://github.com/hubertdeng123" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/134455?s=40&v=4", + "name": "chadwhitacre", + "url": "https://github.com/chadwhitacre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10587625?s=40&v=4", + "name": "getsentry-bot", + "url": "https://github.com/getsentry-bot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/375744?s=40&v=4", + "name": "mattrobenolt", + "url": "https://github.com/mattrobenolt" } ] } diff --git a/data/weekly/shell.xml b/data/weekly/shell.xml index a39058be5269..be7d07ec5428 100644 --- a/data/weekly/shell.xml +++ b/data/weekly/shell.xml @@ -3,7 +3,7 @@ GitHub Shell Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Shell Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT bluesky-social/pds https://github.com/bluesky-social/pds @@ -11,8 +11,8 @@ https://github.com/bluesky-social/pds Shell #89e051 - 1,432 - 132 + 1,438 + 133 180 @@ -49,7 +49,7 @@ https://github.com/QwenLM/Qwen2.5 Shell #89e051 - 9,900 + 9,915 615 198 @@ -87,8 +87,8 @@ https://github.com/google/oss-fuzz Shell #89e051 - 10,595 - 2,247 + 10,601 + 2,246 46 @@ -125,7 +125,7 @@ https://github.com/xzhih/one-key-hidpi Shell #89e051 - 8,919 + 8,921 1,015 76 @@ -156,82 +156,6 @@ - - nvm-sh/nvm - https://github.com/nvm-sh/nvm - Node Version Manager - POSIX-compliant bash script to manage multiple active node.js versions - https://github.com/nvm-sh/nvm - Shell - #89e051 - 80,597 - 8,034 - 236 - - - https://avatars.githubusercontent.com/u/45469?s=40&v=4 - ljharb - https://github.com/ljharb - - - https://avatars.githubusercontent.com/u/3691490?s=40&v=4 - PeterDaveHello - https://github.com/PeterDaveHello - - - https://avatars.githubusercontent.com/u/89353?s=40&v=4 - creationix - https://github.com/creationix - - - https://avatars.githubusercontent.com/u/351038?s=40&v=4 - koenpunt - https://github.com/koenpunt - - - https://avatars.githubusercontent.com/u/2123375?s=40&v=4 - lukechilds - https://github.com/lukechilds - - - - - youngyangyang04/leetcode-master - https://github.com/youngyangyang04/leetcode-master - 《代码随想录》LeetCode 刷题攻略:200道经典题目刷题顺序,共60w字的详细图解,视频难点剖析,50余张思维导图,支持C++,Java,Python,Go,JavaScript等多语言版本,从此算法学习不再迷茫!🔥🔥 来看看,你会发现相见恨晚!🚀 - https://github.com/youngyangyang04/leetcode-master - Shell - #89e051 - 52,197 - 11,570 - 189 - - - https://avatars.githubusercontent.com/u/8636020?s=40&v=4 - youngyangyang04 - https://github.com/youngyangyang04 - - - https://avatars.githubusercontent.com/u/82551626?s=40&v=4 - fwqaaq - https://github.com/fwqaaq - - - https://avatars.githubusercontent.com/u/60663472?s=40&v=4 - xiaofei-2020 - https://github.com/xiaofei-2020 - - - https://avatars.githubusercontent.com/u/62091654?s=40&v=4 - juguagua - https://github.com/juguagua - - - https://avatars.githubusercontent.com/u/35664721?s=40&v=4 - jianghongcheng - https://github.com/jianghongcheng - - - gpakosz/.tmux https://github.com/gpakosz/.tmux @@ -239,7 +163,7 @@ https://github.com/gpakosz/.tmux Shell #89e051 - 22,106 + 22,108 3,372 57 @@ -270,77 +194,6 @@ - - BtbN/FFmpeg-Builds - https://github.com/BtbN/FFmpeg-Builds - - https://github.com/BtbN/FFmpeg-Builds - Shell - #89e051 - 7,766 - 1,068 - 57 - - - https://avatars.githubusercontent.com/u/294293?s=40&v=4 - BtbN - https://github.com/BtbN - - - https://avatars.githubusercontent.com/u/467392?s=40&v=4 - nanake - https://github.com/nanake - - - https://avatars.githubusercontent.com/u/14953024?s=40&v=4 - nyanmisaka - https://github.com/nyanmisaka - - - https://avatars.githubusercontent.com/u/61149547?s=40&v=4 - n00mkrad - https://github.com/n00mkrad - - - https://avatars.githubusercontent.com/u/4866740?s=40&v=4 - bitraid - https://github.com/bitraid - - - - - RROrg/rr - https://github.com/RROrg/rr - Redpill Recovery (arpl-i18n) - https://github.com/RROrg/rr - Shell - #89e051 - 4,863 - 825 - 126 - - - https://avatars.githubusercontent.com/u/5615843?s=40&v=4 - wjz304 - https://github.com/wjz304 - - - https://avatars.githubusercontent.com/u/11461290?s=40&v=4 - fbelavenuto - https://github.com/fbelavenuto - - - https://avatars.githubusercontent.com/u/115027125?s=40&v=4 - marchfun1 - https://github.com/marchfun1 - - - https://avatars.githubusercontent.com/u/110688601?s=40&v=4 - servnas - https://github.com/servnas - - - kodekloudhub/certified-kubernetes-administrator-course https://github.com/kodekloudhub/certified-kubernetes-administrator-course @@ -349,7 +202,7 @@ Shell #89e051 8,029 - 7,675 + 7,676 47 @@ -380,40 +233,40 @@ - getsentry/self-hosted - https://github.com/getsentry/self-hosted - Sentry, feature-complete and packaged up for low-volume deployments and proofs-of-concept - https://github.com/getsentry/self-hosted + 007revad/Synology_HDD_db + https://github.com/007revad/Synology_HDD_db + Add your HDD, SSD and NVMe drives to your Synology's compatible drive database and a lot more + https://github.com/007revad/Synology_HDD_db Shell #89e051 - 7,938 - 1,777 - 29 + 2,709 + 180 + 38 - https://avatars.githubusercontent.com/u/126780?s=40&v=4 - BYK - https://github.com/BYK + https://avatars.githubusercontent.com/u/39733752?s=40&v=4 + 007revad + https://github.com/007revad - https://avatars.githubusercontent.com/u/25517925?s=40&v=4 - hubertdeng123 - https://github.com/hubertdeng123 + https://avatars.githubusercontent.com/u/58368166?s=40&v=4 + leonpano2006 + https://github.com/leonpano2006 - https://avatars.githubusercontent.com/u/134455?s=40&v=4 - chadwhitacre - https://github.com/chadwhitacre + https://avatars.githubusercontent.com/u/823316?s=40&v=4 + martinbjeldbak + https://github.com/martinbjeldbak - https://avatars.githubusercontent.com/u/10587625?s=40&v=4 - getsentry-bot - https://github.com/getsentry-bot + https://avatars.githubusercontent.com/u/1109954?s=40&v=4 + Xeroxxx + https://github.com/Xeroxxx - https://avatars.githubusercontent.com/u/375744?s=40&v=4 - mattrobenolt - https://github.com/mattrobenolt + https://avatars.githubusercontent.com/u/3037189?s=40&v=4 + antons- + https://github.com/antons- @@ -424,7 +277,7 @@ https://github.com/233boy/v2ray Shell #89e051 - 24,765 + 24,769 16,179 82 @@ -455,29 +308,6 @@ - - tom-snow/wechat-windows-versions - https://github.com/tom-snow/wechat-windows-versions - 保存微信历史版本 - https://github.com/tom-snow/wechat-windows-versions - Shell - #89e051 - 1,725 - 239 - 32 - - - https://avatars.githubusercontent.com/u/9976098?s=40&v=4 - NewUserNamePls - https://github.com/NewUserNamePls - - - https://avatars.githubusercontent.com/u/79245287?s=40&v=4 - tom-snow - https://github.com/tom-snow - - - taigaio/taiga-docker https://github.com/taigaio/taiga-docker @@ -516,6 +346,44 @@ + + BtbN/FFmpeg-Builds + https://github.com/BtbN/FFmpeg-Builds + + https://github.com/BtbN/FFmpeg-Builds + Shell + #89e051 + 7,766 + 1,067 + 57 + + + https://avatars.githubusercontent.com/u/294293?s=40&v=4 + BtbN + https://github.com/BtbN + + + https://avatars.githubusercontent.com/u/467392?s=40&v=4 + nanake + https://github.com/nanake + + + https://avatars.githubusercontent.com/u/14953024?s=40&v=4 + nyanmisaka + https://github.com/nyanmisaka + + + https://avatars.githubusercontent.com/u/61149547?s=40&v=4 + n00mkrad + https://github.com/n00mkrad + + + https://avatars.githubusercontent.com/u/4866740?s=40&v=4 + bitraid + https://github.com/bitraid + + + kiddin9/Kwrt https://github.com/kiddin9/Kwrt @@ -523,8 +391,8 @@ https://github.com/kiddin9/Kwrt Shell #89e051 - 7,802 - 3,128 + 7,805 + 3,131 40 @@ -555,68 +423,172 @@ - SeleniumHQ/docker-selenium - https://github.com/SeleniumHQ/docker-selenium - Provides a simple way to run Selenium Grid with Chrome, Firefox, and Edge using Docker, making it easier to perform browser automation - https://github.com/SeleniumHQ/docker-selenium + RROrg/rr + https://github.com/RROrg/rr + Redpill Recovery (arpl-i18n) + https://github.com/RROrg/rr + Shell + #89e051 + 4,869 + 826 + 126 + + + https://avatars.githubusercontent.com/u/5615843?s=40&v=4 + wjz304 + https://github.com/wjz304 + + + https://avatars.githubusercontent.com/u/11461290?s=40&v=4 + fbelavenuto + https://github.com/fbelavenuto + + + https://avatars.githubusercontent.com/u/115027125?s=40&v=4 + marchfun1 + https://github.com/marchfun1 + + + https://avatars.githubusercontent.com/u/110688601?s=40&v=4 + servnas + https://github.com/servnas + + + + + skywind3000/awesome-cheatsheets + https://github.com/skywind3000/awesome-cheatsheets + 超级速查表 - 编程语言、框架和开发工具的速查表,单个文件包含一切你需要知道的东西 ⚡ + https://github.com/skywind3000/awesome-cheatsheets Shell #89e051 - 7,994 - 2,507 - 19 + 11,555 + 2,088 + 207 - https://avatars.githubusercontent.com/u/5992658?s=40&v=4 - diemol - https://github.com/diemol + https://avatars.githubusercontent.com/u/3035071?s=40&v=4 + skywind3000 + https://github.com/skywind3000 - https://avatars.githubusercontent.com/u/23253546?s=40&v=4 - VietND96 - https://github.com/VietND96 + https://avatars.githubusercontent.com/u/4104311?s=40&v=4 + evilpan + https://github.com/evilpan - https://avatars.githubusercontent.com/u/3331063?s=40&v=4 - selenium-ci - https://github.com/selenium-ci + https://avatars.githubusercontent.com/u/12680551?s=40&v=4 + lxsz + https://github.com/lxsz - https://avatars.githubusercontent.com/u/2972876?s=40&v=4 - ddavison - https://github.com/ddavison + https://avatars.githubusercontent.com/u/18593673?s=40&v=4 + vectorsss + https://github.com/vectorsss + + + https://avatars.githubusercontent.com/u/19705337?s=40&v=4 + yx-ren + https://github.com/yx-ren - xubiaolin/docker-zerotier-planet - https://github.com/xubiaolin/docker-zerotier-planet - 一分钟私有部署zerotier-planet服务 - https://github.com/xubiaolin/docker-zerotier-planet + tom-snow/wechat-windows-versions + https://github.com/tom-snow/wechat-windows-versions + 保存微信历史版本 + https://github.com/tom-snow/wechat-windows-versions Shell #89e051 - 2,505 - 466 - 55 + 1,725 + 239 + 32 - https://avatars.githubusercontent.com/u/51899048?s=40&v=4 - xubiaolin - https://github.com/xubiaolin + https://avatars.githubusercontent.com/u/9976098?s=40&v=4 + NewUserNamePls + https://github.com/NewUserNamePls - https://avatars.githubusercontent.com/u/20714618?s=40&v=4 - pescn - https://github.com/pescn + https://avatars.githubusercontent.com/u/79245287?s=40&v=4 + tom-snow + https://github.com/tom-snow + + + + masonr/yet-another-bench-script + https://github.com/masonr/yet-another-bench-script + YABS - a simple bash script to estimate Linux server performance using fio, iperf3, & Geekbench + https://github.com/masonr/yet-another-bench-script + Shell + #89e051 + 4,437 + 443 + 46 + - https://avatars.githubusercontent.com/u/7891383?s=40&v=4 - rwv - https://github.com/rwv + https://avatars.githubusercontent.com/u/8313125?s=40&v=4 + masonr + https://github.com/masonr - https://avatars.githubusercontent.com/u/7941669?s=40&v=4 - hisune - https://github.com/hisune + https://avatars.githubusercontent.com/u/107681877?s=40&v=4 + Debcharon + https://github.com/Debcharon + + + https://avatars.githubusercontent.com/u/744417?s=40&v=4 + hohl + https://github.com/hohl + + + https://avatars.githubusercontent.com/u/7556250?s=40&v=4 + su-haris + https://github.com/su-haris + + + https://avatars.githubusercontent.com/u/4096468?s=40&v=4 + BKPepe + https://github.com/BKPepe + + + + + getsentry/self-hosted + https://github.com/getsentry/self-hosted + Sentry, feature-complete and packaged up for low-volume deployments and proofs-of-concept + https://github.com/getsentry/self-hosted + Shell + #89e051 + 7,940 + 1,777 + 29 + + + https://avatars.githubusercontent.com/u/126780?s=40&v=4 + BYK + https://github.com/BYK + + + https://avatars.githubusercontent.com/u/25517925?s=40&v=4 + hubertdeng123 + https://github.com/hubertdeng123 + + + https://avatars.githubusercontent.com/u/134455?s=40&v=4 + chadwhitacre + https://github.com/chadwhitacre + + + https://avatars.githubusercontent.com/u/10587625?s=40&v=4 + getsentry-bot + https://github.com/getsentry-bot + + + https://avatars.githubusercontent.com/u/375744?s=40&v=4 + mattrobenolt + https://github.com/mattrobenolt diff --git a/data/weekly/shellcheck-config.json b/data/weekly/shellcheck-config.json index 17535252c89d..f5ca3c904cd0 100644 --- a/data/weekly/shellcheck-config.json +++ b/data/weekly/shellcheck-config.json @@ -2,6 +2,6 @@ "title": "GitHub Shellcheck-config Languages Weekly Trending", "description": "Weekly Trending of Shellcheck-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/shellcheck-config.xml b/data/weekly/shellcheck-config.xml index 96d01836fed2..c3b721d1a1bb 100644 --- a/data/weekly/shellcheck-config.xml +++ b/data/weekly/shellcheck-config.xml @@ -3,6 +3,6 @@ GitHub Shellcheck-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Shellcheck-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/shellsession.json b/data/weekly/shellsession.json index 2385d2daa0e9..c090835d1dc4 100644 --- a/data/weekly/shellsession.json +++ b/data/weekly/shellsession.json @@ -2,6 +2,6 @@ "title": "GitHub Shellsession Languages Weekly Trending", "description": "Weekly Trending of Shellsession Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/shellsession.xml b/data/weekly/shellsession.xml index 37ad3900e392..8ebbd2448db8 100644 --- a/data/weekly/shellsession.xml +++ b/data/weekly/shellsession.xml @@ -3,6 +3,6 @@ GitHub Shellsession Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Shellsession Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/shen.json b/data/weekly/shen.json index 588d876d4477..13ace85d2aae 100644 --- a/data/weekly/shen.json +++ b/data/weekly/shen.json @@ -2,6 +2,6 @@ "title": "GitHub Shen Languages Weekly Trending", "description": "Weekly Trending of Shen Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/shen.xml b/data/weekly/shen.xml index a0b7bf420f50..3e8b9a0211b2 100644 --- a/data/weekly/shen.xml +++ b/data/weekly/shen.xml @@ -3,6 +3,6 @@ GitHub Shen Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Shen Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sieve.json b/data/weekly/sieve.json index 6c69c0a21365..83aeb82eb8eb 100644 --- a/data/weekly/sieve.json +++ b/data/weekly/sieve.json @@ -2,6 +2,6 @@ "title": "GitHub Sieve Languages Weekly Trending", "description": "Weekly Trending of Sieve Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sieve.xml b/data/weekly/sieve.xml index b6d50994ca2b..79e4f2168957 100644 --- a/data/weekly/sieve.xml +++ b/data/weekly/sieve.xml @@ -3,6 +3,6 @@ GitHub Sieve Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sieve Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/simple-file-verification.json b/data/weekly/simple-file-verification.json index 007da9161136..6be98c7a2014 100644 --- a/data/weekly/simple-file-verification.json +++ b/data/weekly/simple-file-verification.json @@ -2,6 +2,6 @@ "title": "GitHub Simple-file-verification Languages Weekly Trending", "description": "Weekly Trending of Simple-file-verification Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/simple-file-verification.xml b/data/weekly/simple-file-verification.xml index 44e2a5b2c06e..4ac7b5ca7ffd 100644 --- a/data/weekly/simple-file-verification.xml +++ b/data/weekly/simple-file-verification.xml @@ -3,6 +3,6 @@ GitHub Simple-file-verification Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Simple-file-verification Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/singularity.json b/data/weekly/singularity.json index 5708a2ef00ba..027c4a69f19c 100644 --- a/data/weekly/singularity.json +++ b/data/weekly/singularity.json @@ -2,6 +2,6 @@ "title": "GitHub Singularity Languages Weekly Trending", "description": "Weekly Trending of Singularity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/singularity.xml b/data/weekly/singularity.xml index f433346ba88d..9de95f27eabb 100644 --- a/data/weekly/singularity.xml +++ b/data/weekly/singularity.xml @@ -3,6 +3,6 @@ GitHub Singularity Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Singularity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/slash.json b/data/weekly/slash.json index fc825e403f24..65cf685d7a04 100644 --- a/data/weekly/slash.json +++ b/data/weekly/slash.json @@ -2,6 +2,6 @@ "title": "GitHub Slash Languages Weekly Trending", "description": "Weekly Trending of Slash Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/slash.xml b/data/weekly/slash.xml index dee71be40418..12bd3ab6b361 100644 --- a/data/weekly/slash.xml +++ b/data/weekly/slash.xml @@ -3,6 +3,6 @@ GitHub Slash Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Slash Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/slice.json b/data/weekly/slice.json index be650b06bd6d..4ffdcfb148bf 100644 --- a/data/weekly/slice.json +++ b/data/weekly/slice.json @@ -2,6 +2,6 @@ "title": "GitHub Slice Languages Weekly Trending", "description": "Weekly Trending of Slice Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/slice.xml b/data/weekly/slice.xml index 8d8a13586410..6fb136399fc1 100644 --- a/data/weekly/slice.xml +++ b/data/weekly/slice.xml @@ -3,6 +3,6 @@ GitHub Slice Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Slice Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/slim.json b/data/weekly/slim.json index 836955323329..ac2963fafb66 100644 --- a/data/weekly/slim.json +++ b/data/weekly/slim.json @@ -2,6 +2,6 @@ "title": "GitHub Slim Languages Weekly Trending", "description": "Weekly Trending of Slim Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/slim.xml b/data/weekly/slim.xml index e951953b1e1c..131f91007ed6 100644 --- a/data/weekly/slim.xml +++ b/data/weekly/slim.xml @@ -3,6 +3,6 @@ GitHub Slim Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Slim Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/slint.json b/data/weekly/slint.json index e2e8e5516262..3a1ecd4cda9c 100644 --- a/data/weekly/slint.json +++ b/data/weekly/slint.json @@ -2,6 +2,6 @@ "title": "GitHub Slint Languages Weekly Trending", "description": "Weekly Trending of Slint Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/slint.xml b/data/weekly/slint.xml index ebeb92ecb40e..f7957a49aba4 100644 --- a/data/weekly/slint.xml +++ b/data/weekly/slint.xml @@ -3,6 +3,6 @@ GitHub Slint Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Slint Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/smali.json b/data/weekly/smali.json index be63bcb67c91..3fc5fd2891f7 100644 --- a/data/weekly/smali.json +++ b/data/weekly/smali.json @@ -2,7 +2,7 @@ "title": "GitHub Smali Languages Weekly Trending", "description": "Weekly Trending of Smali Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "phhusson/treble_experimentations", diff --git a/data/weekly/smali.xml b/data/weekly/smali.xml index d56ac2ef1492..5fdb68f565a0 100644 --- a/data/weekly/smali.xml +++ b/data/weekly/smali.xml @@ -3,7 +3,7 @@ GitHub Smali Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Smali Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT phhusson/treble_experimentations https://github.com/phhusson/treble_experimentations diff --git a/data/weekly/smalltalk.json b/data/weekly/smalltalk.json index d5da5cde79e3..6c8df172630a 100644 --- a/data/weekly/smalltalk.json +++ b/data/weekly/smalltalk.json @@ -2,7 +2,7 @@ "title": "GitHub Smalltalk Languages Weekly Trending", "description": "Weekly Trending of Smalltalk Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "j-brant/SmaCC", @@ -40,80 +40,6 @@ "url": "https://github.com/badetitou" } ] - }, - { - "title": "svenvc/zinc", - "url": "https://github.com/svenvc/zinc", - "description": "Zinc HTTP Components is an open-source Smalltalk framework to deal with the HTTP networking protocol.", - "language": "Smalltalk", - "languageColor": "#596706", - "stars": "97", - "forks": "57", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/870089?s=40&v=4", - "name": "svenvc", - "url": "https://github.com/svenvc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3534711?s=40&v=4", - "name": "chisandrei", - "url": "https://github.com/chisandrei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/623951?s=40&v=4", - "name": "dalehenrich", - "url": "https://github.com/dalehenrich" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2494203?s=40&v=4", - "name": "demarey", - "url": "https://github.com/demarey" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5980033?s=40&v=4", - "name": "astares", - "url": "https://github.com/astares" - } - ] - }, - { - "title": "feenkcom/gtoolkit", - "url": "https://github.com/feenkcom/gtoolkit", - "description": "Glamorous Toolkit is the Moldable Development environment. It empowers you to make systems explainable through experiences tailored for each problem.", - "language": "Smalltalk", - "languageColor": "#596706", - "stars": "1,123", - "forks": "49", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/249595?s=40&v=4", - "name": "girba", - "url": "https://github.com/girba" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3874710?s=40&v=4", - "name": "georgeganea", - "url": "https://github.com/georgeganea" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5487042?s=40&v=4", - "name": "syrel", - "url": "https://github.com/syrel" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3534711?s=40&v=4", - "name": "chisandrei", - "url": "https://github.com/chisandrei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/465505?s=40&v=4", - "name": "JurajKubelka", - "url": "https://github.com/JurajKubelka" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/smalltalk.xml b/data/weekly/smalltalk.xml index b77463015da1..0dd510c72bcd 100644 --- a/data/weekly/smalltalk.xml +++ b/data/weekly/smalltalk.xml @@ -3,7 +3,7 @@ GitHub Smalltalk Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Smalltalk Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT j-brant/SmaCC https://github.com/j-brant/SmaCC @@ -42,81 +42,5 @@ - - svenvc/zinc - https://github.com/svenvc/zinc - Zinc HTTP Components is an open-source Smalltalk framework to deal with the HTTP networking protocol. - https://github.com/svenvc/zinc - Smalltalk - #596706 - 97 - 57 - 0 - - - https://avatars.githubusercontent.com/u/870089?s=40&v=4 - svenvc - https://github.com/svenvc - - - https://avatars.githubusercontent.com/u/3534711?s=40&v=4 - chisandrei - https://github.com/chisandrei - - - https://avatars.githubusercontent.com/u/623951?s=40&v=4 - dalehenrich - https://github.com/dalehenrich - - - https://avatars.githubusercontent.com/u/2494203?s=40&v=4 - demarey - https://github.com/demarey - - - https://avatars.githubusercontent.com/u/5980033?s=40&v=4 - astares - https://github.com/astares - - - - - feenkcom/gtoolkit - https://github.com/feenkcom/gtoolkit - Glamorous Toolkit is the Moldable Development environment. It empowers you to make systems explainable through experiences tailored for each problem. - https://github.com/feenkcom/gtoolkit - Smalltalk - #596706 - 1,123 - 49 - 1 - - - https://avatars.githubusercontent.com/u/249595?s=40&v=4 - girba - https://github.com/girba - - - https://avatars.githubusercontent.com/u/3874710?s=40&v=4 - georgeganea - https://github.com/georgeganea - - - https://avatars.githubusercontent.com/u/5487042?s=40&v=4 - syrel - https://github.com/syrel - - - https://avatars.githubusercontent.com/u/3534711?s=40&v=4 - chisandrei - https://github.com/chisandrei - - - https://avatars.githubusercontent.com/u/465505?s=40&v=4 - JurajKubelka - https://github.com/JurajKubelka - - - \ No newline at end of file diff --git a/data/weekly/smarty.json b/data/weekly/smarty.json index 1c6d09df08e0..a84d26e6e406 100644 --- a/data/weekly/smarty.json +++ b/data/weekly/smarty.json @@ -2,7 +2,7 @@ "title": "GitHub Smarty Languages Weekly Trending", "description": "Weekly Trending of Smarty Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "sidpalas/devops-directive-kubernetes-course", @@ -32,8 +32,8 @@ "description": "Bitnami Helm Charts", "language": "Smarty", "languageColor": "#f0c040", - "stars": "9,053", - "forks": "9,223", + "stars": "9,054", + "forks": "9,224", "addStars": "26", "contributors": [ { @@ -63,14 +63,51 @@ } ] }, + { + "title": "open-telemetry/opentelemetry-helm-charts", + "url": "https://github.com/open-telemetry/opentelemetry-helm-charts", + "description": "OpenTelemetry Helm Charts", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "399", + "forks": "489", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/12352919?s=40&v=4", + "name": "TylerHelmuth", + "url": "https://github.com/TylerHelmuth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6628631?s=40&v=4", + "name": "dmitryax", + "url": "https://github.com/dmitryax" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1296118?s=40&v=4", + "name": "puckpuck", + "url": "https://github.com/puckpuck" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8087146?s=40&v=4", + "name": "Allex1", + "url": "https://github.com/Allex1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10070047?s=40&v=4", + "name": "jaronoff97", + "url": "https://github.com/jaronoff97" + } + ] + }, { "title": "grafana/helm-charts", "url": "https://github.com/grafana/helm-charts", "description": "", "language": "Smarty", "languageColor": "#f0c040", - "stars": "1,666", - "forks": "2,282", + "stars": "1,667", + "forks": "2,283", "addStars": "6", "contributors": [ { @@ -107,7 +144,7 @@ "language": "Smarty", "languageColor": "#f0c040", "stars": "225", - "forks": "86", + "forks": "87", "addStars": "2", "contributors": [ { @@ -128,76 +165,39 @@ ] }, { - "title": "open-telemetry/opentelemetry-helm-charts", - "url": "https://github.com/open-telemetry/opentelemetry-helm-charts", - "description": "OpenTelemetry Helm Charts", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "399", - "forks": "489", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/12352919?s=40&v=4", - "name": "TylerHelmuth", - "url": "https://github.com/TylerHelmuth" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6628631?s=40&v=4", - "name": "dmitryax", - "url": "https://github.com/dmitryax" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1296118?s=40&v=4", - "name": "puckpuck", - "url": "https://github.com/puckpuck" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8087146?s=40&v=4", - "name": "Allex1", - "url": "https://github.com/Allex1" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10070047?s=40&v=4", - "name": "jaronoff97", - "url": "https://github.com/jaronoff97" - } - ] - }, - { - "title": "VictoriaMetrics/helm-charts", - "url": "https://github.com/VictoriaMetrics/helm-charts", - "description": "Helm charts for VictoriaMetrics, VictoriaLogs and ecosystem", + "title": "plexinc/pms-docker", + "url": "https://github.com/plexinc/pms-docker", + "description": "Plex Media Server Docker repo, for all your PMS docker needs.", "language": "Smarty", "languageColor": "#f0c040", - "stars": "348", - "forks": "332", - "addStars": "5", + "stars": "3,271", + "forks": "544", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/133988544?s=40&v=4", - "name": "victoriametrics-bot", - "url": "https://github.com/victoriametrics-bot" + "avatar": "https://avatars.githubusercontent.com/u/4397860?s=40&v=4", + "name": "gbooker", + "url": "https://github.com/gbooker" }, { - "avatar": "https://avatars.githubusercontent.com/u/18450869?s=40&v=4", - "name": "f41gh7", - "url": "https://github.com/f41gh7" + "avatar": "https://avatars.githubusercontent.com/u/1234645?s=40&v=4", + "name": "ziggimon", + "url": "https://github.com/ziggimon" }, { - "avatar": "https://avatars.githubusercontent.com/u/283442?s=40&v=4", - "name": "valyala", - "url": "https://github.com/valyala" + "avatar": "https://avatars.githubusercontent.com/u/18215471?s=40&v=4", + "name": "MarshallAsch", + "url": "https://github.com/MarshallAsch" }, { - "avatar": "https://avatars.githubusercontent.com/u/1381404?s=40&v=4", - "name": "tenmozes", - "url": "https://github.com/tenmozes" + "avatar": "https://avatars.githubusercontent.com/u/2892279?s=40&v=4", + "name": "cilindrox", + "url": "https://github.com/cilindrox" }, { - "avatar": "https://avatars.githubusercontent.com/u/3162380?s=40&v=4", - "name": "AndrewChubatiuk", - "url": "https://github.com/AndrewChubatiuk" + "avatar": "https://avatars.githubusercontent.com/u/574334?s=40&v=4", + "name": "KalleDK", + "url": "https://github.com/KalleDK" } ] }, @@ -238,75 +238,6 @@ } ] }, - { - "title": "traefik/traefik-helm-chart", - "url": "https://github.com/traefik/traefik-helm-chart", - "description": "Traefik Proxy Helm Chart", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "1,086", - "forks": "762", - "addStars": "4", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/97035654?s=40&v=4", - "name": "mloiseleur", - "url": "https://github.com/mloiseleur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1776972?s=40&v=4", - "name": "mmatur", - "url": "https://github.com/mmatur" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1105441?s=40&v=4", - "name": "darkweaver87", - "url": "https://github.com/darkweaver87" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/27928201?s=40&v=4", - "name": "charlie-haley", - "url": "https://github.com/charlie-haley" - } - ] - }, - { - "title": "plexinc/pms-docker", - "url": "https://github.com/plexinc/pms-docker", - "description": "Plex Media Server Docker repo, for all your PMS docker needs.", - "language": "Smarty", - "languageColor": "#f0c040", - "stars": "3,271", - "forks": "544", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4397860?s=40&v=4", - "name": "gbooker", - "url": "https://github.com/gbooker" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1234645?s=40&v=4", - "name": "ziggimon", - "url": "https://github.com/ziggimon" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18215471?s=40&v=4", - "name": "MarshallAsch", - "url": "https://github.com/MarshallAsch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2892279?s=40&v=4", - "name": "cilindrox", - "url": "https://github.com/cilindrox" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/574334?s=40&v=4", - "name": "KalleDK", - "url": "https://github.com/KalleDK" - } - ] - }, { "title": "truecharts/public", "url": "https://github.com/truecharts/public", @@ -339,6 +270,38 @@ } ] }, + { + "title": "traefik/traefik-helm-chart", + "url": "https://github.com/traefik/traefik-helm-chart", + "description": "Traefik Proxy Helm Chart", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "1,086", + "forks": "762", + "addStars": "4", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/97035654?s=40&v=4", + "name": "mloiseleur", + "url": "https://github.com/mloiseleur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1776972?s=40&v=4", + "name": "mmatur", + "url": "https://github.com/mmatur" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1105441?s=40&v=4", + "name": "darkweaver87", + "url": "https://github.com/darkweaver87" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/27928201?s=40&v=4", + "name": "charlie-haley", + "url": "https://github.com/charlie-haley" + } + ] + }, { "title": "opensearch-project/helm-charts", "url": "https://github.com/opensearch-project/helm-charts", @@ -498,6 +461,43 @@ "url": "https://github.com/doflamingo721" } ] + }, + { + "title": "mongodb/helm-charts", + "url": "https://github.com/mongodb/helm-charts", + "description": "", + "language": "Smarty", + "languageColor": "#f0c040", + "stars": "99", + "forks": "92", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2648502?s=40&v=4", + "name": "theburi", + "url": "https://github.com/theburi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1688827?s=40&v=4", + "name": "igor-karpukhin", + "url": "https://github.com/igor-karpukhin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/124291?s=40&v=4", + "name": "rodrigovalin", + "url": "https://github.com/rodrigovalin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5437289?s=40&v=4", + "name": "leo-ri", + "url": "https://github.com/leo-ri" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/514554?s=40&v=4", + "name": "josvazg", + "url": "https://github.com/josvazg" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/smarty.xml b/data/weekly/smarty.xml index 72594996b2f5..b1e861cc97d1 100644 --- a/data/weekly/smarty.xml +++ b/data/weekly/smarty.xml @@ -3,7 +3,7 @@ GitHub Smarty Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Smarty Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT sidpalas/devops-directive-kubernetes-course https://github.com/sidpalas/devops-directive-kubernetes-course @@ -34,8 +34,8 @@ https://github.com/bitnami/charts Smarty #f0c040 - 9,053 - 9,223 + 9,054 + 9,224 26 @@ -65,6 +65,44 @@ + + open-telemetry/opentelemetry-helm-charts + https://github.com/open-telemetry/opentelemetry-helm-charts + OpenTelemetry Helm Charts + https://github.com/open-telemetry/opentelemetry-helm-charts + Smarty + #f0c040 + 399 + 489 + 2 + + + https://avatars.githubusercontent.com/u/12352919?s=40&v=4 + TylerHelmuth + https://github.com/TylerHelmuth + + + https://avatars.githubusercontent.com/u/6628631?s=40&v=4 + dmitryax + https://github.com/dmitryax + + + https://avatars.githubusercontent.com/u/1296118?s=40&v=4 + puckpuck + https://github.com/puckpuck + + + https://avatars.githubusercontent.com/u/8087146?s=40&v=4 + Allex1 + https://github.com/Allex1 + + + https://avatars.githubusercontent.com/u/10070047?s=40&v=4 + jaronoff97 + https://github.com/jaronoff97 + + + grafana/helm-charts https://github.com/grafana/helm-charts @@ -72,8 +110,8 @@ https://github.com/grafana/helm-charts Smarty #f0c040 - 1,666 - 2,282 + 1,667 + 2,283 6 @@ -111,7 +149,7 @@ Smarty #f0c040 225 - 86 + 87 2 @@ -132,78 +170,40 @@ - open-telemetry/opentelemetry-helm-charts - https://github.com/open-telemetry/opentelemetry-helm-charts - OpenTelemetry Helm Charts - https://github.com/open-telemetry/opentelemetry-helm-charts - Smarty - #f0c040 - 399 - 489 - 2 - - - https://avatars.githubusercontent.com/u/12352919?s=40&v=4 - TylerHelmuth - https://github.com/TylerHelmuth - - - https://avatars.githubusercontent.com/u/6628631?s=40&v=4 - dmitryax - https://github.com/dmitryax - - - https://avatars.githubusercontent.com/u/1296118?s=40&v=4 - puckpuck - https://github.com/puckpuck - - - https://avatars.githubusercontent.com/u/8087146?s=40&v=4 - Allex1 - https://github.com/Allex1 - - - https://avatars.githubusercontent.com/u/10070047?s=40&v=4 - jaronoff97 - https://github.com/jaronoff97 - - - - - VictoriaMetrics/helm-charts - https://github.com/VictoriaMetrics/helm-charts - Helm charts for VictoriaMetrics, VictoriaLogs and ecosystem - https://github.com/VictoriaMetrics/helm-charts + plexinc/pms-docker + https://github.com/plexinc/pms-docker + Plex Media Server Docker repo, for all your PMS docker needs. + https://github.com/plexinc/pms-docker Smarty #f0c040 - 348 - 332 - 5 + 3,271 + 544 + 10 - https://avatars.githubusercontent.com/u/133988544?s=40&v=4 - victoriametrics-bot - https://github.com/victoriametrics-bot + https://avatars.githubusercontent.com/u/4397860?s=40&v=4 + gbooker + https://github.com/gbooker - https://avatars.githubusercontent.com/u/18450869?s=40&v=4 - f41gh7 - https://github.com/f41gh7 + https://avatars.githubusercontent.com/u/1234645?s=40&v=4 + ziggimon + https://github.com/ziggimon - https://avatars.githubusercontent.com/u/283442?s=40&v=4 - valyala - https://github.com/valyala + https://avatars.githubusercontent.com/u/18215471?s=40&v=4 + MarshallAsch + https://github.com/MarshallAsch - https://avatars.githubusercontent.com/u/1381404?s=40&v=4 - tenmozes - https://github.com/tenmozes + https://avatars.githubusercontent.com/u/2892279?s=40&v=4 + cilindrox + https://github.com/cilindrox - https://avatars.githubusercontent.com/u/3162380?s=40&v=4 - AndrewChubatiuk - https://github.com/AndrewChubatiuk + https://avatars.githubusercontent.com/u/574334?s=40&v=4 + KalleDK + https://github.com/KalleDK @@ -245,77 +245,6 @@ - - traefik/traefik-helm-chart - https://github.com/traefik/traefik-helm-chart - Traefik Proxy Helm Chart - https://github.com/traefik/traefik-helm-chart - Smarty - #f0c040 - 1,086 - 762 - 4 - - - https://avatars.githubusercontent.com/u/97035654?s=40&v=4 - mloiseleur - https://github.com/mloiseleur - - - https://avatars.githubusercontent.com/u/1776972?s=40&v=4 - mmatur - https://github.com/mmatur - - - https://avatars.githubusercontent.com/u/1105441?s=40&v=4 - darkweaver87 - https://github.com/darkweaver87 - - - https://avatars.githubusercontent.com/u/27928201?s=40&v=4 - charlie-haley - https://github.com/charlie-haley - - - - - plexinc/pms-docker - https://github.com/plexinc/pms-docker - Plex Media Server Docker repo, for all your PMS docker needs. - https://github.com/plexinc/pms-docker - Smarty - #f0c040 - 3,271 - 544 - 10 - - - https://avatars.githubusercontent.com/u/4397860?s=40&v=4 - gbooker - https://github.com/gbooker - - - https://avatars.githubusercontent.com/u/1234645?s=40&v=4 - ziggimon - https://github.com/ziggimon - - - https://avatars.githubusercontent.com/u/18215471?s=40&v=4 - MarshallAsch - https://github.com/MarshallAsch - - - https://avatars.githubusercontent.com/u/2892279?s=40&v=4 - cilindrox - https://github.com/cilindrox - - - https://avatars.githubusercontent.com/u/574334?s=40&v=4 - KalleDK - https://github.com/KalleDK - - - truecharts/public https://github.com/truecharts/public @@ -349,6 +278,39 @@ + + traefik/traefik-helm-chart + https://github.com/traefik/traefik-helm-chart + Traefik Proxy Helm Chart + https://github.com/traefik/traefik-helm-chart + Smarty + #f0c040 + 1,086 + 762 + 4 + + + https://avatars.githubusercontent.com/u/97035654?s=40&v=4 + mloiseleur + https://github.com/mloiseleur + + + https://avatars.githubusercontent.com/u/1776972?s=40&v=4 + mmatur + https://github.com/mmatur + + + https://avatars.githubusercontent.com/u/1105441?s=40&v=4 + darkweaver87 + https://github.com/darkweaver87 + + + https://avatars.githubusercontent.com/u/27928201?s=40&v=4 + charlie-haley + https://github.com/charlie-haley + + + opensearch-project/helm-charts https://github.com/opensearch-project/helm-charts @@ -514,5 +476,43 @@ + + mongodb/helm-charts + https://github.com/mongodb/helm-charts + + https://github.com/mongodb/helm-charts + Smarty + #f0c040 + 99 + 92 + 0 + + + https://avatars.githubusercontent.com/u/2648502?s=40&v=4 + theburi + https://github.com/theburi + + + https://avatars.githubusercontent.com/u/1688827?s=40&v=4 + igor-karpukhin + https://github.com/igor-karpukhin + + + https://avatars.githubusercontent.com/u/124291?s=40&v=4 + rodrigovalin + https://github.com/rodrigovalin + + + https://avatars.githubusercontent.com/u/5437289?s=40&v=4 + leo-ri + https://github.com/leo-ri + + + https://avatars.githubusercontent.com/u/514554?s=40&v=4 + josvazg + https://github.com/josvazg + + + \ No newline at end of file diff --git a/data/weekly/smithy.json b/data/weekly/smithy.json index 93f9efb4d261..ac07970a4b09 100644 --- a/data/weekly/smithy.json +++ b/data/weekly/smithy.json @@ -2,6 +2,6 @@ "title": "GitHub Smithy Languages Weekly Trending", "description": "Weekly Trending of Smithy Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/smithy.xml b/data/weekly/smithy.xml index ae0d68a1b516..8f9afa16fd1b 100644 --- a/data/weekly/smithy.xml +++ b/data/weekly/smithy.xml @@ -3,6 +3,6 @@ GitHub Smithy Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Smithy Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/smpl.json b/data/weekly/smpl.json index 6781bc7390ce..3c78f9b71bca 100644 --- a/data/weekly/smpl.json +++ b/data/weekly/smpl.json @@ -2,6 +2,6 @@ "title": "GitHub Smpl Languages Weekly Trending", "description": "Weekly Trending of Smpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/smpl.xml b/data/weekly/smpl.xml index ca57d3a30397..618c9d4a03c5 100644 --- a/data/weekly/smpl.xml +++ b/data/weekly/smpl.xml @@ -3,6 +3,6 @@ GitHub Smpl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Smpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/smt.json b/data/weekly/smt.json index aca4a373c494..f6cc15f6e24e 100644 --- a/data/weekly/smt.json +++ b/data/weekly/smt.json @@ -2,7 +2,7 @@ "title": "GitHub Smt Languages Weekly Trending", "description": "Weekly Trending of Smt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "adafruit/Adafruit_CAD_Parts", diff --git a/data/weekly/smt.xml b/data/weekly/smt.xml index 4f028059e283..0b08786fff59 100644 --- a/data/weekly/smt.xml +++ b/data/weekly/smt.xml @@ -3,7 +3,7 @@ GitHub Smt Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Smt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT adafruit/Adafruit_CAD_Parts https://github.com/adafruit/Adafruit_CAD_Parts diff --git a/data/weekly/snakemake.json b/data/weekly/snakemake.json index 31955b649d71..2d7e07eb8ddb 100644 --- a/data/weekly/snakemake.json +++ b/data/weekly/snakemake.json @@ -2,6 +2,6 @@ "title": "GitHub Snakemake Languages Weekly Trending", "description": "Weekly Trending of Snakemake Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/snakemake.xml b/data/weekly/snakemake.xml index 5f4922660b9e..ce0353029148 100644 --- a/data/weekly/snakemake.xml +++ b/data/weekly/snakemake.xml @@ -3,6 +3,6 @@ GitHub Snakemake Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Snakemake Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/solidity.json b/data/weekly/solidity.json index 08cb7cff7757..3615aa3d2382 100644 --- a/data/weekly/solidity.json +++ b/data/weekly/solidity.json @@ -2,52 +2,15 @@ "title": "GitHub Solidity Languages Weekly Trending", "description": "Weekly Trending of Solidity Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "AmazingAng/WTF-Solidity", - "url": "https://github.com/AmazingAng/WTF-Solidity", - "description": "WTF Solidity 极简入门教程,供小白们使用。Now supports English! 官网: https://wtf.academy", - "language": "Solidity", - "languageColor": "#AA6746", - "stars": "11,717", - "forks": "2,039", - "addStars": "66", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/14728591?s=40&v=4", - "name": "AmazingAng", - "url": "https://github.com/AmazingAng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/121625762?s=40&v=4", - "name": "Mosamorphing", - "url": "https://github.com/Mosamorphing" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/72180730?s=40&v=4", - "name": "XdpCs", - "url": "https://github.com/XdpCs" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38929721?s=40&v=4", - "name": "JustinAsdz", - "url": "https://github.com/JustinAsdz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40059593?s=40&v=4", - "name": "thurendous", - "url": "https://github.com/thurendous" - } - ] - }, { "title": "OpenZeppelin/openzeppelin-contracts", "url": "https://github.com/OpenZeppelin/openzeppelin-contracts", "description": "OpenZeppelin Contracts is a library for secure smart contract development.", "language": "Solidity", "languageColor": "#AA6746", - "stars": "24,989", + "stars": "24,991", "forks": "11,808", "addStars": "37", "contributors": [ @@ -79,39 +42,76 @@ ] }, { - "title": "bnb-chain/BEPs", - "url": "https://github.com/bnb-chain/BEPs", - "description": "BNB Evolution Proposals", + "title": "AmazingAng/WTF-Solidity", + "url": "https://github.com/AmazingAng/WTF-Solidity", + "description": "WTF Solidity 极简入门教程,供小白们使用。Now supports English! 官网: https://wtf.academy", "language": "Solidity", "languageColor": "#AA6746", - "stars": "824", - "forks": "557", - "addStars": "4", + "stars": "11,719", + "forks": "2,039", + "addStars": "66", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/48426112?s=40&v=4", - "name": "chainwhisper", - "url": "https://github.com/chainwhisper" + "avatar": "https://avatars.githubusercontent.com/u/14728591?s=40&v=4", + "name": "AmazingAng", + "url": "https://github.com/AmazingAng" }, { - "avatar": "https://avatars.githubusercontent.com/u/2945006?s=40&v=4", - "name": "abelliumnt", - "url": "https://github.com/abelliumnt" + "avatar": "https://avatars.githubusercontent.com/u/121625762?s=40&v=4", + "name": "Mosamorphing", + "url": "https://github.com/Mosamorphing" }, { - "avatar": "https://avatars.githubusercontent.com/u/7310198?s=40&v=4", - "name": "unclezoro", - "url": "https://github.com/unclezoro" + "avatar": "https://avatars.githubusercontent.com/u/72180730?s=40&v=4", + "name": "XdpCs", + "url": "https://github.com/XdpCs" }, { - "avatar": "https://avatars.githubusercontent.com/u/1475446?s=40&v=4", - "name": "darren-liu", - "url": "https://github.com/darren-liu" + "avatar": "https://avatars.githubusercontent.com/u/38929721?s=40&v=4", + "name": "JustinAsdz", + "url": "https://github.com/JustinAsdz" }, { - "avatar": "https://avatars.githubusercontent.com/u/7995985?s=40&v=4", - "name": "buddh0", - "url": "https://github.com/buddh0" + "avatar": "https://avatars.githubusercontent.com/u/40059593?s=40&v=4", + "name": "thurendous", + "url": "https://github.com/thurendous" + } + ] + }, + { + "title": "Dapp-Learning-DAO/Dapp-Learning", + "url": "https://github.com/Dapp-Learning-DAO/Dapp-Learning", + "description": "Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization.", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "5,202", + "forks": "1,330", + "addStars": "23", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/67732512?s=40&v=4", + "name": "liberhe", + "url": "https://github.com/liberhe" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19644290?s=40&v=4", + "name": "0x-stan", + "url": "https://github.com/0x-stan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12482906?s=40&v=4", + "name": "yanyanho", + "url": "https://github.com/yanyanho" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/85563303?s=40&v=4", + "name": "yingjingyang", + "url": "https://github.com/yingjingyang" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/41536742?s=40&v=4", + "name": "longdacao", + "url": "https://github.com/longdacao" } ] }, @@ -185,39 +185,76 @@ ] }, { - "title": "Dapp-Learning-DAO/Dapp-Learning", - "url": "https://github.com/Dapp-Learning-DAO/Dapp-Learning", - "description": "Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization.", + "title": "bnb-chain/BEPs", + "url": "https://github.com/bnb-chain/BEPs", + "description": "BNB Evolution Proposals", "language": "Solidity", "languageColor": "#AA6746", - "stars": "5,202", - "forks": "1,330", - "addStars": "23", + "stars": "825", + "forks": "557", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/67732512?s=40&v=4", - "name": "liberhe", - "url": "https://github.com/liberhe" + "avatar": "https://avatars.githubusercontent.com/u/48426112?s=40&v=4", + "name": "chainwhisper", + "url": "https://github.com/chainwhisper" }, { - "avatar": "https://avatars.githubusercontent.com/u/19644290?s=40&v=4", - "name": "0x-stan", - "url": "https://github.com/0x-stan" + "avatar": "https://avatars.githubusercontent.com/u/2945006?s=40&v=4", + "name": "abelliumnt", + "url": "https://github.com/abelliumnt" }, { - "avatar": "https://avatars.githubusercontent.com/u/12482906?s=40&v=4", - "name": "yanyanho", - "url": "https://github.com/yanyanho" + "avatar": "https://avatars.githubusercontent.com/u/7310198?s=40&v=4", + "name": "unclezoro", + "url": "https://github.com/unclezoro" }, { - "avatar": "https://avatars.githubusercontent.com/u/85563303?s=40&v=4", - "name": "yingjingyang", - "url": "https://github.com/yingjingyang" + "avatar": "https://avatars.githubusercontent.com/u/1475446?s=40&v=4", + "name": "darren-liu", + "url": "https://github.com/darren-liu" }, { - "avatar": "https://avatars.githubusercontent.com/u/41536742?s=40&v=4", - "name": "longdacao", - "url": "https://github.com/longdacao" + "avatar": "https://avatars.githubusercontent.com/u/7995985?s=40&v=4", + "name": "buddh0", + "url": "https://github.com/buddh0" + } + ] + }, + { + "title": "0xPolygonHermez/zkevm-contracts", + "url": "https://github.com/0xPolygonHermez/zkevm-contracts", + "description": "Polygon zkEVM Smart Contracts", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "278", + "forks": "314", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/32056120?s=40&v=4", + "name": "invocamanman", + "url": "https://github.com/invocamanman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/44141767?s=40&v=4", + "name": "krlosMata", + "url": "https://github.com/krlosMata" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/94566827?s=40&v=4", + "name": "zkronos73", + "url": "https://github.com/zkronos73" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22519382?s=40&v=4", + "name": "ignasirv", + "url": "https://github.com/ignasirv" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37299818?s=40&v=4", + "name": "laisolizq", + "url": "https://github.com/laisolizq" } ] }, @@ -295,43 +332,6 @@ } ] }, - { - "title": "Vectorized/solady", - "url": "https://github.com/Vectorized/solady", - "description": "Optimized Solidity snippets.", - "language": "Solidity", - "languageColor": "#AA6746", - "stars": "2,600", - "forks": "347", - "addStars": "17", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/5889274?s=40&v=4", - "name": "Vectorized", - "url": "https://github.com/Vectorized" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66815072?s=40&v=4", - "name": "atarpara", - "url": "https://github.com/atarpara" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/92001561?s=40&v=4", - "name": "z0r0z", - "url": "https://github.com/z0r0z" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/59190?s=40&v=4", - "name": "RogerPodacter", - "url": "https://github.com/RogerPodacter" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/41972979?s=40&v=4", - "name": "jtriley-eth", - "url": "https://github.com/jtriley-eth" - } - ] - }, { "title": "semgrep/semgrep-rules", "url": "https://github.com/semgrep/semgrep-rules", @@ -370,24 +370,24 @@ ] }, { - "title": "transmissions11/solmate", - "url": "https://github.com/transmissions11/solmate", - "description": "Modern, opinionated, and gas optimized building blocks for smart contract development.", + "title": "Vectorized/solady", + "url": "https://github.com/Vectorized/solady", + "description": "Optimized Solidity snippets.", "language": "Solidity", "languageColor": "#AA6746", - "stars": "3,952", - "forks": "653", - "addStars": "8", + "stars": "2,601", + "forks": "348", + "addStars": "17", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26209401?s=40&v=4", - "name": "transmissions11", - "url": "https://github.com/transmissions11" + "avatar": "https://avatars.githubusercontent.com/u/5889274?s=40&v=4", + "name": "Vectorized", + "url": "https://github.com/Vectorized" }, { - "avatar": "https://avatars.githubusercontent.com/u/31974730?s=40&v=4", - "name": "Joeysantoro", - "url": "https://github.com/Joeysantoro" + "avatar": "https://avatars.githubusercontent.com/u/66815072?s=40&v=4", + "name": "atarpara", + "url": "https://github.com/atarpara" }, { "avatar": "https://avatars.githubusercontent.com/u/92001561?s=40&v=4", @@ -395,51 +395,46 @@ "url": "https://github.com/z0r0z" }, { - "avatar": "https://avatars.githubusercontent.com/u/31553173?s=40&v=4", - "name": "brockelmore", - "url": "https://github.com/brockelmore" + "avatar": "https://avatars.githubusercontent.com/u/59190?s=40&v=4", + "name": "RogerPodacter", + "url": "https://github.com/RogerPodacter" }, { - "avatar": "https://avatars.githubusercontent.com/u/5889274?s=40&v=4", - "name": "Vectorized", - "url": "https://github.com/Vectorized" + "avatar": "https://avatars.githubusercontent.com/u/41972979?s=40&v=4", + "name": "jtriley-eth", + "url": "https://github.com/jtriley-eth" } ] }, { - "title": "Layr-Labs/eigenlayer-contracts", - "url": "https://github.com/Layr-Labs/eigenlayer-contracts", - "description": "", + "title": "hyperledger-labs/yui-ibc-solidity", + "url": "https://github.com/hyperledger-labs/yui-ibc-solidity", + "description": "IBC in Solidity", "language": "Solidity", "languageColor": "#AA6746", - "stars": "631", - "forks": "334", - "addStars": "2", + "stars": "135", + "forks": "62", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/93558947?s=40&v=4", - "name": "ChaoticWalrus", - "url": "https://github.com/ChaoticWalrus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/60323455?s=40&v=4", - "name": "Sidu28", - "url": "https://github.com/Sidu28" + "avatar": "https://avatars.githubusercontent.com/u/1170428?s=40&v=4", + "name": "bluele", + "url": "https://github.com/bluele" }, { - "avatar": "https://avatars.githubusercontent.com/u/32277907?s=40&v=4", - "name": "gpsanant", - "url": "https://github.com/gpsanant" + "avatar": "https://avatars.githubusercontent.com/u/593082?s=40&v=4", + "name": "siburu", + "url": "https://github.com/siburu" }, { - "avatar": "https://avatars.githubusercontent.com/u/18387287?s=40&v=4", - "name": "wadealexc", - "url": "https://github.com/wadealexc" + "avatar": "https://avatars.githubusercontent.com/u/1296276?s=40&v=4", + "name": "3100", + "url": "https://github.com/3100" }, { - "avatar": "https://avatars.githubusercontent.com/u/35479365?s=40&v=4", - "name": "8sunyuan", - "url": "https://github.com/8sunyuan" + "avatar": "https://avatars.githubusercontent.com/u/958174?s=40&v=4", + "name": "yoshidan", + "url": "https://github.com/yoshidan" } ] }, @@ -470,13 +465,82 @@ } ] }, + { + "title": "Uniswap/v4-core", + "url": "https://github.com/Uniswap/v4-core", + "description": "🦄 🦄 🦄 🦄 Core smart contracts of Uniswap v4", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "2,020", + "forks": "977", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7897876?s=40&v=4", + "name": "moodysalem", + "url": "https://github.com/moodysalem" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3760414?s=40&v=4", + "name": "NoahZinsmeister", + "url": "https://github.com/NoahZinsmeister" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34962750?s=40&v=4", + "name": "hensha256", + "url": "https://github.com/hensha256" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/30504811?s=40&v=4", + "name": "snreynolds", + "url": "https://github.com/snreynolds" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/59492811?s=40&v=4", + "name": "dianakocsis", + "url": "https://github.com/dianakocsis" + } + ] + }, + { + "title": "smartcontractkit/chainlink-brownie-contracts", + "url": "https://github.com/smartcontractkit/chainlink-brownie-contracts", + "description": "A repository for automatically using the latest chainlink repo from the core chainlink repo.", + "language": "Solidity", + "languageColor": "#AA6746", + "stars": "327", + "forks": "69", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/54278053?s=40&v=4", + "name": "PatrickAlphaC", + "url": "https://github.com/PatrickAlphaC" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8016129?s=40&v=4", + "name": "zeuslawyer", + "url": "https://github.com/zeuslawyer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10747945?s=40&v=4", + "name": "thodges-gh", + "url": "https://github.com/thodges-gh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19274376?s=40&v=4", + "name": "freddie71010", + "url": "https://github.com/freddie71010" + } + ] + }, { "title": "PaulRBerg/prb-math", "url": "https://github.com/PaulRBerg/prb-math", "description": "Solidity library for advanced fixed-point math", "language": "Solidity", "languageColor": "#AA6746", - "stars": "885", + "stars": "886", "forks": "125", "addStars": "3", "contributors": [ diff --git a/data/weekly/solidity.xml b/data/weekly/solidity.xml index 7b7c8cee699c..b2b195b34559 100644 --- a/data/weekly/solidity.xml +++ b/data/weekly/solidity.xml @@ -3,45 +3,7 @@ GitHub Solidity Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Solidity Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - AmazingAng/WTF-Solidity - https://github.com/AmazingAng/WTF-Solidity - WTF Solidity 极简入门教程,供小白们使用。Now supports English! 官网: https://wtf.academy - https://github.com/AmazingAng/WTF-Solidity - Solidity - #AA6746 - 11,717 - 2,039 - 66 - - - https://avatars.githubusercontent.com/u/14728591?s=40&v=4 - AmazingAng - https://github.com/AmazingAng - - - https://avatars.githubusercontent.com/u/121625762?s=40&v=4 - Mosamorphing - https://github.com/Mosamorphing - - - https://avatars.githubusercontent.com/u/72180730?s=40&v=4 - XdpCs - https://github.com/XdpCs - - - https://avatars.githubusercontent.com/u/38929721?s=40&v=4 - JustinAsdz - https://github.com/JustinAsdz - - - https://avatars.githubusercontent.com/u/40059593?s=40&v=4 - thurendous - https://github.com/thurendous - - - + Mon, 25 Nov 2024 13:30:36 GMT OpenZeppelin/openzeppelin-contracts https://github.com/OpenZeppelin/openzeppelin-contracts @@ -49,7 +11,7 @@ https://github.com/OpenZeppelin/openzeppelin-contracts Solidity #AA6746 - 24,989 + 24,991 11,808 37 @@ -81,40 +43,78 @@ - bnb-chain/BEPs - https://github.com/bnb-chain/BEPs - BNB Evolution Proposals - https://github.com/bnb-chain/BEPs + AmazingAng/WTF-Solidity + https://github.com/AmazingAng/WTF-Solidity + WTF Solidity 极简入门教程,供小白们使用。Now supports English! 官网: https://wtf.academy + https://github.com/AmazingAng/WTF-Solidity Solidity #AA6746 - 824 - 557 - 4 + 11,719 + 2,039 + 66 - https://avatars.githubusercontent.com/u/48426112?s=40&v=4 - chainwhisper - https://github.com/chainwhisper + https://avatars.githubusercontent.com/u/14728591?s=40&v=4 + AmazingAng + https://github.com/AmazingAng - https://avatars.githubusercontent.com/u/2945006?s=40&v=4 - abelliumnt - https://github.com/abelliumnt + https://avatars.githubusercontent.com/u/121625762?s=40&v=4 + Mosamorphing + https://github.com/Mosamorphing - https://avatars.githubusercontent.com/u/7310198?s=40&v=4 - unclezoro - https://github.com/unclezoro + https://avatars.githubusercontent.com/u/72180730?s=40&v=4 + XdpCs + https://github.com/XdpCs - https://avatars.githubusercontent.com/u/1475446?s=40&v=4 - darren-liu - https://github.com/darren-liu + https://avatars.githubusercontent.com/u/38929721?s=40&v=4 + JustinAsdz + https://github.com/JustinAsdz - https://avatars.githubusercontent.com/u/7995985?s=40&v=4 - buddh0 - https://github.com/buddh0 + https://avatars.githubusercontent.com/u/40059593?s=40&v=4 + thurendous + https://github.com/thurendous + + + + + Dapp-Learning-DAO/Dapp-Learning + https://github.com/Dapp-Learning-DAO/Dapp-Learning + Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization. + https://github.com/Dapp-Learning-DAO/Dapp-Learning + Solidity + #AA6746 + 5,202 + 1,330 + 23 + + + https://avatars.githubusercontent.com/u/67732512?s=40&v=4 + liberhe + https://github.com/liberhe + + + https://avatars.githubusercontent.com/u/19644290?s=40&v=4 + 0x-stan + https://github.com/0x-stan + + + https://avatars.githubusercontent.com/u/12482906?s=40&v=4 + yanyanho + https://github.com/yanyanho + + + https://avatars.githubusercontent.com/u/85563303?s=40&v=4 + yingjingyang + https://github.com/yingjingyang + + + https://avatars.githubusercontent.com/u/41536742?s=40&v=4 + longdacao + https://github.com/longdacao @@ -190,40 +190,78 @@ - Dapp-Learning-DAO/Dapp-Learning - https://github.com/Dapp-Learning-DAO/Dapp-Learning - Dapp learning project for developers at all stages. Becoming and cultivating sovereign individuals. Nonprofit organization. - https://github.com/Dapp-Learning-DAO/Dapp-Learning + bnb-chain/BEPs + https://github.com/bnb-chain/BEPs + BNB Evolution Proposals + https://github.com/bnb-chain/BEPs Solidity #AA6746 - 5,202 - 1,330 - 23 + 825 + 557 + 4 - https://avatars.githubusercontent.com/u/67732512?s=40&v=4 - liberhe - https://github.com/liberhe + https://avatars.githubusercontent.com/u/48426112?s=40&v=4 + chainwhisper + https://github.com/chainwhisper - https://avatars.githubusercontent.com/u/19644290?s=40&v=4 - 0x-stan - https://github.com/0x-stan + https://avatars.githubusercontent.com/u/2945006?s=40&v=4 + abelliumnt + https://github.com/abelliumnt - https://avatars.githubusercontent.com/u/12482906?s=40&v=4 - yanyanho - https://github.com/yanyanho + https://avatars.githubusercontent.com/u/7310198?s=40&v=4 + unclezoro + https://github.com/unclezoro - https://avatars.githubusercontent.com/u/85563303?s=40&v=4 - yingjingyang - https://github.com/yingjingyang + https://avatars.githubusercontent.com/u/1475446?s=40&v=4 + darren-liu + https://github.com/darren-liu - https://avatars.githubusercontent.com/u/41536742?s=40&v=4 - longdacao - https://github.com/longdacao + https://avatars.githubusercontent.com/u/7995985?s=40&v=4 + buddh0 + https://github.com/buddh0 + + + + + 0xPolygonHermez/zkevm-contracts + https://github.com/0xPolygonHermez/zkevm-contracts + Polygon zkEVM Smart Contracts + https://github.com/0xPolygonHermez/zkevm-contracts + Solidity + #AA6746 + 278 + 314 + 3 + + + https://avatars.githubusercontent.com/u/32056120?s=40&v=4 + invocamanman + https://github.com/invocamanman + + + https://avatars.githubusercontent.com/u/44141767?s=40&v=4 + krlosMata + https://github.com/krlosMata + + + https://avatars.githubusercontent.com/u/94566827?s=40&v=4 + zkronos73 + https://github.com/zkronos73 + + + https://avatars.githubusercontent.com/u/22519382?s=40&v=4 + ignasirv + https://github.com/ignasirv + + + https://avatars.githubusercontent.com/u/37299818?s=40&v=4 + laisolizq + https://github.com/laisolizq @@ -303,44 +341,6 @@ - - Vectorized/solady - https://github.com/Vectorized/solady - Optimized Solidity snippets. - https://github.com/Vectorized/solady - Solidity - #AA6746 - 2,600 - 347 - 17 - - - https://avatars.githubusercontent.com/u/5889274?s=40&v=4 - Vectorized - https://github.com/Vectorized - - - https://avatars.githubusercontent.com/u/66815072?s=40&v=4 - atarpara - https://github.com/atarpara - - - https://avatars.githubusercontent.com/u/92001561?s=40&v=4 - z0r0z - https://github.com/z0r0z - - - https://avatars.githubusercontent.com/u/59190?s=40&v=4 - RogerPodacter - https://github.com/RogerPodacter - - - https://avatars.githubusercontent.com/u/41972979?s=40&v=4 - jtriley-eth - https://github.com/jtriley-eth - - - semgrep/semgrep-rules https://github.com/semgrep/semgrep-rules @@ -380,25 +380,25 @@ - transmissions11/solmate - https://github.com/transmissions11/solmate - Modern, opinionated, and gas optimized building blocks for smart contract development. - https://github.com/transmissions11/solmate + Vectorized/solady + https://github.com/Vectorized/solady + Optimized Solidity snippets. + https://github.com/Vectorized/solady Solidity #AA6746 - 3,952 - 653 - 8 + 2,601 + 348 + 17 - https://avatars.githubusercontent.com/u/26209401?s=40&v=4 - transmissions11 - https://github.com/transmissions11 + https://avatars.githubusercontent.com/u/5889274?s=40&v=4 + Vectorized + https://github.com/Vectorized - https://avatars.githubusercontent.com/u/31974730?s=40&v=4 - Joeysantoro - https://github.com/Joeysantoro + https://avatars.githubusercontent.com/u/66815072?s=40&v=4 + atarpara + https://github.com/atarpara https://avatars.githubusercontent.com/u/92001561?s=40&v=4 @@ -406,52 +406,47 @@ https://github.com/z0r0z - https://avatars.githubusercontent.com/u/31553173?s=40&v=4 - brockelmore - https://github.com/brockelmore + https://avatars.githubusercontent.com/u/59190?s=40&v=4 + RogerPodacter + https://github.com/RogerPodacter - https://avatars.githubusercontent.com/u/5889274?s=40&v=4 - Vectorized - https://github.com/Vectorized + https://avatars.githubusercontent.com/u/41972979?s=40&v=4 + jtriley-eth + https://github.com/jtriley-eth - Layr-Labs/eigenlayer-contracts - https://github.com/Layr-Labs/eigenlayer-contracts - - https://github.com/Layr-Labs/eigenlayer-contracts + hyperledger-labs/yui-ibc-solidity + https://github.com/hyperledger-labs/yui-ibc-solidity + IBC in Solidity + https://github.com/hyperledger-labs/yui-ibc-solidity Solidity #AA6746 - 631 - 334 - 2 + 135 + 62 + 1 - https://avatars.githubusercontent.com/u/93558947?s=40&v=4 - ChaoticWalrus - https://github.com/ChaoticWalrus - - - https://avatars.githubusercontent.com/u/60323455?s=40&v=4 - Sidu28 - https://github.com/Sidu28 + https://avatars.githubusercontent.com/u/1170428?s=40&v=4 + bluele + https://github.com/bluele - https://avatars.githubusercontent.com/u/32277907?s=40&v=4 - gpsanant - https://github.com/gpsanant + https://avatars.githubusercontent.com/u/593082?s=40&v=4 + siburu + https://github.com/siburu - https://avatars.githubusercontent.com/u/18387287?s=40&v=4 - wadealexc - https://github.com/wadealexc + https://avatars.githubusercontent.com/u/1296276?s=40&v=4 + 3100 + https://github.com/3100 - https://avatars.githubusercontent.com/u/35479365?s=40&v=4 - 8sunyuan - https://github.com/8sunyuan + https://avatars.githubusercontent.com/u/958174?s=40&v=4 + yoshidan + https://github.com/yoshidan @@ -483,6 +478,77 @@ + + Uniswap/v4-core + https://github.com/Uniswap/v4-core + 🦄 🦄 🦄 🦄 Core smart contracts of Uniswap v4 + https://github.com/Uniswap/v4-core + Solidity + #AA6746 + 2,020 + 977 + 9 + + + https://avatars.githubusercontent.com/u/7897876?s=40&v=4 + moodysalem + https://github.com/moodysalem + + + https://avatars.githubusercontent.com/u/3760414?s=40&v=4 + NoahZinsmeister + https://github.com/NoahZinsmeister + + + https://avatars.githubusercontent.com/u/34962750?s=40&v=4 + hensha256 + https://github.com/hensha256 + + + https://avatars.githubusercontent.com/u/30504811?s=40&v=4 + snreynolds + https://github.com/snreynolds + + + https://avatars.githubusercontent.com/u/59492811?s=40&v=4 + dianakocsis + https://github.com/dianakocsis + + + + + smartcontractkit/chainlink-brownie-contracts + https://github.com/smartcontractkit/chainlink-brownie-contracts + A repository for automatically using the latest chainlink repo from the core chainlink repo. + https://github.com/smartcontractkit/chainlink-brownie-contracts + Solidity + #AA6746 + 327 + 69 + 6 + + + https://avatars.githubusercontent.com/u/54278053?s=40&v=4 + PatrickAlphaC + https://github.com/PatrickAlphaC + + + https://avatars.githubusercontent.com/u/8016129?s=40&v=4 + zeuslawyer + https://github.com/zeuslawyer + + + https://avatars.githubusercontent.com/u/10747945?s=40&v=4 + thodges-gh + https://github.com/thodges-gh + + + https://avatars.githubusercontent.com/u/19274376?s=40&v=4 + freddie71010 + https://github.com/freddie71010 + + + PaulRBerg/prb-math https://github.com/PaulRBerg/prb-math @@ -490,7 +556,7 @@ https://github.com/PaulRBerg/prb-math Solidity #AA6746 - 885 + 886 125 3 diff --git a/data/weekly/soong.json b/data/weekly/soong.json index 228cfa6aef7f..5c5be79d2368 100644 --- a/data/weekly/soong.json +++ b/data/weekly/soong.json @@ -2,6 +2,6 @@ "title": "GitHub Soong Languages Weekly Trending", "description": "Weekly Trending of Soong Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/soong.xml b/data/weekly/soong.xml index d731936e8919..5b7e455bd692 100644 --- a/data/weekly/soong.xml +++ b/data/weekly/soong.xml @@ -3,6 +3,6 @@ GitHub Soong Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Soong Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sourcepawn.json b/data/weekly/sourcepawn.json index a1e5084af76a..decf4f8fa8f1 100644 --- a/data/weekly/sourcepawn.json +++ b/data/weekly/sourcepawn.json @@ -2,6 +2,6 @@ "title": "GitHub Sourcepawn Languages Weekly Trending", "description": "Weekly Trending of Sourcepawn Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sourcepawn.xml b/data/weekly/sourcepawn.xml index b7efc5829ae2..2f7e57448093 100644 --- a/data/weekly/sourcepawn.xml +++ b/data/weekly/sourcepawn.xml @@ -3,6 +3,6 @@ GitHub Sourcepawn Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sourcepawn Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sparql.json b/data/weekly/sparql.json index 5cb7ed6f1b84..0a39aaa585a7 100644 --- a/data/weekly/sparql.json +++ b/data/weekly/sparql.json @@ -2,6 +2,6 @@ "title": "GitHub Sparql Languages Weekly Trending", "description": "Weekly Trending of Sparql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sparql.xml b/data/weekly/sparql.xml index ba9f22d175b7..732011c8d1cd 100644 --- a/data/weekly/sparql.xml +++ b/data/weekly/sparql.xml @@ -3,6 +3,6 @@ GitHub Sparql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sparql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/spline-font-database.json b/data/weekly/spline-font-database.json index 2a32a52d403d..1020ee5fbb5f 100644 --- a/data/weekly/spline-font-database.json +++ b/data/weekly/spline-font-database.json @@ -2,6 +2,6 @@ "title": "GitHub Spline-font-database Languages Weekly Trending", "description": "Weekly Trending of Spline-font-database Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/spline-font-database.xml b/data/weekly/spline-font-database.xml index d07da8c1d0d4..c3e744c7e0f6 100644 --- a/data/weekly/spline-font-database.xml +++ b/data/weekly/spline-font-database.xml @@ -3,6 +3,6 @@ GitHub Spline-font-database Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Spline-font-database Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sqf.json b/data/weekly/sqf.json index 7b918848afc6..1c58d63902b1 100644 --- a/data/weekly/sqf.json +++ b/data/weekly/sqf.json @@ -2,7 +2,7 @@ "title": "GitHub Sqf Languages Weekly Trending", "description": "Weekly Trending of Sqf Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "acemod/ACE3", diff --git a/data/weekly/sqf.xml b/data/weekly/sqf.xml index 1fe7dd1d5a53..15a30571aa23 100644 --- a/data/weekly/sqf.xml +++ b/data/weekly/sqf.xml @@ -3,7 +3,7 @@ GitHub Sqf Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sqf Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT acemod/ACE3 https://github.com/acemod/ACE3 diff --git a/data/weekly/sql.json b/data/weekly/sql.json index 5d573a63cd81..593e8dee5727 100644 --- a/data/weekly/sql.json +++ b/data/weekly/sql.json @@ -2,6 +2,6 @@ "title": "GitHub Sql Languages Weekly Trending", "description": "Weekly Trending of Sql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sql.xml b/data/weekly/sql.xml index 6d6457314779..c54be0140ebc 100644 --- a/data/weekly/sql.xml +++ b/data/weekly/sql.xml @@ -3,6 +3,6 @@ GitHub Sql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sqlpl.json b/data/weekly/sqlpl.json index 839f34b8ee3d..2fb9a5e1fe0c 100644 --- a/data/weekly/sqlpl.json +++ b/data/weekly/sqlpl.json @@ -2,6 +2,6 @@ "title": "GitHub Sqlpl Languages Weekly Trending", "description": "Weekly Trending of Sqlpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sqlpl.xml b/data/weekly/sqlpl.xml index 532c6422be6b..08b5148aa417 100644 --- a/data/weekly/sqlpl.xml +++ b/data/weekly/sqlpl.xml @@ -3,6 +3,6 @@ GitHub Sqlpl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sqlpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/squirrel.json b/data/weekly/squirrel.json index e43d054cae78..dc98d6ade9c1 100644 --- a/data/weekly/squirrel.json +++ b/data/weekly/squirrel.json @@ -2,6 +2,6 @@ "title": "GitHub Squirrel Languages Weekly Trending", "description": "Weekly Trending of Squirrel Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/squirrel.xml b/data/weekly/squirrel.xml index 60eda2726292..6315aca94165 100644 --- a/data/weekly/squirrel.xml +++ b/data/weekly/squirrel.xml @@ -3,6 +3,6 @@ GitHub Squirrel Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Squirrel Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/srecode-template.json b/data/weekly/srecode-template.json index 4d57754f5baa..960e85f32c4a 100644 --- a/data/weekly/srecode-template.json +++ b/data/weekly/srecode-template.json @@ -2,6 +2,6 @@ "title": "GitHub Srecode-template Languages Weekly Trending", "description": "Weekly Trending of Srecode-template Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/srecode-template.xml b/data/weekly/srecode-template.xml index ecb644af8302..34150c0dd689 100644 --- a/data/weekly/srecode-template.xml +++ b/data/weekly/srecode-template.xml @@ -3,6 +3,6 @@ GitHub Srecode-template Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Srecode-template Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ssh-config.json b/data/weekly/ssh-config.json index 9ed86b0c47c4..3bc475e83db8 100644 --- a/data/weekly/ssh-config.json +++ b/data/weekly/ssh-config.json @@ -2,6 +2,6 @@ "title": "GitHub Ssh-config Languages Weekly Trending", "description": "Weekly Trending of Ssh-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ssh-config.xml b/data/weekly/ssh-config.xml index 18ccc47e7917..0d1e7ae038de 100644 --- a/data/weekly/ssh-config.xml +++ b/data/weekly/ssh-config.xml @@ -3,6 +3,6 @@ GitHub Ssh-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ssh-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/stan.json b/data/weekly/stan.json index 6ce3bbfc984b..4cea328a325a 100644 --- a/data/weekly/stan.json +++ b/data/weekly/stan.json @@ -2,6 +2,6 @@ "title": "GitHub Stan Languages Weekly Trending", "description": "Weekly Trending of Stan Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/stan.xml b/data/weekly/stan.xml index 5d6a030085c3..709542cd2785 100644 --- a/data/weekly/stan.xml +++ b/data/weekly/stan.xml @@ -3,6 +3,6 @@ GitHub Stan Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Stan Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/standard-ml.json b/data/weekly/standard-ml.json index 246a2c4901b6..bc056f479425 100644 --- a/data/weekly/standard-ml.json +++ b/data/weekly/standard-ml.json @@ -2,6 +2,6 @@ "title": "GitHub Standard-ml Languages Weekly Trending", "description": "Weekly Trending of Standard-ml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/standard-ml.xml b/data/weekly/standard-ml.xml index 6efc14d769a8..478cd746f26d 100644 --- a/data/weekly/standard-ml.xml +++ b/data/weekly/standard-ml.xml @@ -3,6 +3,6 @@ GitHub Standard-ml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Standard-ml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/star.json b/data/weekly/star.json index 1a5b09b1b4a9..99f86537375d 100644 --- a/data/weekly/star.json +++ b/data/weekly/star.json @@ -2,6 +2,6 @@ "title": "GitHub Star Languages Weekly Trending", "description": "Weekly Trending of Star Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/star.xml b/data/weekly/star.xml index 8a73dd5e7f20..8a036d985356 100644 --- a/data/weekly/star.xml +++ b/data/weekly/star.xml @@ -3,6 +3,6 @@ GitHub Star Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Star Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/starlark.json b/data/weekly/starlark.json index 047300ecbf71..3d5f126a447e 100644 --- a/data/weekly/starlark.json +++ b/data/weekly/starlark.json @@ -2,7 +2,7 @@ "title": "GitHub Starlark Languages Weekly Trending", "description": "Weekly Trending of Starlark Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "google/cel-spec", @@ -10,7 +10,7 @@ "description": "Common Expression Language -- specification and binary representation", "language": "Starlark", "languageColor": "#76d275", - "stars": "2,922", + "stars": "2,923", "forks": "226", "addStars": "16", "contributors": [ @@ -106,34 +106,34 @@ ] }, { - "title": "googleapis/api-common-protos", - "url": "https://github.com/googleapis/api-common-protos", - "description": "A standard library for use in specifying protocol buffer APIs.", + "title": "googleapis/googleapis", + "url": "https://github.com/googleapis/googleapis", + "description": "Public interface definitions of Google APIs.", "language": "Starlark", "languageColor": "#76d275", - "stars": "252", - "forks": "64", - "addStars": "0", + "stars": "7,637", + "forks": "2,337", + "addStars": "14", "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11670828?s=40&v=4", - "name": "ethanbao", - "url": "https://github.com/ethanbao" - }, { "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", "name": "googleapis-publisher", "url": "https://github.com/googleapis-publisher" }, { - "avatar": "https://avatars.githubusercontent.com/u/4346?s=40&v=4", - "name": "lukesneeringer", - "url": "https://github.com/lukesneeringer" + "avatar": "https://avatars.githubusercontent.com/u/1617025?s=40&v=4", + "name": "pongad", + "url": "https://github.com/pongad" }, { - "avatar": "https://avatars.githubusercontent.com/u/2960535?s=40&v=4", - "name": "software-dov", - "url": "https://github.com/software-dov" + "avatar": "https://avatars.githubusercontent.com/u/25352356?s=40&v=4", + "name": "neozwu", + "url": "https://github.com/neozwu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14846209?s=40&v=4", + "name": "michaelbausor", + "url": "https://github.com/michaelbausor" } ] }, @@ -175,39 +175,34 @@ ] }, { - "title": "bazelbuild/rules_rust", - "url": "https://github.com/bazelbuild/rules_rust", - "description": "Rust rules for Bazel", + "title": "googleapis/api-common-protos", + "url": "https://github.com/googleapis/api-common-protos", + "description": "A standard library for use in specifying protocol buffer APIs.", "language": "Starlark", "languageColor": "#76d275", - "stars": "671", - "forks": "434", - "addStars": "2", + "stars": "252", + "forks": "64", + "addStars": "0", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26427366?s=40&v=4", - "name": "UebelAndre", - "url": "https://github.com/UebelAndre" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1131704?s=40&v=4", - "name": "illicitonion", - "url": "https://github.com/illicitonion" + "avatar": "https://avatars.githubusercontent.com/u/11670828?s=40&v=4", + "name": "ethanbao", + "url": "https://github.com/ethanbao" }, { - "avatar": "https://avatars.githubusercontent.com/u/814566?s=40&v=4", - "name": "hlopko", - "url": "https://github.com/hlopko" + "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", + "name": "googleapis-publisher", + "url": "https://github.com/googleapis-publisher" }, { - "avatar": "https://avatars.githubusercontent.com/u/3721087?s=40&v=4", - "name": "damienmg", - "url": "https://github.com/damienmg" + "avatar": "https://avatars.githubusercontent.com/u/4346?s=40&v=4", + "name": "lukesneeringer", + "url": "https://github.com/lukesneeringer" }, { - "avatar": "https://avatars.githubusercontent.com/u/11149636?s=40&v=4", - "name": "scentini", - "url": "https://github.com/scentini" + "avatar": "https://avatars.githubusercontent.com/u/2960535?s=40&v=4", + "name": "software-dov", + "url": "https://github.com/software-dov" } ] }, @@ -248,75 +243,6 @@ } ] }, - { - "title": "cncf/xds", - "url": "https://github.com/cncf/xds", - "description": "xDS API Working Group", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "206", - "forks": "72", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/672669?s=40&v=4", - "name": "sergiitk", - "url": "https://github.com/sergiitk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6032561?s=40&v=4", - "name": "mmorel-35", - "url": "https://github.com/mmorel-35" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9537734?s=40&v=4", - "name": "kyessenov", - "url": "https://github.com/kyessenov" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", - "name": "keith", - "url": "https://github.com/keith" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18664614?s=40&v=4", - "name": "markdroth", - "url": "https://github.com/markdroth" - } - ] - }, - { - "title": "googleapis/googleapis", - "url": "https://github.com/googleapis/googleapis", - "description": "Public interface definitions of Google APIs.", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "7,637", - "forks": "2,339", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/21183283?s=40&v=4", - "name": "googleapis-publisher", - "url": "https://github.com/googleapis-publisher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1617025?s=40&v=4", - "name": "pongad", - "url": "https://github.com/pongad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25352356?s=40&v=4", - "name": "neozwu", - "url": "https://github.com/neozwu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/14846209?s=40&v=4", - "name": "michaelbausor", - "url": "https://github.com/michaelbausor" - } - ] - }, { "title": "bazel-contrib/rules_oci", "url": "https://github.com/bazel-contrib/rules_oci", @@ -423,6 +349,43 @@ } ] }, + { + "title": "cncf/xds", + "url": "https://github.com/cncf/xds", + "description": "xDS API Working Group", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "206", + "forks": "72", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/672669?s=40&v=4", + "name": "sergiitk", + "url": "https://github.com/sergiitk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6032561?s=40&v=4", + "name": "mmorel-35", + "url": "https://github.com/mmorel-35" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9537734?s=40&v=4", + "name": "kyessenov", + "url": "https://github.com/kyessenov" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", + "name": "keith", + "url": "https://github.com/keith" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18664614?s=40&v=4", + "name": "markdroth", + "url": "https://github.com/markdroth" + } + ] + }, { "title": "bazelbuild/rules_python", "url": "https://github.com/bazelbuild/rules_python", @@ -455,38 +418,6 @@ } ] }, - { - "title": "aspect-build/bazel-examples", - "url": "https://github.com/aspect-build/bazel-examples", - "description": "Bazel examples", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "113", - "forks": "72", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/520826?s=40&v=4", - "name": "gregmagolan", - "url": "https://github.com/gregmagolan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47395?s=40&v=4", - "name": "alexeagle", - "url": "https://github.com/alexeagle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/89246?s=40&v=4", - "name": "jbedard", - "url": "https://github.com/jbedard" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8799?s=40&v=4", - "name": "kylecordes", - "url": "https://github.com/kylecordes" - } - ] - }, { "title": "bazelbuild/rules_proto", "url": "https://github.com/bazelbuild/rules_proto", @@ -520,39 +451,39 @@ ] }, { - "title": "bazelbuild/rules_docker", - "url": "https://github.com/bazelbuild/rules_docker", - "description": "Rules for building and handling Docker images with Bazel", + "title": "google/bazel-common", + "url": "https://github.com/google/bazel-common", + "description": "Common functionality for Google's open-source libraries that are built with bazel.", "language": "Starlark", "languageColor": "#76d275", - "stars": "1,074", - "forks": "692", - "addStars": "0", + "stars": "87", + "forks": "41", + "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2442466?s=40&v=4", - "name": "mattmoor", - "url": "https://github.com/mattmoor" + "avatar": "https://avatars.githubusercontent.com/u/792797?s=40&v=4", + "name": "ronshapiro", + "url": "https://github.com/ronshapiro" }, { - "avatar": "https://avatars.githubusercontent.com/u/25180681?s=40&v=4", - "name": "renovate-bot", - "url": "https://github.com/renovate-bot" + "avatar": "https://avatars.githubusercontent.com/u/1703908?s=40&v=4", + "name": "cpovirk", + "url": "https://github.com/cpovirk" }, { - "avatar": "https://avatars.githubusercontent.com/u/4062751?s=40&v=4", - "name": "nlopezgi", - "url": "https://github.com/nlopezgi" + "avatar": "https://avatars.githubusercontent.com/u/101568?s=40&v=4", + "name": "cgdecker", + "url": "https://github.com/cgdecker" }, { - "avatar": "https://avatars.githubusercontent.com/u/8533249?s=40&v=4", - "name": "smukherj1", - "url": "https://github.com/smukherj1" + "avatar": "https://avatars.githubusercontent.com/u/4306377?s=40&v=4", + "name": "netdpb", + "url": "https://github.com/netdpb" }, { - "avatar": "https://avatars.githubusercontent.com/u/42874203?s=40&v=4", - "name": "alex1545", - "url": "https://github.com/alex1545" + "avatar": "https://avatars.githubusercontent.com/u/2928034?s=40&v=4", + "name": "bcorso", + "url": "https://github.com/bcorso" } ] }, @@ -594,71 +525,76 @@ ] }, { - "title": "google/bazel-common", - "url": "https://github.com/google/bazel-common", - "description": "Common functionality for Google's open-source libraries that are built with bazel.", + "title": "bazelbuild/bazel-skylib", + "url": "https://github.com/bazelbuild/bazel-skylib", + "description": "Common useful functions and rules for Bazel", "language": "Starlark", "languageColor": "#76d275", - "stars": "87", - "forks": "41", + "stars": "395", + "forks": "180", "addStars": "1", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/792797?s=40&v=4", - "name": "ronshapiro", - "url": "https://github.com/ronshapiro" + "avatar": "https://avatars.githubusercontent.com/u/13687552?s=40&v=4", + "name": "c-parsons", + "url": "https://github.com/c-parsons" }, { - "avatar": "https://avatars.githubusercontent.com/u/1703908?s=40&v=4", - "name": "cpovirk", - "url": "https://github.com/cpovirk" + "avatar": "https://avatars.githubusercontent.com/u/52517?s=40&v=4", + "name": "tetromino", + "url": "https://github.com/tetromino" }, { - "avatar": "https://avatars.githubusercontent.com/u/101568?s=40&v=4", - "name": "cgdecker", - "url": "https://github.com/cgdecker" + "avatar": "https://avatars.githubusercontent.com/u/5279928?s=40&v=4", + "name": "thomasvl", + "url": "https://github.com/thomasvl" }, { - "avatar": "https://avatars.githubusercontent.com/u/4306377?s=40&v=4", - "name": "netdpb", - "url": "https://github.com/netdpb" + "avatar": "https://avatars.githubusercontent.com/u/10532244?s=40&v=4", + "name": "laszlocsomor", + "url": "https://github.com/laszlocsomor" }, { - "avatar": "https://avatars.githubusercontent.com/u/2928034?s=40&v=4", - "name": "bcorso", - "url": "https://github.com/bcorso" + "avatar": "https://avatars.githubusercontent.com/u/3044252?s=40&v=4", + "name": "aiuto", + "url": "https://github.com/aiuto" } ] }, { - "title": "bazelbuild/rules_swift", - "url": "https://github.com/bazelbuild/rules_swift", - "description": "Bazel rules to build Swift on Apple and Linux platforms", + "title": "ethpandaops/ethereum-package", + "url": "https://github.com/ethpandaops/ethereum-package", + "description": "A Kurtosis package that deploys a private, portable, and modular Ethereum devnet", "language": "Starlark", "languageColor": "#76d275", - "stars": "313", - "forks": "138", - "addStars": "1", + "stars": "260", + "forks": "151", + "addStars": "4", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1062427?s=40&v=4", - "name": "allevato", - "url": "https://github.com/allevato" + "avatar": "https://avatars.githubusercontent.com/u/6670312?s=40&v=4", + "name": "h4ck3rk3y", + "url": "https://github.com/h4ck3rk3y" }, { - "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", - "name": "keith", - "url": "https://github.com/keith" + "avatar": "https://avatars.githubusercontent.com/u/21987084?s=40&v=4", + "name": "barnabasbusa", + "url": "https://github.com/barnabasbusa" }, { - "avatar": "https://avatars.githubusercontent.com/u/158658?s=40&v=4", - "name": "brentleyjones", - "url": "https://github.com/brentleyjones" + "avatar": "https://avatars.githubusercontent.com/u/491045?s=40&v=4", + "name": "pk910", + "url": "https://github.com/pk910" }, { - "avatar": "https://avatars.githubusercontent.com/u/5279928?s=40&v=4", - "name": "thomasvl", - "url": "https://github.com/thomasvl" + "avatar": "https://avatars.githubusercontent.com/u/17509050?s=40&v=4", + "name": "parithosh", + "url": "https://github.com/parithosh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1737857?s=40&v=4", + "name": "victorcolombo", + "url": "https://github.com/victorcolombo" } ] }, @@ -699,6 +635,75 @@ } ] }, + { + "title": "bazelbuild/rules_swift", + "url": "https://github.com/bazelbuild/rules_swift", + "description": "Bazel rules to build Swift on Apple and Linux platforms", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "313", + "forks": "138", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1062427?s=40&v=4", + "name": "allevato", + "url": "https://github.com/allevato" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", + "name": "keith", + "url": "https://github.com/keith" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/158658?s=40&v=4", + "name": "brentleyjones", + "url": "https://github.com/brentleyjones" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5279928?s=40&v=4", + "name": "thomasvl", + "url": "https://github.com/thomasvl" + } + ] + }, + { + "title": "bazelbuild/rules_rust", + "url": "https://github.com/bazelbuild/rules_rust", + "description": "Rust rules for Bazel", + "language": "Starlark", + "languageColor": "#76d275", + "stars": "671", + "forks": "434", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26427366?s=40&v=4", + "name": "UebelAndre", + "url": "https://github.com/UebelAndre" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1131704?s=40&v=4", + "name": "illicitonion", + "url": "https://github.com/illicitonion" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/814566?s=40&v=4", + "name": "hlopko", + "url": "https://github.com/hlopko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3721087?s=40&v=4", + "name": "damienmg", + "url": "https://github.com/damienmg" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11149636?s=40&v=4", + "name": "scentini", + "url": "https://github.com/scentini" + } + ] + }, { "title": "rules-proto-grpc/rules_proto_grpc", "url": "https://github.com/rules-proto-grpc/rules_proto_grpc", @@ -767,43 +772,6 @@ "url": "https://github.com/or-shachar" } ] - }, - { - "title": "bazelbuild/apple_support", - "url": "https://github.com/bazelbuild/apple_support", - "description": "Apple support for Bazel rules", - "language": "Starlark", - "languageColor": "#76d275", - "stars": "83", - "forks": "38", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", - "name": "keith", - "url": "https://github.com/keith" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5279928?s=40&v=4", - "name": "thomasvl", - "url": "https://github.com/thomasvl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/158658?s=40&v=4", - "name": "brentleyjones", - "url": "https://github.com/brentleyjones" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/689198?s=40&v=4", - "name": "sergiocampama", - "url": "https://github.com/sergiocampama" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3658887?s=40&v=4", - "name": "BalestraPatrick", - "url": "https://github.com/BalestraPatrick" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/starlark.xml b/data/weekly/starlark.xml index b9bbf59ceed6..e886fc32ade1 100644 --- a/data/weekly/starlark.xml +++ b/data/weekly/starlark.xml @@ -3,7 +3,7 @@ GitHub Starlark Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Starlark Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT google/cel-spec https://github.com/google/cel-spec @@ -11,7 +11,7 @@ https://github.com/google/cel-spec Starlark #76d275 - 2,922 + 2,923 226 16 @@ -109,35 +109,35 @@ - googleapis/api-common-protos - https://github.com/googleapis/api-common-protos - A standard library for use in specifying protocol buffer APIs. - https://github.com/googleapis/api-common-protos + googleapis/googleapis + https://github.com/googleapis/googleapis + Public interface definitions of Google APIs. + https://github.com/googleapis/googleapis Starlark #76d275 - 252 - 64 - 0 + 7,637 + 2,337 + 14 - - https://avatars.githubusercontent.com/u/11670828?s=40&v=4 - ethanbao - https://github.com/ethanbao - https://avatars.githubusercontent.com/u/21183283?s=40&v=4 googleapis-publisher https://github.com/googleapis-publisher - https://avatars.githubusercontent.com/u/4346?s=40&v=4 - lukesneeringer - https://github.com/lukesneeringer + https://avatars.githubusercontent.com/u/1617025?s=40&v=4 + pongad + https://github.com/pongad - https://avatars.githubusercontent.com/u/2960535?s=40&v=4 - software-dov - https://github.com/software-dov + https://avatars.githubusercontent.com/u/25352356?s=40&v=4 + neozwu + https://github.com/neozwu + + + https://avatars.githubusercontent.com/u/14846209?s=40&v=4 + michaelbausor + https://github.com/michaelbausor @@ -180,40 +180,35 @@ - bazelbuild/rules_rust - https://github.com/bazelbuild/rules_rust - Rust rules for Bazel - https://github.com/bazelbuild/rules_rust + googleapis/api-common-protos + https://github.com/googleapis/api-common-protos + A standard library for use in specifying protocol buffer APIs. + https://github.com/googleapis/api-common-protos Starlark #76d275 - 671 - 434 - 2 + 252 + 64 + 0 - https://avatars.githubusercontent.com/u/26427366?s=40&v=4 - UebelAndre - https://github.com/UebelAndre - - - https://avatars.githubusercontent.com/u/1131704?s=40&v=4 - illicitonion - https://github.com/illicitonion + https://avatars.githubusercontent.com/u/11670828?s=40&v=4 + ethanbao + https://github.com/ethanbao - https://avatars.githubusercontent.com/u/814566?s=40&v=4 - hlopko - https://github.com/hlopko + https://avatars.githubusercontent.com/u/21183283?s=40&v=4 + googleapis-publisher + https://github.com/googleapis-publisher - https://avatars.githubusercontent.com/u/3721087?s=40&v=4 - damienmg - https://github.com/damienmg + https://avatars.githubusercontent.com/u/4346?s=40&v=4 + lukesneeringer + https://github.com/lukesneeringer - https://avatars.githubusercontent.com/u/11149636?s=40&v=4 - scentini - https://github.com/scentini + https://avatars.githubusercontent.com/u/2960535?s=40&v=4 + software-dov + https://github.com/software-dov @@ -255,77 +250,6 @@ - - cncf/xds - https://github.com/cncf/xds - xDS API Working Group - https://github.com/cncf/xds - Starlark - #76d275 - 206 - 72 - 0 - - - https://avatars.githubusercontent.com/u/672669?s=40&v=4 - sergiitk - https://github.com/sergiitk - - - https://avatars.githubusercontent.com/u/6032561?s=40&v=4 - mmorel-35 - https://github.com/mmorel-35 - - - https://avatars.githubusercontent.com/u/9537734?s=40&v=4 - kyessenov - https://github.com/kyessenov - - - https://avatars.githubusercontent.com/u/283886?s=40&v=4 - keith - https://github.com/keith - - - https://avatars.githubusercontent.com/u/18664614?s=40&v=4 - markdroth - https://github.com/markdroth - - - - - googleapis/googleapis - https://github.com/googleapis/googleapis - Public interface definitions of Google APIs. - https://github.com/googleapis/googleapis - Starlark - #76d275 - 7,637 - 2,339 - 14 - - - https://avatars.githubusercontent.com/u/21183283?s=40&v=4 - googleapis-publisher - https://github.com/googleapis-publisher - - - https://avatars.githubusercontent.com/u/1617025?s=40&v=4 - pongad - https://github.com/pongad - - - https://avatars.githubusercontent.com/u/25352356?s=40&v=4 - neozwu - https://github.com/neozwu - - - https://avatars.githubusercontent.com/u/14846209?s=40&v=4 - michaelbausor - https://github.com/michaelbausor - - - bazel-contrib/rules_oci https://github.com/bazel-contrib/rules_oci @@ -435,6 +359,44 @@ + + cncf/xds + https://github.com/cncf/xds + xDS API Working Group + https://github.com/cncf/xds + Starlark + #76d275 + 206 + 72 + 0 + + + https://avatars.githubusercontent.com/u/672669?s=40&v=4 + sergiitk + https://github.com/sergiitk + + + https://avatars.githubusercontent.com/u/6032561?s=40&v=4 + mmorel-35 + https://github.com/mmorel-35 + + + https://avatars.githubusercontent.com/u/9537734?s=40&v=4 + kyessenov + https://github.com/kyessenov + + + https://avatars.githubusercontent.com/u/283886?s=40&v=4 + keith + https://github.com/keith + + + https://avatars.githubusercontent.com/u/18664614?s=40&v=4 + markdroth + https://github.com/markdroth + + + bazelbuild/rules_python https://github.com/bazelbuild/rules_python @@ -468,39 +430,6 @@ - - aspect-build/bazel-examples - https://github.com/aspect-build/bazel-examples - Bazel examples - https://github.com/aspect-build/bazel-examples - Starlark - #76d275 - 113 - 72 - 1 - - - https://avatars.githubusercontent.com/u/520826?s=40&v=4 - gregmagolan - https://github.com/gregmagolan - - - https://avatars.githubusercontent.com/u/47395?s=40&v=4 - alexeagle - https://github.com/alexeagle - - - https://avatars.githubusercontent.com/u/89246?s=40&v=4 - jbedard - https://github.com/jbedard - - - https://avatars.githubusercontent.com/u/8799?s=40&v=4 - kylecordes - https://github.com/kylecordes - - - bazelbuild/rules_proto https://github.com/bazelbuild/rules_proto @@ -535,40 +464,40 @@ - bazelbuild/rules_docker - https://github.com/bazelbuild/rules_docker - Rules for building and handling Docker images with Bazel - https://github.com/bazelbuild/rules_docker + google/bazel-common + https://github.com/google/bazel-common + Common functionality for Google's open-source libraries that are built with bazel. + https://github.com/google/bazel-common Starlark #76d275 - 1,074 - 692 - 0 + 87 + 41 + 1 - https://avatars.githubusercontent.com/u/2442466?s=40&v=4 - mattmoor - https://github.com/mattmoor + https://avatars.githubusercontent.com/u/792797?s=40&v=4 + ronshapiro + https://github.com/ronshapiro - https://avatars.githubusercontent.com/u/25180681?s=40&v=4 - renovate-bot - https://github.com/renovate-bot + https://avatars.githubusercontent.com/u/1703908?s=40&v=4 + cpovirk + https://github.com/cpovirk - https://avatars.githubusercontent.com/u/4062751?s=40&v=4 - nlopezgi - https://github.com/nlopezgi + https://avatars.githubusercontent.com/u/101568?s=40&v=4 + cgdecker + https://github.com/cgdecker - https://avatars.githubusercontent.com/u/8533249?s=40&v=4 - smukherj1 - https://github.com/smukherj1 + https://avatars.githubusercontent.com/u/4306377?s=40&v=4 + netdpb + https://github.com/netdpb - https://avatars.githubusercontent.com/u/42874203?s=40&v=4 - alex1545 - https://github.com/alex1545 + https://avatars.githubusercontent.com/u/2928034?s=40&v=4 + bcorso + https://github.com/bcorso @@ -611,73 +540,78 @@ - google/bazel-common - https://github.com/google/bazel-common - Common functionality for Google's open-source libraries that are built with bazel. - https://github.com/google/bazel-common + bazelbuild/bazel-skylib + https://github.com/bazelbuild/bazel-skylib + Common useful functions and rules for Bazel + https://github.com/bazelbuild/bazel-skylib Starlark #76d275 - 87 - 41 + 395 + 180 1 - https://avatars.githubusercontent.com/u/792797?s=40&v=4 - ronshapiro - https://github.com/ronshapiro + https://avatars.githubusercontent.com/u/13687552?s=40&v=4 + c-parsons + https://github.com/c-parsons - https://avatars.githubusercontent.com/u/1703908?s=40&v=4 - cpovirk - https://github.com/cpovirk + https://avatars.githubusercontent.com/u/52517?s=40&v=4 + tetromino + https://github.com/tetromino - https://avatars.githubusercontent.com/u/101568?s=40&v=4 - cgdecker - https://github.com/cgdecker + https://avatars.githubusercontent.com/u/5279928?s=40&v=4 + thomasvl + https://github.com/thomasvl - https://avatars.githubusercontent.com/u/4306377?s=40&v=4 - netdpb - https://github.com/netdpb + https://avatars.githubusercontent.com/u/10532244?s=40&v=4 + laszlocsomor + https://github.com/laszlocsomor - https://avatars.githubusercontent.com/u/2928034?s=40&v=4 - bcorso - https://github.com/bcorso + https://avatars.githubusercontent.com/u/3044252?s=40&v=4 + aiuto + https://github.com/aiuto - bazelbuild/rules_swift - https://github.com/bazelbuild/rules_swift - Bazel rules to build Swift on Apple and Linux platforms - https://github.com/bazelbuild/rules_swift + ethpandaops/ethereum-package + https://github.com/ethpandaops/ethereum-package + A Kurtosis package that deploys a private, portable, and modular Ethereum devnet + https://github.com/ethpandaops/ethereum-package Starlark #76d275 - 313 - 138 - 1 + 260 + 151 + 4 - https://avatars.githubusercontent.com/u/1062427?s=40&v=4 - allevato - https://github.com/allevato + https://avatars.githubusercontent.com/u/6670312?s=40&v=4 + h4ck3rk3y + https://github.com/h4ck3rk3y - https://avatars.githubusercontent.com/u/283886?s=40&v=4 - keith - https://github.com/keith + https://avatars.githubusercontent.com/u/21987084?s=40&v=4 + barnabasbusa + https://github.com/barnabasbusa - https://avatars.githubusercontent.com/u/158658?s=40&v=4 - brentleyjones - https://github.com/brentleyjones + https://avatars.githubusercontent.com/u/491045?s=40&v=4 + pk910 + https://github.com/pk910 - https://avatars.githubusercontent.com/u/5279928?s=40&v=4 - thomasvl - https://github.com/thomasvl + https://avatars.githubusercontent.com/u/17509050?s=40&v=4 + parithosh + https://github.com/parithosh + + + https://avatars.githubusercontent.com/u/1737857?s=40&v=4 + victorcolombo + https://github.com/victorcolombo @@ -719,6 +653,77 @@ + + bazelbuild/rules_swift + https://github.com/bazelbuild/rules_swift + Bazel rules to build Swift on Apple and Linux platforms + https://github.com/bazelbuild/rules_swift + Starlark + #76d275 + 313 + 138 + 1 + + + https://avatars.githubusercontent.com/u/1062427?s=40&v=4 + allevato + https://github.com/allevato + + + https://avatars.githubusercontent.com/u/283886?s=40&v=4 + keith + https://github.com/keith + + + https://avatars.githubusercontent.com/u/158658?s=40&v=4 + brentleyjones + https://github.com/brentleyjones + + + https://avatars.githubusercontent.com/u/5279928?s=40&v=4 + thomasvl + https://github.com/thomasvl + + + + + bazelbuild/rules_rust + https://github.com/bazelbuild/rules_rust + Rust rules for Bazel + https://github.com/bazelbuild/rules_rust + Starlark + #76d275 + 671 + 434 + 2 + + + https://avatars.githubusercontent.com/u/26427366?s=40&v=4 + UebelAndre + https://github.com/UebelAndre + + + https://avatars.githubusercontent.com/u/1131704?s=40&v=4 + illicitonion + https://github.com/illicitonion + + + https://avatars.githubusercontent.com/u/814566?s=40&v=4 + hlopko + https://github.com/hlopko + + + https://avatars.githubusercontent.com/u/3721087?s=40&v=4 + damienmg + https://github.com/damienmg + + + https://avatars.githubusercontent.com/u/11149636?s=40&v=4 + scentini + https://github.com/scentini + + + rules-proto-grpc/rules_proto_grpc https://github.com/rules-proto-grpc/rules_proto_grpc @@ -790,43 +795,5 @@ - - bazelbuild/apple_support - https://github.com/bazelbuild/apple_support - Apple support for Bazel rules - https://github.com/bazelbuild/apple_support - Starlark - #76d275 - 83 - 38 - 0 - - - https://avatars.githubusercontent.com/u/283886?s=40&v=4 - keith - https://github.com/keith - - - https://avatars.githubusercontent.com/u/5279928?s=40&v=4 - thomasvl - https://github.com/thomasvl - - - https://avatars.githubusercontent.com/u/158658?s=40&v=4 - brentleyjones - https://github.com/brentleyjones - - - https://avatars.githubusercontent.com/u/689198?s=40&v=4 - sergiocampama - https://github.com/sergiocampama - - - https://avatars.githubusercontent.com/u/3658887?s=40&v=4 - BalestraPatrick - https://github.com/BalestraPatrick - - - \ No newline at end of file diff --git a/data/weekly/stata.json b/data/weekly/stata.json index f06e8f0b4d9a..3f6ee3b65b2f 100644 --- a/data/weekly/stata.json +++ b/data/weekly/stata.json @@ -2,6 +2,6 @@ "title": "GitHub Stata Languages Weekly Trending", "description": "Weekly Trending of Stata Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/stata.xml b/data/weekly/stata.xml index 0f51a788a4b2..8f2401fd9216 100644 --- a/data/weekly/stata.xml +++ b/data/weekly/stata.xml @@ -3,6 +3,6 @@ GitHub Stata Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Stata Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/stl.json b/data/weekly/stl.json index 8df0dbabd8db..e3fafd4d6e90 100644 --- a/data/weekly/stl.json +++ b/data/weekly/stl.json @@ -2,6 +2,6 @@ "title": "GitHub Stl Languages Weekly Trending", "description": "Weekly Trending of Stl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/stl.xml b/data/weekly/stl.xml index 6201f69802e2..eaca02782ca1 100644 --- a/data/weekly/stl.xml +++ b/data/weekly/stl.xml @@ -3,6 +3,6 @@ GitHub Stl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Stl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/ston.json b/data/weekly/ston.json index b68d39ab3863..56288fead653 100644 --- a/data/weekly/ston.json +++ b/data/weekly/ston.json @@ -2,6 +2,6 @@ "title": "GitHub Ston Languages Weekly Trending", "description": "Weekly Trending of Ston Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ston.xml b/data/weekly/ston.xml index 5e600be93d2c..1d54e0b22cdb 100644 --- a/data/weekly/ston.xml +++ b/data/weekly/ston.xml @@ -3,6 +3,6 @@ GitHub Ston Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ston Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/stringtemplate.json b/data/weekly/stringtemplate.json index c15233af37ac..9192161389cb 100644 --- a/data/weekly/stringtemplate.json +++ b/data/weekly/stringtemplate.json @@ -2,6 +2,6 @@ "title": "GitHub Stringtemplate Languages Weekly Trending", "description": "Weekly Trending of Stringtemplate Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/stringtemplate.xml b/data/weekly/stringtemplate.xml index e217e7c1d6ac..acb3dfd1a9c6 100644 --- a/data/weekly/stringtemplate.xml +++ b/data/weekly/stringtemplate.xml @@ -3,6 +3,6 @@ GitHub Stringtemplate Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Stringtemplate Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/stylus.json b/data/weekly/stylus.json index 26d3b3fbdcc1..14b2631192ba 100644 --- a/data/weekly/stylus.json +++ b/data/weekly/stylus.json @@ -2,7 +2,7 @@ "title": "GitHub Stylus Languages Weekly Trending", "description": "Weekly Trending of Stylus Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "anzhiyu-c/hexo-theme-anzhiyu", @@ -10,8 +10,8 @@ "description": "安知鱼主题,这是一个简洁美丽的hexo主题。", "language": "Stylus", "languageColor": "#ff6347", - "stars": "1,553", - "forks": "229", + "stars": "1,554", + "forks": "230", "addStars": "21", "contributors": [ { diff --git a/data/weekly/stylus.xml b/data/weekly/stylus.xml index 9504603fa16c..ecdf47209469 100644 --- a/data/weekly/stylus.xml +++ b/data/weekly/stylus.xml @@ -3,7 +3,7 @@ GitHub Stylus Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Stylus Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT anzhiyu-c/hexo-theme-anzhiyu https://github.com/anzhiyu-c/hexo-theme-anzhiyu @@ -11,8 +11,8 @@ https://github.com/anzhiyu-c/hexo-theme-anzhiyu Stylus #ff6347 - 1,553 - 229 + 1,554 + 230 21 diff --git a/data/weekly/subrip-text.json b/data/weekly/subrip-text.json index bde3e38e6855..7ab0b23a2063 100644 --- a/data/weekly/subrip-text.json +++ b/data/weekly/subrip-text.json @@ -2,6 +2,6 @@ "title": "GitHub Subrip-text Languages Weekly Trending", "description": "Weekly Trending of Subrip-text Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/subrip-text.xml b/data/weekly/subrip-text.xml index 30a4b9ba563b..d4709ac1ca58 100644 --- a/data/weekly/subrip-text.xml +++ b/data/weekly/subrip-text.xml @@ -3,6 +3,6 @@ GitHub Subrip-text Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Subrip-text Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sugarss.json b/data/weekly/sugarss.json index 70415e82ab2e..0e0aaed2792e 100644 --- a/data/weekly/sugarss.json +++ b/data/weekly/sugarss.json @@ -2,6 +2,6 @@ "title": "GitHub Sugarss Languages Weekly Trending", "description": "Weekly Trending of Sugarss Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sugarss.xml b/data/weekly/sugarss.xml index 94ecb1dcbdb9..cf787b9d0cc4 100644 --- a/data/weekly/sugarss.xml +++ b/data/weekly/sugarss.xml @@ -3,6 +3,6 @@ GitHub Sugarss Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sugarss Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/supercollider.json b/data/weekly/supercollider.json index e00fa687c4b3..c87c4fb010cc 100644 --- a/data/weekly/supercollider.json +++ b/data/weekly/supercollider.json @@ -2,6 +2,6 @@ "title": "GitHub Supercollider Languages Weekly Trending", "description": "Weekly Trending of Supercollider Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/supercollider.xml b/data/weekly/supercollider.xml index ee12121fb8c5..989b5e519d76 100644 --- a/data/weekly/supercollider.xml +++ b/data/weekly/supercollider.xml @@ -3,6 +3,6 @@ GitHub Supercollider Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Supercollider Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/svelte.json b/data/weekly/svelte.json index 7078f5e59ad8..3a507e8a9523 100644 --- a/data/weekly/svelte.json +++ b/data/weekly/svelte.json @@ -2,7 +2,7 @@ "title": "GitHub Svelte Languages Weekly Trending", "description": "Weekly Trending of Svelte Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "leaningtech/webvm", @@ -10,8 +10,8 @@ "description": "Virtual Machine for the Web", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "10,328", - "forks": "1,546", + "stars": "10,345", + "forks": "1,552", "addStars": "4,062", "contributors": [ { @@ -41,13 +41,50 @@ } ] }, + { + "title": "imputnet/cobalt", + "url": "https://github.com/imputnet/cobalt", + "description": "best way to save what you love", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "17,403", + "forks": "1,425", + "addStars": "277", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/71202418?s=40&v=4", + "name": "wukko", + "url": "https://github.com/wukko" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/136796770?s=40&v=4", + "name": "dumbmoron", + "url": "https://github.com/dumbmoron" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7025343?s=40&v=4", + "name": "Snazzah", + "url": "https://github.com/Snazzah" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/110288996?s=40&v=4", + "name": "lexito-o", + "url": "https://github.com/lexito-o" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/79092746?s=40&v=4", + "name": "KwiatekMiki", + "url": "https://github.com/KwiatekMiki" + } + ] + }, { "title": "saadeghi/daisyui", "url": "https://github.com/saadeghi/daisyui", "description": "🌼 🌼 🌼 🌼 🌼  The most popular, free and open-source Tailwind CSS component library", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "34,174", + "stars": "34,179", "forks": "1,306", "addStars": "125", "contributors": [ @@ -79,39 +116,61 @@ ] }, { - "title": "imputnet/cobalt", - "url": "https://github.com/imputnet/cobalt", - "description": "best way to save what you love", + "title": "chroxify/haptic", + "url": "https://github.com/chroxify/haptic", + "description": "A new local-first, privacy-focused and open-source home for your markdown notes", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "17,394", - "forks": "1,424", - "addStars": "277", + "stars": "994", + "forks": "19", + "addStars": "94", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/71202418?s=40&v=4", - "name": "wukko", - "url": "https://github.com/wukko" + "avatar": "https://avatars.githubusercontent.com/u/65873518?s=40&v=4", + "name": "chroxify", + "url": "https://github.com/chroxify" }, { - "avatar": "https://avatars.githubusercontent.com/u/136796770?s=40&v=4", - "name": "dumbmoron", - "url": "https://github.com/dumbmoron" + "avatar": "https://avatars.githubusercontent.com/u/43832467?s=40&v=4", + "name": "Waaiez", + "url": "https://github.com/Waaiez" }, { - "avatar": "https://avatars.githubusercontent.com/u/7025343?s=40&v=4", - "name": "Snazzah", - "url": "https://github.com/Snazzah" + "avatar": "https://avatars.githubusercontent.com/u/60001922?s=40&v=4", + "name": "dpi0", + "url": "https://github.com/dpi0" + } + ] + }, + { + "title": "dbgate/dbgate", + "url": "https://github.com/dbgate/dbgate", + "description": "Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "5,478", + "forks": "321", + "addStars": "53", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2543891?s=40&v=4", + "name": "janproch", + "url": "https://github.com/janproch" }, { - "avatar": "https://avatars.githubusercontent.com/u/110288996?s=40&v=4", - "name": "lexito-o", - "url": "https://github.com/lexito-o" + "avatar": "https://avatars.githubusercontent.com/u/593870?s=40&v=4", + "name": "ProjectInfinity", + "url": "https://github.com/ProjectInfinity" }, { - "avatar": "https://avatars.githubusercontent.com/u/79092746?s=40&v=4", - "name": "KwiatekMiki", - "url": "https://github.com/KwiatekMiki" + "avatar": "https://avatars.githubusercontent.com/u/10557728?s=40&v=4", + "name": "Bare7a", + "url": "https://github.com/Bare7a" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40572953?s=40&v=4", + "name": "KKishikawa", + "url": "https://github.com/KKishikawa" } ] }, @@ -158,8 +217,8 @@ "description": "A based rollup. 🥁 🌸", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "4,542", - "forks": "2,175", + "stars": "4,543", + "forks": "2,176", "addStars": "6", "contributors": [ { @@ -189,82 +248,13 @@ } ] }, - { - "title": "vkurko/calendar", - "url": "https://github.com/vkurko/calendar", - "description": "Full-sized drag & drop JavaScript event calendar with resource & timeline views", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "1,364", - "forks": "117", - "addStars": "18", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1073174?s=40&v=4", - "name": "vkurko", - "url": "https://github.com/vkurko" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/362092?s=40&v=4", - "name": "mweimerskirch", - "url": "https://github.com/mweimerskirch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/24477241?s=40&v=4", - "name": "mrvnklm", - "url": "https://github.com/mrvnklm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/416356?s=40&v=4", - "name": "ademaro", - "url": "https://github.com/ademaro" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10963468?s=40&v=4", - "name": "moka-ayumu", - "url": "https://github.com/moka-ayumu" - } - ] - }, - { - "title": "dbgate/dbgate", - "url": "https://github.com/dbgate/dbgate", - "description": "Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "5,467", - "forks": "321", - "addStars": "53", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2543891?s=40&v=4", - "name": "janproch", - "url": "https://github.com/janproch" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/593870?s=40&v=4", - "name": "ProjectInfinity", - "url": "https://github.com/ProjectInfinity" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10557728?s=40&v=4", - "name": "Bare7a", - "url": "https://github.com/Bare7a" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/40572953?s=40&v=4", - "name": "KKishikawa", - "url": "https://github.com/KKishikawa" - } - ] - }, { "title": "themesberg/flowbite-svelte", "url": "https://github.com/themesberg/flowbite-svelte", "description": "Official Svelte components built for Flowbite and Tailwind CSS", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "2,239", + "stars": "2,240", "forks": "273", "addStars": "20", "contributors": [ @@ -295,43 +285,6 @@ } ] }, - { - "title": "PuruVJ/macos-web", - "url": "https://github.com/PuruVJ/macos-web", - "description": "", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "2,276", - "forks": "250", - "addStars": "15", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/47742487?s=40&v=4", - "name": "PuruVJ", - "url": "https://github.com/PuruVJ" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/26971?s=40&v=4", - "name": "davej", - "url": "https://github.com/davej" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/180326?s=40&v=4", - "name": "jimt", - "url": "https://github.com/jimt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/788827?s=40&v=4", - "name": "mikenikles", - "url": "https://github.com/mikenikles" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1243002?s=40&v=4", - "name": "mrwatson-de", - "url": "https://github.com/mrwatson-de" - } - ] - }, { "title": "ThaUnknown/miru", "url": "https://github.com/ThaUnknown/miru", @@ -339,7 +292,7 @@ "language": "Svelte", "languageColor": "#ff3e00", "stars": "2,673", - "forks": "142", + "forks": "143", "addStars": "35", "contributors": [ { @@ -401,43 +354,6 @@ } ] }, - { - "title": "matt8707/ha-fusion", - "url": "https://github.com/matt8707/ha-fusion", - "description": "A modern, easy-to-use and performant custom Home Assistant dashboard", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "2,267", - "forks": "104", - "addStars": "18", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/36163594?s=40&v=4", - "name": "matt8707", - "url": "https://github.com/matt8707" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/57987505?s=40&v=4", - "name": "carsten-walther", - "url": "https://github.com/carsten-walther" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7600557?s=40&v=4", - "name": "EvanBarbour3", - "url": "https://github.com/EvanBarbour3" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1327947?s=40&v=4", - "name": "xrolfex", - "url": "https://github.com/xrolfex" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2185791?s=40&v=4", - "name": "anarion80", - "url": "https://github.com/anarion80" - } - ] - }, { "title": "fireship-io/fireship.io", "url": "https://github.com/fireship-io/fireship.io", @@ -445,7 +361,7 @@ "language": "Svelte", "languageColor": "#ff3e00", "stars": "3,594", - "forks": "1,323", + "forks": "1,322", "addStars": "9", "contributors": [ { @@ -476,39 +392,103 @@ ] }, { - "title": "gurucomputing/headscale-ui", - "url": "https://github.com/gurucomputing/headscale-ui", - "description": "A web frontend for the headscale Tailscale-compatible coordination server", + "title": "PuruVJ/macos-web", + "url": "https://github.com/PuruVJ/macos-web", + "description": "", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "2,276", + "forks": "250", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/47742487?s=40&v=4", + "name": "PuruVJ", + "url": "https://github.com/PuruVJ" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/26971?s=40&v=4", + "name": "davej", + "url": "https://github.com/davej" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/180326?s=40&v=4", + "name": "jimt", + "url": "https://github.com/jimt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/788827?s=40&v=4", + "name": "mikenikles", + "url": "https://github.com/mikenikles" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1243002?s=40&v=4", + "name": "mrwatson-de", + "url": "https://github.com/mrwatson-de" + } + ] + }, + { + "title": "JacobLinCool/d1-manager", + "url": "https://github.com/JacobLinCool/d1-manager", + "description": "D1 Manager is a web UI and API for Cloudflare D1, a serverless SQL database. It provides a web interface for managing databases, tables, and records, as well as an AI assistant to help you write query in natural language.", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "1,779", - "forks": "127", - "addStars": "11", + "stars": "454", + "forks": "229", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/45954722?s=40&v=4", - "name": "routerino", - "url": "https://github.com/routerino" + "avatar": "https://avatars.githubusercontent.com/u/28478594?s=40&v=4", + "name": "JacobLinCool", + "url": "https://github.com/JacobLinCool" }, { - "avatar": "https://avatars.githubusercontent.com/u/10323274?s=40&v=4", - "name": "xzzpig", - "url": "https://github.com/xzzpig" + "avatar": "https://avatars.githubusercontent.com/u/68887001?s=40&v=4", + "name": "fernandodilland", + "url": "https://github.com/fernandodilland" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/964077?s=40&v=4", + "name": "moalamri", + "url": "https://github.com/moalamri" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/498766?s=40&v=4", + "name": "zeviance", + "url": "https://github.com/zeviance" + } + ] + }, + { + "title": "marcusolsson/obsidian-projects", + "url": "https://github.com/marcusolsson/obsidian-projects", + "description": "Plain text project planning in Obsidian", + "language": "Svelte", + "languageColor": "#ff3e00", + "stars": "1,489", + "forks": "60", + "addStars": "10", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/8396880?s=40&v=4", + "name": "marcusolsson", + "url": "https://github.com/marcusolsson" }, { - "avatar": "https://avatars.githubusercontent.com/u/1464185?s=40&v=4", - "name": "adrum", - "url": "https://github.com/adrum" + "avatar": "https://avatars.githubusercontent.com/u/73122375?s=40&v=4", + "name": "Acylation", + "url": "https://github.com/Acylation" }, { - "avatar": "https://avatars.githubusercontent.com/u/63209974?s=40&v=4", - "name": "fedefreue", - "url": "https://github.com/fedefreue" + "avatar": "https://avatars.githubusercontent.com/u/64155612?s=40&v=4", + "name": "GitMurf", + "url": "https://github.com/GitMurf" }, { - "avatar": "https://avatars.githubusercontent.com/u/213140?s=40&v=4", - "name": "Niek", - "url": "https://github.com/Niek" + "avatar": "https://avatars.githubusercontent.com/u/7078603?s=40&v=4", + "name": "H3mul", + "url": "https://github.com/H3mul" } ] }, @@ -518,7 +498,7 @@ "description": "A Radio Script for Fivem Server", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "113", + "stars": "114", "forks": "44", "addStars": "1", "contributors": [ @@ -549,45 +529,13 @@ } ] }, - { - "title": "JacobLinCool/d1-manager", - "url": "https://github.com/JacobLinCool/d1-manager", - "description": "D1 Manager is a web UI and API for Cloudflare D1, a serverless SQL database. It provides a web interface for managing databases, tables, and records, as well as an AI assistant to help you write query in natural language.", - "language": "Svelte", - "languageColor": "#ff3e00", - "stars": "454", - "forks": "229", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/28478594?s=40&v=4", - "name": "JacobLinCool", - "url": "https://github.com/JacobLinCool" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/68887001?s=40&v=4", - "name": "fernandodilland", - "url": "https://github.com/fernandodilland" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/964077?s=40&v=4", - "name": "moalamri", - "url": "https://github.com/moalamri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/498766?s=40&v=4", - "name": "zeviance", - "url": "https://github.com/zeviance" - } - ] - }, { "title": "threlte/threlte", "url": "https://github.com/threlte/threlte", "description": "3D framework for Svelte", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "2,507", + "stars": "2,508", "forks": "132", "addStars": "16", "contributors": [ @@ -614,34 +562,34 @@ ] }, { - "title": "seanmorley15/AdventureLog", - "url": "https://github.com/seanmorley15/AdventureLog", - "description": "Self-hostable travel tracker and trip planner.", + "title": "Materialious/Materialious", + "url": "https://github.com/Materialious/Materialious", + "description": "Modern material design for Invidious.", "language": "Svelte", "languageColor": "#ff3e00", - "stars": "594", - "forks": "15", - "addStars": "19", + "stars": "570", + "forks": "26", + "addStars": "13", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/98704938?s=40&v=4", - "name": "seanmorley15", - "url": "https://github.com/seanmorley15" + "avatar": "https://avatars.githubusercontent.com/u/27844174?s=40&v=4", + "name": "WardPearce", + "url": "https://github.com/WardPearce" }, { - "avatar": "https://avatars.githubusercontent.com/u/122745160?s=40&v=4", - "name": "dymek37", - "url": "https://github.com/dymek37" + "avatar": "https://avatars.githubusercontent.com/u/60983392?s=40&v=4", + "name": "araujosemacento", + "url": "https://github.com/araujosemacento" }, { - "avatar": "https://avatars.githubusercontent.com/u/33424179?s=40&v=4", - "name": "MnAppsNet", - "url": "https://github.com/MnAppsNet" + "avatar": "https://avatars.githubusercontent.com/u/30341059?s=40&v=4", + "name": "yzqzss", + "url": "https://github.com/yzqzss" }, { - "avatar": "https://avatars.githubusercontent.com/u/1806188?s=40&v=4", - "name": "tedski", - "url": "https://github.com/tedski" + "avatar": "https://avatars.githubusercontent.com/u/33793273?s=40&v=4", + "name": "SecularSteve", + "url": "https://github.com/SecularSteve" } ] } diff --git a/data/weekly/svelte.xml b/data/weekly/svelte.xml index 094e7a43a607..c7a48b0d2e82 100644 --- a/data/weekly/svelte.xml +++ b/data/weekly/svelte.xml @@ -3,7 +3,7 @@ GitHub Svelte Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Svelte Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT leaningtech/webvm https://github.com/leaningtech/webvm @@ -11,8 +11,8 @@ https://github.com/leaningtech/webvm Svelte #ff3e00 - 10,328 - 1,546 + 10,345 + 1,552 4,062 @@ -42,6 +42,44 @@ + + imputnet/cobalt + https://github.com/imputnet/cobalt + best way to save what you love + https://github.com/imputnet/cobalt + Svelte + #ff3e00 + 17,403 + 1,425 + 277 + + + https://avatars.githubusercontent.com/u/71202418?s=40&v=4 + wukko + https://github.com/wukko + + + https://avatars.githubusercontent.com/u/136796770?s=40&v=4 + dumbmoron + https://github.com/dumbmoron + + + https://avatars.githubusercontent.com/u/7025343?s=40&v=4 + Snazzah + https://github.com/Snazzah + + + https://avatars.githubusercontent.com/u/110288996?s=40&v=4 + lexito-o + https://github.com/lexito-o + + + https://avatars.githubusercontent.com/u/79092746?s=40&v=4 + KwiatekMiki + https://github.com/KwiatekMiki + + + saadeghi/daisyui https://github.com/saadeghi/daisyui @@ -49,7 +87,7 @@ https://github.com/saadeghi/daisyui Svelte #ff3e00 - 34,174 + 34,179 1,306 125 @@ -81,40 +119,63 @@ - imputnet/cobalt - https://github.com/imputnet/cobalt - best way to save what you love - https://github.com/imputnet/cobalt + chroxify/haptic + https://github.com/chroxify/haptic + A new local-first, privacy-focused and open-source home for your markdown notes + https://github.com/chroxify/haptic Svelte #ff3e00 - 17,394 - 1,424 - 277 + 994 + 19 + 94 - https://avatars.githubusercontent.com/u/71202418?s=40&v=4 - wukko - https://github.com/wukko + https://avatars.githubusercontent.com/u/65873518?s=40&v=4 + chroxify + https://github.com/chroxify - https://avatars.githubusercontent.com/u/136796770?s=40&v=4 - dumbmoron - https://github.com/dumbmoron + https://avatars.githubusercontent.com/u/43832467?s=40&v=4 + Waaiez + https://github.com/Waaiez - https://avatars.githubusercontent.com/u/7025343?s=40&v=4 - Snazzah - https://github.com/Snazzah + https://avatars.githubusercontent.com/u/60001922?s=40&v=4 + dpi0 + https://github.com/dpi0 + + + + dbgate/dbgate + https://github.com/dbgate/dbgate + Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application + https://github.com/dbgate/dbgate + Svelte + #ff3e00 + 5,478 + 321 + 53 + - https://avatars.githubusercontent.com/u/110288996?s=40&v=4 - lexito-o - https://github.com/lexito-o + https://avatars.githubusercontent.com/u/2543891?s=40&v=4 + janproch + https://github.com/janproch - https://avatars.githubusercontent.com/u/79092746?s=40&v=4 - KwiatekMiki - https://github.com/KwiatekMiki + https://avatars.githubusercontent.com/u/593870?s=40&v=4 + ProjectInfinity + https://github.com/ProjectInfinity + + + https://avatars.githubusercontent.com/u/10557728?s=40&v=4 + Bare7a + https://github.com/Bare7a + + + https://avatars.githubusercontent.com/u/40572953?s=40&v=4 + KKishikawa + https://github.com/KKishikawa @@ -163,8 +224,8 @@ https://github.com/taikoxyz/taiko-mono Svelte #ff3e00 - 4,542 - 2,175 + 4,543 + 2,176 6 @@ -194,77 +255,6 @@ - - vkurko/calendar - https://github.com/vkurko/calendar - Full-sized drag & drop JavaScript event calendar with resource & timeline views - https://github.com/vkurko/calendar - Svelte - #ff3e00 - 1,364 - 117 - 18 - - - https://avatars.githubusercontent.com/u/1073174?s=40&v=4 - vkurko - https://github.com/vkurko - - - https://avatars.githubusercontent.com/u/362092?s=40&v=4 - mweimerskirch - https://github.com/mweimerskirch - - - https://avatars.githubusercontent.com/u/24477241?s=40&v=4 - mrvnklm - https://github.com/mrvnklm - - - https://avatars.githubusercontent.com/u/416356?s=40&v=4 - ademaro - https://github.com/ademaro - - - https://avatars.githubusercontent.com/u/10963468?s=40&v=4 - moka-ayumu - https://github.com/moka-ayumu - - - - - dbgate/dbgate - https://github.com/dbgate/dbgate - Database manager for MySQL, PostgreSQL, SQL Server, MongoDB, SQLite and others. Runs under Windows, Linux, Mac or as web application - https://github.com/dbgate/dbgate - Svelte - #ff3e00 - 5,467 - 321 - 53 - - - https://avatars.githubusercontent.com/u/2543891?s=40&v=4 - janproch - https://github.com/janproch - - - https://avatars.githubusercontent.com/u/593870?s=40&v=4 - ProjectInfinity - https://github.com/ProjectInfinity - - - https://avatars.githubusercontent.com/u/10557728?s=40&v=4 - Bare7a - https://github.com/Bare7a - - - https://avatars.githubusercontent.com/u/40572953?s=40&v=4 - KKishikawa - https://github.com/KKishikawa - - - themesberg/flowbite-svelte https://github.com/themesberg/flowbite-svelte @@ -272,7 +262,7 @@ https://github.com/themesberg/flowbite-svelte Svelte #ff3e00 - 2,239 + 2,240 273 20 @@ -303,44 +293,6 @@ - - PuruVJ/macos-web - https://github.com/PuruVJ/macos-web - - https://github.com/PuruVJ/macos-web - Svelte - #ff3e00 - 2,276 - 250 - 15 - - - https://avatars.githubusercontent.com/u/47742487?s=40&v=4 - PuruVJ - https://github.com/PuruVJ - - - https://avatars.githubusercontent.com/u/26971?s=40&v=4 - davej - https://github.com/davej - - - https://avatars.githubusercontent.com/u/180326?s=40&v=4 - jimt - https://github.com/jimt - - - https://avatars.githubusercontent.com/u/788827?s=40&v=4 - mikenikles - https://github.com/mikenikles - - - https://avatars.githubusercontent.com/u/1243002?s=40&v=4 - mrwatson-de - https://github.com/mrwatson-de - - - ThaUnknown/miru https://github.com/ThaUnknown/miru @@ -349,7 +301,7 @@ Svelte #ff3e00 2,673 - 142 + 143 35 @@ -412,44 +364,6 @@ - - matt8707/ha-fusion - https://github.com/matt8707/ha-fusion - A modern, easy-to-use and performant custom Home Assistant dashboard - https://github.com/matt8707/ha-fusion - Svelte - #ff3e00 - 2,267 - 104 - 18 - - - https://avatars.githubusercontent.com/u/36163594?s=40&v=4 - matt8707 - https://github.com/matt8707 - - - https://avatars.githubusercontent.com/u/57987505?s=40&v=4 - carsten-walther - https://github.com/carsten-walther - - - https://avatars.githubusercontent.com/u/7600557?s=40&v=4 - EvanBarbour3 - https://github.com/EvanBarbour3 - - - https://avatars.githubusercontent.com/u/1327947?s=40&v=4 - xrolfex - https://github.com/xrolfex - - - https://avatars.githubusercontent.com/u/2185791?s=40&v=4 - anarion80 - https://github.com/anarion80 - - - fireship-io/fireship.io https://github.com/fireship-io/fireship.io @@ -458,7 +372,7 @@ Svelte #ff3e00 3,594 - 1,323 + 1,322 9 @@ -489,40 +403,106 @@ - gurucomputing/headscale-ui - https://github.com/gurucomputing/headscale-ui - A web frontend for the headscale Tailscale-compatible coordination server - https://github.com/gurucomputing/headscale-ui + PuruVJ/macos-web + https://github.com/PuruVJ/macos-web + + https://github.com/PuruVJ/macos-web + Svelte + #ff3e00 + 2,276 + 250 + 15 + + + https://avatars.githubusercontent.com/u/47742487?s=40&v=4 + PuruVJ + https://github.com/PuruVJ + + + https://avatars.githubusercontent.com/u/26971?s=40&v=4 + davej + https://github.com/davej + + + https://avatars.githubusercontent.com/u/180326?s=40&v=4 + jimt + https://github.com/jimt + + + https://avatars.githubusercontent.com/u/788827?s=40&v=4 + mikenikles + https://github.com/mikenikles + + + https://avatars.githubusercontent.com/u/1243002?s=40&v=4 + mrwatson-de + https://github.com/mrwatson-de + + + + + JacobLinCool/d1-manager + https://github.com/JacobLinCool/d1-manager + D1 Manager is a web UI and API for Cloudflare D1, a serverless SQL database. It provides a web interface for managing databases, tables, and records, as well as an AI assistant to help you write query in natural language. + https://github.com/JacobLinCool/d1-manager Svelte #ff3e00 - 1,779 - 127 - 11 + 454 + 229 + 3 - https://avatars.githubusercontent.com/u/45954722?s=40&v=4 - routerino - https://github.com/routerino + https://avatars.githubusercontent.com/u/28478594?s=40&v=4 + JacobLinCool + https://github.com/JacobLinCool + + + https://avatars.githubusercontent.com/u/68887001?s=40&v=4 + fernandodilland + https://github.com/fernandodilland + + + https://avatars.githubusercontent.com/u/964077?s=40&v=4 + moalamri + https://github.com/moalamri + + + https://avatars.githubusercontent.com/u/498766?s=40&v=4 + zeviance + https://github.com/zeviance + + + + marcusolsson/obsidian-projects + https://github.com/marcusolsson/obsidian-projects + Plain text project planning in Obsidian + https://github.com/marcusolsson/obsidian-projects + Svelte + #ff3e00 + 1,489 + 60 + 10 + - https://avatars.githubusercontent.com/u/10323274?s=40&v=4 - xzzpig - https://github.com/xzzpig + https://avatars.githubusercontent.com/u/8396880?s=40&v=4 + marcusolsson + https://github.com/marcusolsson - https://avatars.githubusercontent.com/u/1464185?s=40&v=4 - adrum - https://github.com/adrum + https://avatars.githubusercontent.com/u/73122375?s=40&v=4 + Acylation + https://github.com/Acylation - https://avatars.githubusercontent.com/u/63209974?s=40&v=4 - fedefreue - https://github.com/fedefreue + https://avatars.githubusercontent.com/u/64155612?s=40&v=4 + GitMurf + https://github.com/GitMurf - https://avatars.githubusercontent.com/u/213140?s=40&v=4 - Niek - https://github.com/Niek + https://avatars.githubusercontent.com/u/7078603?s=40&v=4 + H3mul + https://github.com/H3mul @@ -533,7 +513,7 @@ https://github.com/SOH69/mm_radio Svelte #ff3e00 - 113 + 114 44 1 @@ -564,39 +544,6 @@ - - JacobLinCool/d1-manager - https://github.com/JacobLinCool/d1-manager - D1 Manager is a web UI and API for Cloudflare D1, a serverless SQL database. It provides a web interface for managing databases, tables, and records, as well as an AI assistant to help you write query in natural language. - https://github.com/JacobLinCool/d1-manager - Svelte - #ff3e00 - 454 - 229 - 3 - - - https://avatars.githubusercontent.com/u/28478594?s=40&v=4 - JacobLinCool - https://github.com/JacobLinCool - - - https://avatars.githubusercontent.com/u/68887001?s=40&v=4 - fernandodilland - https://github.com/fernandodilland - - - https://avatars.githubusercontent.com/u/964077?s=40&v=4 - moalamri - https://github.com/moalamri - - - https://avatars.githubusercontent.com/u/498766?s=40&v=4 - zeviance - https://github.com/zeviance - - - threlte/threlte https://github.com/threlte/threlte @@ -604,7 +551,7 @@ https://github.com/threlte/threlte Svelte #ff3e00 - 2,507 + 2,508 132 16 @@ -631,35 +578,35 @@ - seanmorley15/AdventureLog - https://github.com/seanmorley15/AdventureLog - Self-hostable travel tracker and trip planner. - https://github.com/seanmorley15/AdventureLog + Materialious/Materialious + https://github.com/Materialious/Materialious + Modern material design for Invidious. + https://github.com/Materialious/Materialious Svelte #ff3e00 - 594 - 15 - 19 + 570 + 26 + 13 - https://avatars.githubusercontent.com/u/98704938?s=40&v=4 - seanmorley15 - https://github.com/seanmorley15 + https://avatars.githubusercontent.com/u/27844174?s=40&v=4 + WardPearce + https://github.com/WardPearce - https://avatars.githubusercontent.com/u/122745160?s=40&v=4 - dymek37 - https://github.com/dymek37 + https://avatars.githubusercontent.com/u/60983392?s=40&v=4 + araujosemacento + https://github.com/araujosemacento - https://avatars.githubusercontent.com/u/33424179?s=40&v=4 - MnAppsNet - https://github.com/MnAppsNet + https://avatars.githubusercontent.com/u/30341059?s=40&v=4 + yzqzss + https://github.com/yzqzss - https://avatars.githubusercontent.com/u/1806188?s=40&v=4 - tedski - https://github.com/tedski + https://avatars.githubusercontent.com/u/33793273?s=40&v=4 + SecularSteve + https://github.com/SecularSteve diff --git a/data/weekly/svg.json b/data/weekly/svg.json index 6a12e66077f1..40a0d2e6243d 100644 --- a/data/weekly/svg.json +++ b/data/weekly/svg.json @@ -2,7 +2,7 @@ "title": "GitHub Svg Languages Weekly Trending", "description": "Weekly Trending of Svg Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tandpfun/skill-icons", @@ -10,8 +10,8 @@ "description": "Showcase your skills on your Github readme or resumé with ease ✨", "language": "SVG", "languageColor": "#ff9900", - "stars": "7,856", - "forks": "1,088", + "stars": "7,858", + "forks": "1,089", "addStars": "78", "contributors": [ { diff --git a/data/weekly/svg.xml b/data/weekly/svg.xml index 6b4df1958b09..d7ae164b1170 100644 --- a/data/weekly/svg.xml +++ b/data/weekly/svg.xml @@ -3,7 +3,7 @@ GitHub Svg Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Svg Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tandpfun/skill-icons https://github.com/tandpfun/skill-icons @@ -11,8 +11,8 @@ https://github.com/tandpfun/skill-icons SVG #ff9900 - 7,856 - 1,088 + 7,858 + 1,089 78 diff --git a/data/weekly/sway.json b/data/weekly/sway.json index 755d015c6745..09a7daf4de9b 100644 --- a/data/weekly/sway.json +++ b/data/weekly/sway.json @@ -2,6 +2,6 @@ "title": "GitHub Sway Languages Weekly Trending", "description": "Weekly Trending of Sway Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sway.xml b/data/weekly/sway.xml index 01143c3897e8..1b329f374dd4 100644 --- a/data/weekly/sway.xml +++ b/data/weekly/sway.xml @@ -3,6 +3,6 @@ GitHub Sway Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sway Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/sweave.json b/data/weekly/sweave.json index 12256db88b4f..c15f69299b36 100644 --- a/data/weekly/sweave.json +++ b/data/weekly/sweave.json @@ -2,6 +2,6 @@ "title": "GitHub Sweave Languages Weekly Trending", "description": "Weekly Trending of Sweave Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/sweave.xml b/data/weekly/sweave.xml index a69bcd934448..e15c1026c7d6 100644 --- a/data/weekly/sweave.xml +++ b/data/weekly/sweave.xml @@ -3,6 +3,6 @@ GitHub Sweave Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Sweave Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/swift.json b/data/weekly/swift.json index 80c19052ea71..94c20c5a2887 100644 --- a/data/weekly/swift.json +++ b/data/weekly/swift.json @@ -2,7 +2,7 @@ "title": "GitHub Swift Languages Weekly Trending", "description": "Weekly Trending of Swift Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "nikitabobko/AeroSpace", @@ -10,7 +10,7 @@ "description": "AeroSpace is an i3-like tiling window manager for macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "7,919", + "stars": "7,946", "forks": "129", "addStars": "455", "contributors": [ @@ -47,8 +47,8 @@ "description": "macOS system monitor in your menu bar", "language": "Swift", "languageColor": "#F05138", - "stars": "26,095", - "forks": "861", + "stars": "26,101", + "forks": "860", "addStars": "187", "contributors": [ { @@ -121,8 +121,8 @@ "description": "Beautiful charts for iOS/tvOS/OSX! The Apple side of the crossplatform MPAndroidChart.", "language": "Swift", "languageColor": "#F05138", - "stars": "27,617", - "forks": "6,005", + "stars": "27,618", + "forks": "6,004", "addStars": "20", "contributors": [ { @@ -152,50 +152,13 @@ } ] }, - { - "title": "p0deje/Maccy", - "url": "https://github.com/p0deje/Maccy", - "description": "Lightweight clipboard manager for macOS", - "language": "Swift", - "languageColor": "#F05138", - "stars": "13,064", - "forks": "550", - "addStars": "107", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/665846?s=40&v=4", - "name": "p0deje", - "url": "https://github.com/p0deje" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31143295?s=40&v=4", - "name": "weisJ", - "url": "https://github.com/weisJ" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/103433299?s=40&v=4", - "name": "phlpsong", - "url": "https://github.com/phlpsong" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/426143?s=40&v=4", - "name": "jodelamo", - "url": "https://github.com/jodelamo" - } - ] - }, { "title": "airbnb/lottie-ios", "url": "https://github.com/airbnb/lottie-ios", "description": "An iOS library to natively render After Effects vector animations", "language": "Swift", "languageColor": "#F05138", - "stars": "25,802", + "stars": "25,803", "forks": "3,752", "addStars": "20", "contributors": [ @@ -226,43 +189,6 @@ } ] }, - { - "title": "realm/SwiftLint", - "url": "https://github.com/realm/SwiftLint", - "description": "A tool to enforce Swift style and conventions.", - "language": "Swift", - "languageColor": "#F05138", - "stars": "18,699", - "forks": "2,227", - "addStars": "17", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/474794?s=40&v=4", - "name": "jpsim", - "url": "https://github.com/jpsim" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/833072?s=40&v=4", - "name": "marcelofabri", - "url": "https://github.com/marcelofabri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/33430?s=40&v=4", - "name": "norio-nomura", - "url": "https://github.com/norio-nomura" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16365760?s=40&v=4", - "name": "SimplyDanny", - "url": "https://github.com/SimplyDanny" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4259250?s=40&v=4", - "name": "scottrhoyt", - "url": "https://github.com/scottrhoyt" - } - ] - }, { "title": "hackiftekhar/IQKeyboardManager", "url": "https://github.com/hackiftekhar/IQKeyboardManager", @@ -270,7 +196,7 @@ "language": "Swift", "languageColor": "#F05138", "stars": "16,481", - "forks": "2,414", + "forks": "2,415", "addStars": "12", "contributors": [ { @@ -300,13 +226,161 @@ } ] }, + { + "title": "jordanbaird/Ice", + "url": "https://github.com/jordanbaird/Ice", + "description": "Powerful menu bar manager for macOS", + "language": "Swift", + "languageColor": "#F05138", + "stars": "14,198", + "forks": "255", + "addStars": "268", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/90936861?s=40&v=4", + "name": "jordanbaird", + "url": "https://github.com/jordanbaird" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2091312?s=40&v=4", + "name": "stonerl", + "url": "https://github.com/stonerl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1892194?s=40&v=4", + "name": "Olshansk", + "url": "https://github.com/Olshansk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4800403?s=40&v=4", + "name": "michyprima", + "url": "https://github.com/michyprima" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/47981941?s=40&v=4", + "name": "arkeane", + "url": "https://github.com/arkeane" + } + ] + }, + { + "title": "p0deje/Maccy", + "url": "https://github.com/p0deje/Maccy", + "description": "Lightweight clipboard manager for macOS", + "language": "Swift", + "languageColor": "#F05138", + "stars": "13,070", + "forks": "551", + "addStars": "107", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/665846?s=40&v=4", + "name": "p0deje", + "url": "https://github.com/p0deje" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31143295?s=40&v=4", + "name": "weisJ", + "url": "https://github.com/weisJ" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", + "name": "weblate", + "url": "https://github.com/weblate" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/103433299?s=40&v=4", + "name": "phlpsong", + "url": "https://github.com/phlpsong" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/426143?s=40&v=4", + "name": "jodelamo", + "url": "https://github.com/jodelamo" + } + ] + }, + { + "title": "apple/swift-nio", + "url": "https://github.com/apple/swift-nio", + "description": "Event-driven network application framework for high performance protocol servers & clients, non-blocking.", + "language": "Swift", + "languageColor": "#F05138", + "stars": "7,992", + "forks": "652", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/624238?s=40&v=4", + "name": "weissi", + "url": "https://github.com/weissi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1382556?s=40&v=4", + "name": "Lukasa", + "url": "https://github.com/Lukasa" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/439362?s=40&v=4", + "name": "normanmaurer", + "url": "https://github.com/normanmaurer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3491887?s=40&v=4", + "name": "FranzBusch", + "url": "https://github.com/FranzBusch" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5047671?s=40&v=4", + "name": "glbrntt", + "url": "https://github.com/glbrntt" + } + ] + }, + { + "title": "pointfreeco/swift-composable-architecture", + "url": "https://github.com/pointfreeco/swift-composable-architecture", + "description": "A library for building applications in a consistent and understandable way, with composition, testing, and ergonomics in mind.", + "language": "Swift", + "languageColor": "#F05138", + "stars": "12,583", + "forks": "1,459", + "addStars": "22", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/658?s=40&v=4", + "name": "stephencelis", + "url": "https://github.com/stephencelis" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/135203?s=40&v=4", + "name": "mbrandonw", + "url": "https://github.com/mbrandonw" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/35562418?s=40&v=4", + "name": "tgrapperon", + "url": "https://github.com/tgrapperon" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/19797367?s=40&v=4", + "name": "iampatbrown", + "url": "https://github.com/iampatbrown" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/71127966?s=40&v=4", + "name": "Jager-yoo", + "url": "https://github.com/Jager-yoo" + } + ] + }, { "title": "Alamofire/Alamofire", "url": "https://github.com/Alamofire/Alamofire", "description": "Elegant HTTP Networking in Swift", "language": "Swift", "languageColor": "#F05138", - "stars": "41,179", + "stars": "41,180", "forks": "7,550", "addStars": "32", "contributors": [ @@ -337,6 +411,43 @@ } ] }, + { + "title": "realm/SwiftLint", + "url": "https://github.com/realm/SwiftLint", + "description": "A tool to enforce Swift style and conventions.", + "language": "Swift", + "languageColor": "#F05138", + "stars": "18,699", + "forks": "2,228", + "addStars": "17", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/474794?s=40&v=4", + "name": "jpsim", + "url": "https://github.com/jpsim" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/833072?s=40&v=4", + "name": "marcelofabri", + "url": "https://github.com/marcelofabri" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33430?s=40&v=4", + "name": "norio-nomura", + "url": "https://github.com/norio-nomura" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16365760?s=40&v=4", + "name": "SimplyDanny", + "url": "https://github.com/SimplyDanny" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4259250?s=40&v=4", + "name": "scottrhoyt", + "url": "https://github.com/scottrhoyt" + } + ] + }, { "title": "SwiftyJSON/SwiftyJSON", "url": "https://github.com/SwiftyJSON/SwiftyJSON", @@ -374,43 +485,6 @@ } ] }, - { - "title": "jordanbaird/Ice", - "url": "https://github.com/jordanbaird/Ice", - "description": "Powerful menu bar manager for macOS", - "language": "Swift", - "languageColor": "#F05138", - "stars": "14,188", - "forks": "254", - "addStars": "268", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/90936861?s=40&v=4", - "name": "jordanbaird", - "url": "https://github.com/jordanbaird" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2091312?s=40&v=4", - "name": "stonerl", - "url": "https://github.com/stonerl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1892194?s=40&v=4", - "name": "Olshansk", - "url": "https://github.com/Olshansk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4800403?s=40&v=4", - "name": "michyprima", - "url": "https://github.com/michyprima" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/47981941?s=40&v=4", - "name": "arkeane", - "url": "https://github.com/arkeane" - } - ] - }, { "title": "nicklockwood/SwiftFormat", "url": "https://github.com/nicklockwood/SwiftFormat", @@ -449,224 +523,187 @@ ] }, { - "title": "apple/swift-nio", - "url": "https://github.com/apple/swift-nio", - "description": "Event-driven network application framework for high performance protocol servers & clients, non-blocking.", + "title": "utmapp/UTM", + "url": "https://github.com/utmapp/UTM", + "description": "Virtual machines for iOS and macOS", "language": "Swift", "languageColor": "#F05138", - "stars": "7,992", - "forks": "651", - "addStars": "9", + "stars": "27,173", + "forks": "1,344", + "addStars": "108", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/624238?s=40&v=4", - "name": "weissi", - "url": "https://github.com/weissi" + "avatar": "https://avatars.githubusercontent.com/u/50960678?s=40&v=4", + "name": "osy", + "url": "https://github.com/osy" }, { - "avatar": "https://avatars.githubusercontent.com/u/1382556?s=40&v=4", - "name": "Lukasa", - "url": "https://github.com/Lukasa" + "avatar": "https://avatars.githubusercontent.com/u/76401173?s=40&v=4", + "name": "osy86", + "url": "https://github.com/osy86" }, { - "avatar": "https://avatars.githubusercontent.com/u/439362?s=40&v=4", - "name": "normanmaurer", - "url": "https://github.com/normanmaurer" + "avatar": "https://avatars.githubusercontent.com/u/28616020?s=40&v=4", + "name": "MMP0", + "url": "https://github.com/MMP0" }, { - "avatar": "https://avatars.githubusercontent.com/u/3491887?s=40&v=4", - "name": "FranzBusch", - "url": "https://github.com/FranzBusch" + "avatar": "https://avatars.githubusercontent.com/u/46277006?s=40&v=4", + "name": "changanmoon", + "url": "https://github.com/changanmoon" }, { - "avatar": "https://avatars.githubusercontent.com/u/5047671?s=40&v=4", - "name": "glbrntt", - "url": "https://github.com/glbrntt" + "avatar": "https://avatars.githubusercontent.com/u/12073163?s=40&v=4", + "name": "conath", + "url": "https://github.com/conath" } ] }, { - "title": "pointfreeco/swift-composable-architecture", - "url": "https://github.com/pointfreeco/swift-composable-architecture", - "description": "A library for building applications in a consistent and understandable way, with composition, testing, and ergonomics in mind.", + "title": "SDWebImage/SDWebImageSwiftUI", + "url": "https://github.com/SDWebImage/SDWebImageSwiftUI", + "description": "SwiftUI Image loading and Animation framework powered by SDWebImage", "language": "Swift", "languageColor": "#F05138", - "stars": "12,582", - "forks": "1,459", - "addStars": "22", + "stars": "2,228", + "forks": "230", + "addStars": "10", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/658?s=40&v=4", - "name": "stephencelis", - "url": "https://github.com/stephencelis" + "avatar": "https://avatars.githubusercontent.com/u/6919743?s=40&v=4", + "name": "dreampiggy", + "url": "https://github.com/dreampiggy" }, { - "avatar": "https://avatars.githubusercontent.com/u/135203?s=40&v=4", - "name": "mbrandonw", - "url": "https://github.com/mbrandonw" + "avatar": "https://avatars.githubusercontent.com/u/987706?s=40&v=4", + "name": "master-nevi", + "url": "https://github.com/master-nevi" }, { - "avatar": "https://avatars.githubusercontent.com/u/35562418?s=40&v=4", - "name": "tgrapperon", - "url": "https://github.com/tgrapperon" + "avatar": "https://avatars.githubusercontent.com/u/14853350?s=40&v=4", + "name": "cci-rmirza", + "url": "https://github.com/cci-rmirza" }, { - "avatar": "https://avatars.githubusercontent.com/u/19797367?s=40&v=4", - "name": "iampatbrown", - "url": "https://github.com/iampatbrown" + "avatar": "https://avatars.githubusercontent.com/u/5673994?s=40&v=4", + "name": "woxtu", + "url": "https://github.com/woxtu" }, { - "avatar": "https://avatars.githubusercontent.com/u/71127966?s=40&v=4", - "name": "Jager-yoo", - "url": "https://github.com/Jager-yoo" + "avatar": "https://avatars.githubusercontent.com/u/11647461?s=40&v=4", + "name": "cozzin", + "url": "https://github.com/cozzin" } ] }, { - "title": "AudioKit/AudioKit", - "url": "https://github.com/AudioKit/AudioKit", - "description": "Audio synthesis, processing, & analysis platform for iOS, macOS and tvOS", + "title": "Swinject/Swinject", + "url": "https://github.com/Swinject/Swinject", + "description": "Dependency injection framework for Swift with iOS/macOS/Linux", "language": "Swift", "languageColor": "#F05138", - "stars": "10,731", - "forks": "1,557", - "addStars": "14", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13122?s=40&v=4", - "name": "aure", - "url": "https://github.com/aure" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/841144?s=40&v=4", - "name": "wtholliday", - "url": "https://github.com/wtholliday" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4977304?s=40&v=4", - "name": "eljeff", - "url": "https://github.com/eljeff" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/115222?s=40&v=4", - "name": "megastep", - "url": "https://github.com/megastep" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2917795?s=40&v=4", - "name": "ryanfrancesconi", - "url": "https://github.com/ryanfrancesconi" - } - ] - }, - { - "title": "facebook/facebook-ios-sdk", - "url": "https://github.com/facebook/facebook-ios-sdk", - "description": "Used to integrate the Facebook Platform with your iOS & tvOS apps.", - "language": "Swift", - "languageColor": "#F05138", - "stars": "7,796", - "forks": "3,563", - "addStars": "4", + "stars": "6,362", + "forks": "519", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/4922820?s=40&v=4", - "name": "joesus", - "url": "https://github.com/joesus" + "avatar": "https://avatars.githubusercontent.com/u/965994?s=40&v=4", + "name": "yoichitgy", + "url": "https://github.com/yoichitgy" }, { - "avatar": "https://avatars.githubusercontent.com/u/202240?s=40&v=4", - "name": "jawwad", - "url": "https://github.com/jawwad" + "avatar": "https://avatars.githubusercontent.com/u/2094466?s=40&v=4", + "name": "jakubvano", + "url": "https://github.com/jakubvano" }, { - "avatar": "https://avatars.githubusercontent.com/u/3129948?s=40&v=4", - "name": "samodom", - "url": "https://github.com/samodom" + "avatar": "https://avatars.githubusercontent.com/u/95654268?s=40&v=4", + "name": "maxim-chipeev", + "url": "https://github.com/maxim-chipeev" }, { - "avatar": "https://avatars.githubusercontent.com/u/11663747?s=40&v=4", - "name": "KylinChang", - "url": "https://github.com/KylinChang" + "avatar": "https://avatars.githubusercontent.com/u/19654286?s=40&v=4", + "name": "1ucas", + "url": "https://github.com/1ucas" }, { - "avatar": "https://avatars.githubusercontent.com/u/48967250?s=40&v=4", - "name": "tianqibt", - "url": "https://github.com/tianqibt" + "avatar": "https://avatars.githubusercontent.com/u/1365987?s=40&v=4", + "name": "mpdifran", + "url": "https://github.com/mpdifran" } ] }, { - "title": "Mortennn/Dozer", - "url": "https://github.com/Mortennn/Dozer", - "description": "Hide menu bar icons on macOS", + "title": "apple/swift-log", + "url": "https://github.com/apple/swift-log", + "description": "A Logging API for Swift", "language": "Swift", "languageColor": "#F05138", - "stars": "8,266", - "forks": "262", - "addStars": "19", + "stars": "3,578", + "forks": "299", + "addStars": "17", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/34214574?s=40&v=4", - "name": "Mortennn", - "url": "https://github.com/Mortennn" + "avatar": "https://avatars.githubusercontent.com/u/624238?s=40&v=4", + "name": "weissi", + "url": "https://github.com/weissi" }, { - "avatar": "https://avatars.githubusercontent.com/u/480163?s=40&v=4", - "name": "aonez", - "url": "https://github.com/aonez" + "avatar": "https://avatars.githubusercontent.com/u/147247?s=40&v=4", + "name": "tomerd", + "url": "https://github.com/tomerd" }, { - "avatar": "https://avatars.githubusercontent.com/u/43391421?s=40&v=4", - "name": "blakedgordon", - "url": "https://github.com/blakedgordon" + "avatar": "https://avatars.githubusercontent.com/u/120979?s=40&v=4", + "name": "ktoso", + "url": "https://github.com/ktoso" }, { - "avatar": "https://avatars.githubusercontent.com/u/49612?s=40&v=4", - "name": "gingerbeardman", - "url": "https://github.com/gingerbeardman" + "avatar": "https://avatars.githubusercontent.com/u/12647725?s=40&v=4", + "name": "yim-lee", + "url": "https://github.com/yim-lee" }, { - "avatar": "https://avatars.githubusercontent.com/u/5680968?s=40&v=4", - "name": "digiltd", - "url": "https://github.com/digiltd" + "avatar": "https://avatars.githubusercontent.com/u/3491887?s=40&v=4", + "name": "FranzBusch", + "url": "https://github.com/FranzBusch" } ] }, { - "title": "Whisky-App/Whisky", - "url": "https://github.com/Whisky-App/Whisky", - "description": "A modern Wine wrapper for macOS built with SwiftUI", + "title": "vapor/vapor", + "url": "https://github.com/vapor/vapor", + "description": "💧 A server-side Swift HTTP web framework.", "language": "Swift", "languageColor": "#F05138", - "stars": "12,806", - "forks": "272", - "addStars": "95", + "stars": "24,595", + "forks": "1,448", + "addStars": "31", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42140194?s=40&v=4", - "name": "IsaacMarovitz", - "url": "https://github.com/IsaacMarovitz" + "avatar": "https://avatars.githubusercontent.com/u/1342803?s=40&v=4", + "name": "tanner0101", + "url": "https://github.com/tanner0101" }, { - "avatar": "https://avatars.githubusercontent.com/u/23693150?s=40&v=4", - "name": "ohaiibuzzle", - "url": "https://github.com/ohaiibuzzle" + "avatar": "https://avatars.githubusercontent.com/u/5750489?s=40&v=4", + "name": "loganwright", + "url": "https://github.com/loganwright" }, { - "avatar": "https://avatars.githubusercontent.com/u/5073663?s=40&v=4", - "name": "Mika412", - "url": "https://github.com/Mika412" + "avatar": "https://avatars.githubusercontent.com/u/1951674?s=40&v=4", + "name": "Joannis", + "url": "https://github.com/Joannis" }, { - "avatar": "https://avatars.githubusercontent.com/u/909331?s=40&v=4", - "name": "cuba", - "url": "https://github.com/cuba" + "avatar": "https://avatars.githubusercontent.com/u/9938337?s=40&v=4", + "name": "0xTim", + "url": "https://github.com/0xTim" }, { - "avatar": "https://avatars.githubusercontent.com/u/5721147?s=40&v=4", - "name": "alexsch01", - "url": "https://github.com/alexsch01" + "avatar": "https://avatars.githubusercontent.com/u/42901?s=40&v=4", + "name": "shnhrrsn", + "url": "https://github.com/shnhrrsn" } ] } diff --git a/data/weekly/swift.xml b/data/weekly/swift.xml index b213382d20d0..b0ff099aa1c7 100644 --- a/data/weekly/swift.xml +++ b/data/weekly/swift.xml @@ -3,7 +3,7 @@ GitHub Swift Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Swift Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT nikitabobko/AeroSpace https://github.com/nikitabobko/AeroSpace @@ -11,7 +11,7 @@ https://github.com/nikitabobko/AeroSpace Swift #F05138 - 7,919 + 7,946 129 455 @@ -49,8 +49,8 @@ https://github.com/exelban/stats Swift #F05138 - 26,095 - 861 + 26,101 + 860 187 @@ -125,8 +125,8 @@ https://github.com/ChartsOrg/Charts Swift #F05138 - 27,617 - 6,005 + 27,618 + 6,004 20 @@ -156,44 +156,6 @@ - - p0deje/Maccy - https://github.com/p0deje/Maccy - Lightweight clipboard manager for macOS - https://github.com/p0deje/Maccy - Swift - #F05138 - 13,064 - 550 - 107 - - - https://avatars.githubusercontent.com/u/665846?s=40&v=4 - p0deje - https://github.com/p0deje - - - https://avatars.githubusercontent.com/u/31143295?s=40&v=4 - weisJ - https://github.com/weisJ - - - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate - - - https://avatars.githubusercontent.com/u/103433299?s=40&v=4 - phlpsong - https://github.com/phlpsong - - - https://avatars.githubusercontent.com/u/426143?s=40&v=4 - jodelamo - https://github.com/jodelamo - - - airbnb/lottie-ios https://github.com/airbnb/lottie-ios @@ -201,7 +163,7 @@ https://github.com/airbnb/lottie-ios Swift #F05138 - 25,802 + 25,803 3,752 20 @@ -232,44 +194,6 @@ - - realm/SwiftLint - https://github.com/realm/SwiftLint - A tool to enforce Swift style and conventions. - https://github.com/realm/SwiftLint - Swift - #F05138 - 18,699 - 2,227 - 17 - - - https://avatars.githubusercontent.com/u/474794?s=40&v=4 - jpsim - https://github.com/jpsim - - - https://avatars.githubusercontent.com/u/833072?s=40&v=4 - marcelofabri - https://github.com/marcelofabri - - - https://avatars.githubusercontent.com/u/33430?s=40&v=4 - norio-nomura - https://github.com/norio-nomura - - - https://avatars.githubusercontent.com/u/16365760?s=40&v=4 - SimplyDanny - https://github.com/SimplyDanny - - - https://avatars.githubusercontent.com/u/4259250?s=40&v=4 - scottrhoyt - https://github.com/scottrhoyt - - - hackiftekhar/IQKeyboardManager https://github.com/hackiftekhar/IQKeyboardManager @@ -278,7 +202,7 @@ Swift #F05138 16,481 - 2,414 + 2,415 12 @@ -308,6 +232,158 @@ + + jordanbaird/Ice + https://github.com/jordanbaird/Ice + Powerful menu bar manager for macOS + https://github.com/jordanbaird/Ice + Swift + #F05138 + 14,198 + 255 + 268 + + + https://avatars.githubusercontent.com/u/90936861?s=40&v=4 + jordanbaird + https://github.com/jordanbaird + + + https://avatars.githubusercontent.com/u/2091312?s=40&v=4 + stonerl + https://github.com/stonerl + + + https://avatars.githubusercontent.com/u/1892194?s=40&v=4 + Olshansk + https://github.com/Olshansk + + + https://avatars.githubusercontent.com/u/4800403?s=40&v=4 + michyprima + https://github.com/michyprima + + + https://avatars.githubusercontent.com/u/47981941?s=40&v=4 + arkeane + https://github.com/arkeane + + + + + p0deje/Maccy + https://github.com/p0deje/Maccy + Lightweight clipboard manager for macOS + https://github.com/p0deje/Maccy + Swift + #F05138 + 13,070 + 551 + 107 + + + https://avatars.githubusercontent.com/u/665846?s=40&v=4 + p0deje + https://github.com/p0deje + + + https://avatars.githubusercontent.com/u/31143295?s=40&v=4 + weisJ + https://github.com/weisJ + + + https://avatars.githubusercontent.com/u/1607653?s=40&v=4 + weblate + https://github.com/weblate + + + https://avatars.githubusercontent.com/u/103433299?s=40&v=4 + phlpsong + https://github.com/phlpsong + + + https://avatars.githubusercontent.com/u/426143?s=40&v=4 + jodelamo + https://github.com/jodelamo + + + + + apple/swift-nio + https://github.com/apple/swift-nio + Event-driven network application framework for high performance protocol servers & clients, non-blocking. + https://github.com/apple/swift-nio + Swift + #F05138 + 7,992 + 652 + 9 + + + https://avatars.githubusercontent.com/u/624238?s=40&v=4 + weissi + https://github.com/weissi + + + https://avatars.githubusercontent.com/u/1382556?s=40&v=4 + Lukasa + https://github.com/Lukasa + + + https://avatars.githubusercontent.com/u/439362?s=40&v=4 + normanmaurer + https://github.com/normanmaurer + + + https://avatars.githubusercontent.com/u/3491887?s=40&v=4 + FranzBusch + https://github.com/FranzBusch + + + https://avatars.githubusercontent.com/u/5047671?s=40&v=4 + glbrntt + https://github.com/glbrntt + + + + + pointfreeco/swift-composable-architecture + https://github.com/pointfreeco/swift-composable-architecture + A library for building applications in a consistent and understandable way, with composition, testing, and ergonomics in mind. + https://github.com/pointfreeco/swift-composable-architecture + Swift + #F05138 + 12,583 + 1,459 + 22 + + + https://avatars.githubusercontent.com/u/658?s=40&v=4 + stephencelis + https://github.com/stephencelis + + + https://avatars.githubusercontent.com/u/135203?s=40&v=4 + mbrandonw + https://github.com/mbrandonw + + + https://avatars.githubusercontent.com/u/35562418?s=40&v=4 + tgrapperon + https://github.com/tgrapperon + + + https://avatars.githubusercontent.com/u/19797367?s=40&v=4 + iampatbrown + https://github.com/iampatbrown + + + https://avatars.githubusercontent.com/u/71127966?s=40&v=4 + Jager-yoo + https://github.com/Jager-yoo + + + Alamofire/Alamofire https://github.com/Alamofire/Alamofire @@ -315,7 +391,7 @@ https://github.com/Alamofire/Alamofire Swift #F05138 - 41,179 + 41,180 7,550 32 @@ -346,6 +422,44 @@ + + realm/SwiftLint + https://github.com/realm/SwiftLint + A tool to enforce Swift style and conventions. + https://github.com/realm/SwiftLint + Swift + #F05138 + 18,699 + 2,228 + 17 + + + https://avatars.githubusercontent.com/u/474794?s=40&v=4 + jpsim + https://github.com/jpsim + + + https://avatars.githubusercontent.com/u/833072?s=40&v=4 + marcelofabri + https://github.com/marcelofabri + + + https://avatars.githubusercontent.com/u/33430?s=40&v=4 + norio-nomura + https://github.com/norio-nomura + + + https://avatars.githubusercontent.com/u/16365760?s=40&v=4 + SimplyDanny + https://github.com/SimplyDanny + + + https://avatars.githubusercontent.com/u/4259250?s=40&v=4 + scottrhoyt + https://github.com/scottrhoyt + + + SwiftyJSON/SwiftyJSON https://github.com/SwiftyJSON/SwiftyJSON @@ -384,44 +498,6 @@ - - jordanbaird/Ice - https://github.com/jordanbaird/Ice - Powerful menu bar manager for macOS - https://github.com/jordanbaird/Ice - Swift - #F05138 - 14,188 - 254 - 268 - - - https://avatars.githubusercontent.com/u/90936861?s=40&v=4 - jordanbaird - https://github.com/jordanbaird - - - https://avatars.githubusercontent.com/u/2091312?s=40&v=4 - stonerl - https://github.com/stonerl - - - https://avatars.githubusercontent.com/u/1892194?s=40&v=4 - Olshansk - https://github.com/Olshansk - - - https://avatars.githubusercontent.com/u/4800403?s=40&v=4 - michyprima - https://github.com/michyprima - - - https://avatars.githubusercontent.com/u/47981941?s=40&v=4 - arkeane - https://github.com/arkeane - - - nicklockwood/SwiftFormat https://github.com/nicklockwood/SwiftFormat @@ -461,230 +537,192 @@ - apple/swift-nio - https://github.com/apple/swift-nio - Event-driven network application framework for high performance protocol servers & clients, non-blocking. - https://github.com/apple/swift-nio + utmapp/UTM + https://github.com/utmapp/UTM + Virtual machines for iOS and macOS + https://github.com/utmapp/UTM Swift #F05138 - 7,992 - 651 - 9 + 27,173 + 1,344 + 108 - https://avatars.githubusercontent.com/u/624238?s=40&v=4 - weissi - https://github.com/weissi + https://avatars.githubusercontent.com/u/50960678?s=40&v=4 + osy + https://github.com/osy - https://avatars.githubusercontent.com/u/1382556?s=40&v=4 - Lukasa - https://github.com/Lukasa + https://avatars.githubusercontent.com/u/76401173?s=40&v=4 + osy86 + https://github.com/osy86 - https://avatars.githubusercontent.com/u/439362?s=40&v=4 - normanmaurer - https://github.com/normanmaurer + https://avatars.githubusercontent.com/u/28616020?s=40&v=4 + MMP0 + https://github.com/MMP0 - https://avatars.githubusercontent.com/u/3491887?s=40&v=4 - FranzBusch - https://github.com/FranzBusch + https://avatars.githubusercontent.com/u/46277006?s=40&v=4 + changanmoon + https://github.com/changanmoon - https://avatars.githubusercontent.com/u/5047671?s=40&v=4 - glbrntt - https://github.com/glbrntt + https://avatars.githubusercontent.com/u/12073163?s=40&v=4 + conath + https://github.com/conath - pointfreeco/swift-composable-architecture - https://github.com/pointfreeco/swift-composable-architecture - A library for building applications in a consistent and understandable way, with composition, testing, and ergonomics in mind. - https://github.com/pointfreeco/swift-composable-architecture - Swift - #F05138 - 12,582 - 1,459 - 22 - - - https://avatars.githubusercontent.com/u/658?s=40&v=4 - stephencelis - https://github.com/stephencelis - - - https://avatars.githubusercontent.com/u/135203?s=40&v=4 - mbrandonw - https://github.com/mbrandonw - - - https://avatars.githubusercontent.com/u/35562418?s=40&v=4 - tgrapperon - https://github.com/tgrapperon - - - https://avatars.githubusercontent.com/u/19797367?s=40&v=4 - iampatbrown - https://github.com/iampatbrown - - - https://avatars.githubusercontent.com/u/71127966?s=40&v=4 - Jager-yoo - https://github.com/Jager-yoo - - - - - AudioKit/AudioKit - https://github.com/AudioKit/AudioKit - Audio synthesis, processing, & analysis platform for iOS, macOS and tvOS - https://github.com/AudioKit/AudioKit + SDWebImage/SDWebImageSwiftUI + https://github.com/SDWebImage/SDWebImageSwiftUI + SwiftUI Image loading and Animation framework powered by SDWebImage + https://github.com/SDWebImage/SDWebImageSwiftUI Swift #F05138 - 10,731 - 1,557 - 14 + 2,228 + 230 + 10 - https://avatars.githubusercontent.com/u/13122?s=40&v=4 - aure - https://github.com/aure + https://avatars.githubusercontent.com/u/6919743?s=40&v=4 + dreampiggy + https://github.com/dreampiggy - https://avatars.githubusercontent.com/u/841144?s=40&v=4 - wtholliday - https://github.com/wtholliday + https://avatars.githubusercontent.com/u/987706?s=40&v=4 + master-nevi + https://github.com/master-nevi - https://avatars.githubusercontent.com/u/4977304?s=40&v=4 - eljeff - https://github.com/eljeff + https://avatars.githubusercontent.com/u/14853350?s=40&v=4 + cci-rmirza + https://github.com/cci-rmirza - https://avatars.githubusercontent.com/u/115222?s=40&v=4 - megastep - https://github.com/megastep + https://avatars.githubusercontent.com/u/5673994?s=40&v=4 + woxtu + https://github.com/woxtu - https://avatars.githubusercontent.com/u/2917795?s=40&v=4 - ryanfrancesconi - https://github.com/ryanfrancesconi + https://avatars.githubusercontent.com/u/11647461?s=40&v=4 + cozzin + https://github.com/cozzin - facebook/facebook-ios-sdk - https://github.com/facebook/facebook-ios-sdk - Used to integrate the Facebook Platform with your iOS & tvOS apps. - https://github.com/facebook/facebook-ios-sdk + Swinject/Swinject + https://github.com/Swinject/Swinject + Dependency injection framework for Swift with iOS/macOS/Linux + https://github.com/Swinject/Swinject Swift #F05138 - 7,796 - 3,563 - 4 + 6,362 + 519 + 20 - https://avatars.githubusercontent.com/u/4922820?s=40&v=4 - joesus - https://github.com/joesus + https://avatars.githubusercontent.com/u/965994?s=40&v=4 + yoichitgy + https://github.com/yoichitgy - https://avatars.githubusercontent.com/u/202240?s=40&v=4 - jawwad - https://github.com/jawwad + https://avatars.githubusercontent.com/u/2094466?s=40&v=4 + jakubvano + https://github.com/jakubvano - https://avatars.githubusercontent.com/u/3129948?s=40&v=4 - samodom - https://github.com/samodom + https://avatars.githubusercontent.com/u/95654268?s=40&v=4 + maxim-chipeev + https://github.com/maxim-chipeev - https://avatars.githubusercontent.com/u/11663747?s=40&v=4 - KylinChang - https://github.com/KylinChang + https://avatars.githubusercontent.com/u/19654286?s=40&v=4 + 1ucas + https://github.com/1ucas - https://avatars.githubusercontent.com/u/48967250?s=40&v=4 - tianqibt - https://github.com/tianqibt + https://avatars.githubusercontent.com/u/1365987?s=40&v=4 + mpdifran + https://github.com/mpdifran - Mortennn/Dozer - https://github.com/Mortennn/Dozer - Hide menu bar icons on macOS - https://github.com/Mortennn/Dozer + apple/swift-log + https://github.com/apple/swift-log + A Logging API for Swift + https://github.com/apple/swift-log Swift #F05138 - 8,266 - 262 - 19 + 3,578 + 299 + 17 - https://avatars.githubusercontent.com/u/34214574?s=40&v=4 - Mortennn - https://github.com/Mortennn + https://avatars.githubusercontent.com/u/624238?s=40&v=4 + weissi + https://github.com/weissi - https://avatars.githubusercontent.com/u/480163?s=40&v=4 - aonez - https://github.com/aonez + https://avatars.githubusercontent.com/u/147247?s=40&v=4 + tomerd + https://github.com/tomerd - https://avatars.githubusercontent.com/u/43391421?s=40&v=4 - blakedgordon - https://github.com/blakedgordon + https://avatars.githubusercontent.com/u/120979?s=40&v=4 + ktoso + https://github.com/ktoso - https://avatars.githubusercontent.com/u/49612?s=40&v=4 - gingerbeardman - https://github.com/gingerbeardman + https://avatars.githubusercontent.com/u/12647725?s=40&v=4 + yim-lee + https://github.com/yim-lee - https://avatars.githubusercontent.com/u/5680968?s=40&v=4 - digiltd - https://github.com/digiltd + https://avatars.githubusercontent.com/u/3491887?s=40&v=4 + FranzBusch + https://github.com/FranzBusch - Whisky-App/Whisky - https://github.com/Whisky-App/Whisky - A modern Wine wrapper for macOS built with SwiftUI - https://github.com/Whisky-App/Whisky + vapor/vapor + https://github.com/vapor/vapor + 💧 A server-side Swift HTTP web framework. + https://github.com/vapor/vapor Swift #F05138 - 12,806 - 272 - 95 + 24,595 + 1,448 + 31 - https://avatars.githubusercontent.com/u/42140194?s=40&v=4 - IsaacMarovitz - https://github.com/IsaacMarovitz + https://avatars.githubusercontent.com/u/1342803?s=40&v=4 + tanner0101 + https://github.com/tanner0101 - https://avatars.githubusercontent.com/u/23693150?s=40&v=4 - ohaiibuzzle - https://github.com/ohaiibuzzle + https://avatars.githubusercontent.com/u/5750489?s=40&v=4 + loganwright + https://github.com/loganwright - https://avatars.githubusercontent.com/u/5073663?s=40&v=4 - Mika412 - https://github.com/Mika412 + https://avatars.githubusercontent.com/u/1951674?s=40&v=4 + Joannis + https://github.com/Joannis - https://avatars.githubusercontent.com/u/909331?s=40&v=4 - cuba - https://github.com/cuba + https://avatars.githubusercontent.com/u/9938337?s=40&v=4 + 0xTim + https://github.com/0xTim - https://avatars.githubusercontent.com/u/5721147?s=40&v=4 - alexsch01 - https://github.com/alexsch01 + https://avatars.githubusercontent.com/u/42901?s=40&v=4 + shnhrrsn + https://github.com/shnhrrsn diff --git a/data/weekly/swig.json b/data/weekly/swig.json index 88839127da9e..dbe8e6f7b1dd 100644 --- a/data/weekly/swig.json +++ b/data/weekly/swig.json @@ -2,7 +2,7 @@ "title": "GitHub Swig Languages Weekly Trending", "description": "Weekly Trending of Swig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "tpaviot/pythonocc-core", diff --git a/data/weekly/swig.xml b/data/weekly/swig.xml index e3c7af9dae0f..6748f468fa5e 100644 --- a/data/weekly/swig.xml +++ b/data/weekly/swig.xml @@ -3,7 +3,7 @@ GitHub Swig Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Swig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT tpaviot/pythonocc-core https://github.com/tpaviot/pythonocc-core diff --git a/data/weekly/systemverilog.json b/data/weekly/systemverilog.json index 33a934beb74e..e8a1a10a0575 100644 --- a/data/weekly/systemverilog.json +++ b/data/weekly/systemverilog.json @@ -2,7 +2,7 @@ "title": "GitHub Systemverilog Languages Weekly Trending", "description": "Weekly Trending of Systemverilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "lowRISC/opentitan", @@ -11,7 +11,7 @@ "language": "SystemVerilog", "languageColor": "#DAE1C2", "stars": "2,590", - "forks": "780", + "forks": "781", "addStars": "13", "contributors": [ { @@ -79,39 +79,34 @@ ] }, { - "title": "pulp-platform/riscv-dbg", - "url": "https://github.com/pulp-platform/riscv-dbg", - "description": "RISC-V Debug Support for our PULP RISC-V Cores", + "title": "adam-maj/tiny-gpu", + "url": "https://github.com/adam-maj/tiny-gpu", + "description": "A minimal GPU design in Verilog to learn how GPUs work from the ground up", "language": "SystemVerilog", "languageColor": "#DAE1C2", - "stars": "225", - "forks": "76", - "addStars": "1", + "stars": "7,101", + "forks": "536", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13798471?s=40&v=4", - "name": "bluewww", - "url": "https://github.com/bluewww" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/199415?s=40&v=4", - "name": "zarubaf", - "url": "https://github.com/zarubaf" + "avatar": "https://avatars.githubusercontent.com/u/64697628?s=40&v=4", + "name": "adam-maj", + "url": "https://github.com/adam-maj" }, { - "avatar": "https://avatars.githubusercontent.com/u/41358501?s=40&v=4", - "name": "msfschaffner", - "url": "https://github.com/msfschaffner" + "avatar": "https://avatars.githubusercontent.com/u/10238372?s=40&v=4", + "name": "ashaltu", + "url": "https://github.com/ashaltu" }, { - "avatar": "https://avatars.githubusercontent.com/u/3583291?s=40&v=4", - "name": "andreaskurth", - "url": "https://github.com/andreaskurth" + "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", + "name": "eltociear", + "url": "https://github.com/eltociear" }, { - "avatar": "https://avatars.githubusercontent.com/u/40633348?s=40&v=4", - "name": "Silabs-ArjanB", - "url": "https://github.com/Silabs-ArjanB" + "avatar": "https://avatars.githubusercontent.com/u/38108242?s=40&v=4", + "name": "xianbaoqian", + "url": "https://github.com/xianbaoqian" } ] }, @@ -152,6 +147,43 @@ } ] }, + { + "title": "pulp-platform/riscv-dbg", + "url": "https://github.com/pulp-platform/riscv-dbg", + "description": "RISC-V Debug Support for our PULP RISC-V Cores", + "language": "SystemVerilog", + "languageColor": "#DAE1C2", + "stars": "225", + "forks": "76", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13798471?s=40&v=4", + "name": "bluewww", + "url": "https://github.com/bluewww" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/199415?s=40&v=4", + "name": "zarubaf", + "url": "https://github.com/zarubaf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/41358501?s=40&v=4", + "name": "msfschaffner", + "url": "https://github.com/msfschaffner" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3583291?s=40&v=4", + "name": "andreaskurth", + "url": "https://github.com/andreaskurth" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/40633348?s=40&v=4", + "name": "Silabs-ArjanB", + "url": "https://github.com/Silabs-ArjanB" + } + ] + }, { "title": "pulp-platform/axi", "url": "https://github.com/pulp-platform/axi", @@ -188,38 +220,6 @@ "url": "https://github.com/zarubaf" } ] - }, - { - "title": "adam-maj/tiny-gpu", - "url": "https://github.com/adam-maj/tiny-gpu", - "description": "A minimal GPU design in Verilog to learn how GPUs work from the ground up", - "language": "SystemVerilog", - "languageColor": "#DAE1C2", - "stars": "7,100", - "forks": "536", - "addStars": "20", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/64697628?s=40&v=4", - "name": "adam-maj", - "url": "https://github.com/adam-maj" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/10238372?s=40&v=4", - "name": "ashaltu", - "url": "https://github.com/ashaltu" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22633385?s=40&v=4", - "name": "eltociear", - "url": "https://github.com/eltociear" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38108242?s=40&v=4", - "name": "xianbaoqian", - "url": "https://github.com/xianbaoqian" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/systemverilog.xml b/data/weekly/systemverilog.xml index 48251d9d8c09..d20b33bbfc64 100644 --- a/data/weekly/systemverilog.xml +++ b/data/weekly/systemverilog.xml @@ -3,7 +3,7 @@ GitHub Systemverilog Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Systemverilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT lowRISC/opentitan https://github.com/lowRISC/opentitan @@ -12,7 +12,7 @@ SystemVerilog #DAE1C2 2,590 - 780 + 781 13 @@ -81,40 +81,35 @@ - pulp-platform/riscv-dbg - https://github.com/pulp-platform/riscv-dbg - RISC-V Debug Support for our PULP RISC-V Cores - https://github.com/pulp-platform/riscv-dbg + adam-maj/tiny-gpu + https://github.com/adam-maj/tiny-gpu + A minimal GPU design in Verilog to learn how GPUs work from the ground up + https://github.com/adam-maj/tiny-gpu SystemVerilog #DAE1C2 - 225 - 76 - 1 + 7,101 + 536 + 20 - https://avatars.githubusercontent.com/u/13798471?s=40&v=4 - bluewww - https://github.com/bluewww - - - https://avatars.githubusercontent.com/u/199415?s=40&v=4 - zarubaf - https://github.com/zarubaf + https://avatars.githubusercontent.com/u/64697628?s=40&v=4 + adam-maj + https://github.com/adam-maj - https://avatars.githubusercontent.com/u/41358501?s=40&v=4 - msfschaffner - https://github.com/msfschaffner + https://avatars.githubusercontent.com/u/10238372?s=40&v=4 + ashaltu + https://github.com/ashaltu - https://avatars.githubusercontent.com/u/3583291?s=40&v=4 - andreaskurth - https://github.com/andreaskurth + https://avatars.githubusercontent.com/u/22633385?s=40&v=4 + eltociear + https://github.com/eltociear - https://avatars.githubusercontent.com/u/40633348?s=40&v=4 - Silabs-ArjanB - https://github.com/Silabs-ArjanB + https://avatars.githubusercontent.com/u/38108242?s=40&v=4 + xianbaoqian + https://github.com/xianbaoqian @@ -156,6 +151,44 @@ + + pulp-platform/riscv-dbg + https://github.com/pulp-platform/riscv-dbg + RISC-V Debug Support for our PULP RISC-V Cores + https://github.com/pulp-platform/riscv-dbg + SystemVerilog + #DAE1C2 + 225 + 76 + 1 + + + https://avatars.githubusercontent.com/u/13798471?s=40&v=4 + bluewww + https://github.com/bluewww + + + https://avatars.githubusercontent.com/u/199415?s=40&v=4 + zarubaf + https://github.com/zarubaf + + + https://avatars.githubusercontent.com/u/41358501?s=40&v=4 + msfschaffner + https://github.com/msfschaffner + + + https://avatars.githubusercontent.com/u/3583291?s=40&v=4 + andreaskurth + https://github.com/andreaskurth + + + https://avatars.githubusercontent.com/u/40633348?s=40&v=4 + Silabs-ArjanB + https://github.com/Silabs-ArjanB + + + pulp-platform/axi https://github.com/pulp-platform/axi @@ -194,38 +227,5 @@ - - adam-maj/tiny-gpu - https://github.com/adam-maj/tiny-gpu - A minimal GPU design in Verilog to learn how GPUs work from the ground up - https://github.com/adam-maj/tiny-gpu - SystemVerilog - #DAE1C2 - 7,100 - 536 - 20 - - - https://avatars.githubusercontent.com/u/64697628?s=40&v=4 - adam-maj - https://github.com/adam-maj - - - https://avatars.githubusercontent.com/u/10238372?s=40&v=4 - ashaltu - https://github.com/ashaltu - - - https://avatars.githubusercontent.com/u/22633385?s=40&v=4 - eltociear - https://github.com/eltociear - - - https://avatars.githubusercontent.com/u/38108242?s=40&v=4 - xianbaoqian - https://github.com/xianbaoqian - - - \ No newline at end of file diff --git a/data/weekly/talon.json b/data/weekly/talon.json index d8091609f063..4aec720c769d 100644 --- a/data/weekly/talon.json +++ b/data/weekly/talon.json @@ -2,6 +2,6 @@ "title": "GitHub Talon Languages Weekly Trending", "description": "Weekly Trending of Talon Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/talon.xml b/data/weekly/talon.xml index e7ac6ee1f156..2679075e4dd8 100644 --- a/data/weekly/talon.xml +++ b/data/weekly/talon.xml @@ -3,6 +3,6 @@ GitHub Talon Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Talon Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/tcl.json b/data/weekly/tcl.json index 6d573f5a9dc9..8a0cea42acf4 100644 --- a/data/weekly/tcl.json +++ b/data/weekly/tcl.json @@ -2,7 +2,7 @@ "title": "GitHub Tcl Languages Weekly Trending", "description": "Weekly Trending of Tcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Digilent/digilent-xdc", @@ -41,80 +41,6 @@ } ] }, - { - "title": "macports/macports-base", - "url": "https://github.com/macports/macports-base", - "description": "The MacPorts command-line client", - "language": "Tcl", - "languageColor": "#e4cc98", - "stars": "876", - "forks": "247", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1075699?s=40&v=4", - "name": "jmroot", - "url": "https://github.com/jmroot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/80340?s=40&v=4", - "name": "raimue", - "url": "https://github.com/raimue" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/357348?s=40&v=4", - "name": "neverpanic", - "url": "https://github.com/neverpanic" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18884?s=40&v=4", - "name": "landonf", - "url": "https://github.com/landonf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4518384?s=40&v=4", - "name": "jmpalacios", - "url": "https://github.com/jmpalacios" - } - ] - }, - { - "title": "macports/macports-ports", - "url": "https://github.com/macports/macports-ports", - "description": "The MacPorts ports tree", - "language": "Tcl", - "languageColor": "#e4cc98", - "stars": "1,538", - "forks": "1,315", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/429209?s=40&v=4", - "name": "ryandesign", - "url": "https://github.com/ryandesign" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8901526?s=40&v=4", - "name": "dbevans", - "url": "https://github.com/dbevans" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/92015510?s=40&v=4", - "name": "barracuda156", - "url": "https://github.com/barracuda156" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1075699?s=40&v=4", - "name": "jmroot", - "url": "https://github.com/jmroot" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/618376?s=40&v=4", - "name": "herbygillot", - "url": "https://github.com/herbygillot" - } - ] - }, { "title": "Xilinx/device-tree-xlnx", "url": "https://github.com/Xilinx/device-tree-xlnx", @@ -152,6 +78,43 @@ } ] }, + { + "title": "macports/macports-base", + "url": "https://github.com/macports/macports-base", + "description": "The MacPorts command-line client", + "language": "Tcl", + "languageColor": "#e4cc98", + "stars": "876", + "forks": "247", + "addStars": "3", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1075699?s=40&v=4", + "name": "jmroot", + "url": "https://github.com/jmroot" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/80340?s=40&v=4", + "name": "raimue", + "url": "https://github.com/raimue" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/357348?s=40&v=4", + "name": "neverpanic", + "url": "https://github.com/neverpanic" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18884?s=40&v=4", + "name": "landonf", + "url": "https://github.com/landonf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4518384?s=40&v=4", + "name": "jmpalacios", + "url": "https://github.com/jmpalacios" + } + ] + }, { "title": "BRL-CAD/brlcad", "url": "https://github.com/BRL-CAD/brlcad", @@ -159,7 +122,7 @@ "language": "Tcl", "languageColor": "#e4cc98", "stars": "742", - "forks": "148", + "forks": "149", "addStars": "8", "contributors": [ { @@ -190,39 +153,39 @@ ] }, { - "title": "rdbende/Sun-Valley-ttk-theme", - "url": "https://github.com/rdbende/Sun-Valley-ttk-theme", - "description": "A gorgeous theme for Tkinter/ttk, based on the Sun Valley visual style ✨", + "title": "macports/macports-ports", + "url": "https://github.com/macports/macports-ports", + "description": "The MacPorts ports tree", "language": "Tcl", "languageColor": "#e4cc98", - "stars": "1,997", - "forks": "112", - "addStars": "10", + "stars": "1,539", + "forks": "1,316", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/77941087?s=40&v=4", - "name": "rdbende", - "url": "https://github.com/rdbende" + "avatar": "https://avatars.githubusercontent.com/u/429209?s=40&v=4", + "name": "ryandesign", + "url": "https://github.com/ryandesign" }, { - "avatar": "https://avatars.githubusercontent.com/u/18505570?s=40&v=4", - "name": "Akuli", - "url": "https://github.com/Akuli" + "avatar": "https://avatars.githubusercontent.com/u/8901526?s=40&v=4", + "name": "dbevans", + "url": "https://github.com/dbevans" }, { - "avatar": "https://avatars.githubusercontent.com/u/68823982?s=40&v=4", - "name": "sumeshir26", - "url": "https://github.com/sumeshir26" + "avatar": "https://avatars.githubusercontent.com/u/92015510?s=40&v=4", + "name": "barracuda156", + "url": "https://github.com/barracuda156" }, { - "avatar": "https://avatars.githubusercontent.com/u/42744062?s=40&v=4", - "name": "lyraik", - "url": "https://github.com/lyraik" + "avatar": "https://avatars.githubusercontent.com/u/1075699?s=40&v=4", + "name": "jmroot", + "url": "https://github.com/jmroot" }, { - "avatar": "https://avatars.githubusercontent.com/u/71159641?s=40&v=4", - "name": "littlewhitecloud", - "url": "https://github.com/littlewhitecloud" + "avatar": "https://avatars.githubusercontent.com/u/618376?s=40&v=4", + "name": "herbygillot", + "url": "https://github.com/herbygillot" } ] } diff --git a/data/weekly/tcl.xml b/data/weekly/tcl.xml index a54f2a008a63..8244abd45e02 100644 --- a/data/weekly/tcl.xml +++ b/data/weekly/tcl.xml @@ -3,7 +3,7 @@ GitHub Tcl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Digilent/digilent-xdc https://github.com/Digilent/digilent-xdc @@ -42,82 +42,6 @@ - - macports/macports-base - https://github.com/macports/macports-base - The MacPorts command-line client - https://github.com/macports/macports-base - Tcl - #e4cc98 - 876 - 247 - 3 - - - https://avatars.githubusercontent.com/u/1075699?s=40&v=4 - jmroot - https://github.com/jmroot - - - https://avatars.githubusercontent.com/u/80340?s=40&v=4 - raimue - https://github.com/raimue - - - https://avatars.githubusercontent.com/u/357348?s=40&v=4 - neverpanic - https://github.com/neverpanic - - - https://avatars.githubusercontent.com/u/18884?s=40&v=4 - landonf - https://github.com/landonf - - - https://avatars.githubusercontent.com/u/4518384?s=40&v=4 - jmpalacios - https://github.com/jmpalacios - - - - - macports/macports-ports - https://github.com/macports/macports-ports - The MacPorts ports tree - https://github.com/macports/macports-ports - Tcl - #e4cc98 - 1,538 - 1,315 - 5 - - - https://avatars.githubusercontent.com/u/429209?s=40&v=4 - ryandesign - https://github.com/ryandesign - - - https://avatars.githubusercontent.com/u/8901526?s=40&v=4 - dbevans - https://github.com/dbevans - - - https://avatars.githubusercontent.com/u/92015510?s=40&v=4 - barracuda156 - https://github.com/barracuda156 - - - https://avatars.githubusercontent.com/u/1075699?s=40&v=4 - jmroot - https://github.com/jmroot - - - https://avatars.githubusercontent.com/u/618376?s=40&v=4 - herbygillot - https://github.com/herbygillot - - - Xilinx/device-tree-xlnx https://github.com/Xilinx/device-tree-xlnx @@ -156,6 +80,44 @@ + + macports/macports-base + https://github.com/macports/macports-base + The MacPorts command-line client + https://github.com/macports/macports-base + Tcl + #e4cc98 + 876 + 247 + 3 + + + https://avatars.githubusercontent.com/u/1075699?s=40&v=4 + jmroot + https://github.com/jmroot + + + https://avatars.githubusercontent.com/u/80340?s=40&v=4 + raimue + https://github.com/raimue + + + https://avatars.githubusercontent.com/u/357348?s=40&v=4 + neverpanic + https://github.com/neverpanic + + + https://avatars.githubusercontent.com/u/18884?s=40&v=4 + landonf + https://github.com/landonf + + + https://avatars.githubusercontent.com/u/4518384?s=40&v=4 + jmpalacios + https://github.com/jmpalacios + + + BRL-CAD/brlcad https://github.com/BRL-CAD/brlcad @@ -164,7 +126,7 @@ Tcl #e4cc98 742 - 148 + 149 8 @@ -195,40 +157,40 @@ - rdbende/Sun-Valley-ttk-theme - https://github.com/rdbende/Sun-Valley-ttk-theme - A gorgeous theme for Tkinter/ttk, based on the Sun Valley visual style ✨ - https://github.com/rdbende/Sun-Valley-ttk-theme + macports/macports-ports + https://github.com/macports/macports-ports + The MacPorts ports tree + https://github.com/macports/macports-ports Tcl #e4cc98 - 1,997 - 112 - 10 + 1,539 + 1,316 + 5 - https://avatars.githubusercontent.com/u/77941087?s=40&v=4 - rdbende - https://github.com/rdbende + https://avatars.githubusercontent.com/u/429209?s=40&v=4 + ryandesign + https://github.com/ryandesign - https://avatars.githubusercontent.com/u/18505570?s=40&v=4 - Akuli - https://github.com/Akuli + https://avatars.githubusercontent.com/u/8901526?s=40&v=4 + dbevans + https://github.com/dbevans - https://avatars.githubusercontent.com/u/68823982?s=40&v=4 - sumeshir26 - https://github.com/sumeshir26 + https://avatars.githubusercontent.com/u/92015510?s=40&v=4 + barracuda156 + https://github.com/barracuda156 - https://avatars.githubusercontent.com/u/42744062?s=40&v=4 - lyraik - https://github.com/lyraik + https://avatars.githubusercontent.com/u/1075699?s=40&v=4 + jmroot + https://github.com/jmroot - https://avatars.githubusercontent.com/u/71159641?s=40&v=4 - littlewhitecloud - https://github.com/littlewhitecloud + https://avatars.githubusercontent.com/u/618376?s=40&v=4 + herbygillot + https://github.com/herbygillot diff --git a/data/weekly/tcsh.json b/data/weekly/tcsh.json index e1c8bdc4840c..c4a1b5a774ea 100644 --- a/data/weekly/tcsh.json +++ b/data/weekly/tcsh.json @@ -2,6 +2,6 @@ "title": "GitHub Tcsh Languages Weekly Trending", "description": "Weekly Trending of Tcsh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/tcsh.xml b/data/weekly/tcsh.xml index b24f2a7673af..5198baf1434b 100644 --- a/data/weekly/tcsh.xml +++ b/data/weekly/tcsh.xml @@ -3,6 +3,6 @@ GitHub Tcsh Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tcsh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/tea.json b/data/weekly/tea.json index 39539384d487..bb8c3d5506e9 100644 --- a/data/weekly/tea.json +++ b/data/weekly/tea.json @@ -2,6 +2,6 @@ "title": "GitHub Tea Languages Weekly Trending", "description": "Weekly Trending of Tea Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/tea.xml b/data/weekly/tea.xml index af4e821468e0..098820b2a616 100644 --- a/data/weekly/tea.xml +++ b/data/weekly/tea.xml @@ -3,6 +3,6 @@ GitHub Tea Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tea Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/templ.json b/data/weekly/templ.json index bddc10a51b81..4e6dbe2478ce 100644 --- a/data/weekly/templ.json +++ b/data/weekly/templ.json @@ -2,6 +2,6 @@ "title": "GitHub Templ Languages Weekly Trending", "description": "Weekly Trending of Templ Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/templ.xml b/data/weekly/templ.xml index 8a836ce07eda..ad84794f9768 100644 --- a/data/weekly/templ.xml +++ b/data/weekly/templ.xml @@ -3,6 +3,6 @@ GitHub Templ Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Templ Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/terra.json b/data/weekly/terra.json index 6e5ccdb8326b..48fd22f21bc0 100644 --- a/data/weekly/terra.json +++ b/data/weekly/terra.json @@ -2,6 +2,6 @@ "title": "GitHub Terra Languages Weekly Trending", "description": "Weekly Trending of Terra Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/terra.xml b/data/weekly/terra.xml index 574e30bc2aa8..f5847eef5a71 100644 --- a/data/weekly/terra.xml +++ b/data/weekly/terra.xml @@ -3,6 +3,6 @@ GitHub Terra Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Terra Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/terraform-template.json b/data/weekly/terraform-template.json index 93cf0a82ee0e..6a868c354e97 100644 --- a/data/weekly/terraform-template.json +++ b/data/weekly/terraform-template.json @@ -2,6 +2,6 @@ "title": "GitHub Terraform-template Languages Weekly Trending", "description": "Weekly Trending of Terraform-template Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/terraform-template.xml b/data/weekly/terraform-template.xml index b06d0f41b8cc..d28d24d53e1e 100644 --- a/data/weekly/terraform-template.xml +++ b/data/weekly/terraform-template.xml @@ -3,6 +3,6 @@ GitHub Terraform-template Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Terraform-template Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/tex.json b/data/weekly/tex.json index 9fde2ca8a558..b8a39a937d0f 100644 --- a/data/weekly/tex.json +++ b/data/weekly/tex.json @@ -2,7 +2,7 @@ "title": "GitHub Tex Languages Weekly Trending", "description": "Weekly Trending of Tex Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "riscv/riscv-isa-manual", @@ -11,7 +11,7 @@ "language": "TeX", "languageColor": "#3D6117", "stars": "3,704", - "forks": "645", + "forks": "644", "addStars": "16", "contributors": [ { @@ -41,6 +41,38 @@ } ] }, + { + "title": "harvard-edge/cs249r_book", + "url": "https://github.com/harvard-edge/cs249r_book", + "description": "Introduction to Machine Learning Systems", + "language": "TeX", + "languageColor": "#3D6117", + "stars": "1,190", + "forks": "153", + "addStars": "104", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6807956?s=40&v=4", + "name": "profvjreddi", + "url": "https://github.com/profvjreddi" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32910169?s=40&v=4", + "name": "mpstewart1", + "url": "https://github.com/mpstewart1" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/55008744?s=40&v=4", + "name": "jasonjabbour", + "url": "https://github.com/jasonjabbour" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14854496?s=40&v=4", + "name": "uchendui", + "url": "https://github.com/uchendui" + } + ] + }, { "title": "lervag/vimtex", "url": "https://github.com/lervag/vimtex", @@ -78,46 +110,14 @@ } ] }, - { - "title": "Wandmalfarbe/pandoc-latex-template", - "url": "https://github.com/Wandmalfarbe/pandoc-latex-template", - "description": "A pandoc LaTeX template to convert markdown files to PDF or LaTeX.", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "6,240", - "forks": "968", - "addStars": "20", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/17237627?s=40&v=4", - "name": "Wandmalfarbe", - "url": "https://github.com/Wandmalfarbe" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4822805?s=40&v=4", - "name": "wollanup", - "url": "https://github.com/wollanup" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/165646?s=40&v=4", - "name": "daamien", - "url": "https://github.com/daamien" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4398066?s=40&v=4", - "name": "khaveesh", - "url": "https://github.com/khaveesh" - } - ] - }, { "title": "zhanwen/MathModel", "url": "https://github.com/zhanwen/MathModel", "description": "研究生数学建模,本科生数学建模、数学建模竞赛优秀论文,数学建模算法,LaTeX论文模板,算法思维导图,参考书籍,Matlab软件教程,PPT", "language": "TeX", "languageColor": "#3D6117", - "stars": "8,301", - "forks": "2,136", + "stars": "8,304", + "forks": "2,137", "addStars": "29", "contributors": [ { @@ -143,66 +143,34 @@ ] }, { - "title": "posquit0/Awesome-CV", - "url": "https://github.com/posquit0/Awesome-CV", - "description": "📄 Awesome CV is LaTeX template for your outstanding job application", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "23,271", - "forks": "4,812", - "addStars": "53", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1484002?s=40&v=4", - "name": "posquit0", - "url": "https://github.com/posquit0" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/690051?s=40&v=4", - "name": "nitrogl", - "url": "https://github.com/nitrogl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3946014?s=40&v=4", - "name": "OJFord", - "url": "https://github.com/OJFord" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8258702?s=40&v=4", - "name": "johannesbottcher", - "url": "https://github.com/johannesbottcher" - } - ] - }, - { - "title": "harvard-edge/cs249r_book", - "url": "https://github.com/harvard-edge/cs249r_book", - "description": "Introduction to Machine Learning Systems", + "title": "Wandmalfarbe/pandoc-latex-template", + "url": "https://github.com/Wandmalfarbe/pandoc-latex-template", + "description": "A pandoc LaTeX template to convert markdown files to PDF or LaTeX.", "language": "TeX", "languageColor": "#3D6117", - "stars": "1,188", - "forks": "153", - "addStars": "104", + "stars": "6,241", + "forks": "968", + "addStars": "20", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/6807956?s=40&v=4", - "name": "profvjreddi", - "url": "https://github.com/profvjreddi" + "avatar": "https://avatars.githubusercontent.com/u/17237627?s=40&v=4", + "name": "Wandmalfarbe", + "url": "https://github.com/Wandmalfarbe" }, { - "avatar": "https://avatars.githubusercontent.com/u/32910169?s=40&v=4", - "name": "mpstewart1", - "url": "https://github.com/mpstewart1" + "avatar": "https://avatars.githubusercontent.com/u/4822805?s=40&v=4", + "name": "wollanup", + "url": "https://github.com/wollanup" }, { - "avatar": "https://avatars.githubusercontent.com/u/55008744?s=40&v=4", - "name": "jasonjabbour", - "url": "https://github.com/jasonjabbour" + "avatar": "https://avatars.githubusercontent.com/u/165646?s=40&v=4", + "name": "daamien", + "url": "https://github.com/daamien" }, { - "avatar": "https://avatars.githubusercontent.com/u/14854496?s=40&v=4", - "name": "uchendui", - "url": "https://github.com/uchendui" + "avatar": "https://avatars.githubusercontent.com/u/4398066?s=40&v=4", + "name": "khaveesh", + "url": "https://github.com/khaveesh" } ] }, @@ -212,7 +180,7 @@ "description": "Classical equations and diagrams in machine learning", "language": "TeX", "languageColor": "#3D6117", - "stars": "7,490", + "stars": "7,492", "forks": "1,268", "addStars": "53", "contributors": [ @@ -244,39 +212,39 @@ ] }, { - "title": "matze/mtheme", - "url": "https://github.com/matze/mtheme", - "description": "A modern LaTeX Beamer theme", + "title": "AllenDowney/ThinkPython2", + "url": "https://github.com/AllenDowney/ThinkPython2", + "description": "LaTeX source and supporting code for Think Python, 2nd edition, by Allen Downey.", "language": "TeX", "languageColor": "#3D6117", - "stars": "6,436", - "forks": "846", - "addStars": "8", + "stars": "2,511", + "forks": "1,658", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/115270?s=40&v=4", - "name": "matze", - "url": "https://github.com/matze" + "avatar": "https://avatars.githubusercontent.com/u/1882093?s=40&v=4", + "name": "AllenDowney", + "url": "https://github.com/AllenDowney" }, { - "avatar": "https://avatars.githubusercontent.com/u/1131743?s=40&v=4", - "name": "rchurchley", - "url": "https://github.com/rchurchley" + "avatar": "https://avatars.githubusercontent.com/u/42013600?s=40&v=4", + "name": "espinoza", + "url": "https://github.com/espinoza" }, { - "avatar": "https://avatars.githubusercontent.com/u/9158719?s=40&v=4", - "name": "benjamin-weiss", - "url": "https://github.com/benjamin-weiss" + "avatar": "https://avatars.githubusercontent.com/u/8841425?s=40&v=4", + "name": "lbordoni", + "url": "https://github.com/lbordoni" }, { - "avatar": "https://avatars.githubusercontent.com/u/4878624?s=40&v=4", - "name": "tmgriffiths", - "url": "https://github.com/tmgriffiths" + "avatar": "https://avatars.githubusercontent.com/u/9666?s=40&v=4", + "name": "marked", + "url": "https://github.com/marked" }, { - "avatar": "https://avatars.githubusercontent.com/u/1829933?s=40&v=4", - "name": "awalterschulze", - "url": "https://github.com/awalterschulze" + "avatar": "https://avatars.githubusercontent.com/u/88080?s=40&v=4", + "name": "lbt", + "url": "https://github.com/lbt" } ] }, @@ -317,6 +285,80 @@ } ] }, + { + "title": "mooculus/calculus", + "url": "https://github.com/mooculus/calculus", + "description": "", + "language": "TeX", + "languageColor": "#3D6117", + "stars": "139", + "forks": "452", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1376703?s=40&v=4", + "name": "bartsnapp", + "url": "https://github.com/bartsnapp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/29204883?s=40&v=4", + "name": "Nelalakos", + "url": "https://github.com/Nelalakos" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12518889?s=40&v=4", + "name": "NDMathHo", + "url": "https://github.com/NDMathHo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/148352?s=40&v=4", + "name": "kisonecat", + "url": "https://github.com/kisonecat" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5612650?s=40&v=4", + "name": "jgeorge287", + "url": "https://github.com/jgeorge287" + } + ] + }, + { + "title": "TheNetAdmin/zjuthesis", + "url": "https://github.com/TheNetAdmin/zjuthesis", + "description": "Zhejiang University Graduation Thesis LaTeX Template", + "language": "TeX", + "languageColor": "#3D6117", + "stars": "2,686", + "forks": "637", + "addStars": "16", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/18525442?s=40&v=4", + "name": "TheNetAdmin", + "url": "https://github.com/TheNetAdmin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/66413488?s=40&v=4", + "name": "endless-hu", + "url": "https://github.com/endless-hu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48663326?s=40&v=4", + "name": "xfz329", + "url": "https://github.com/xfz329" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/48900010?s=40&v=4", + "name": "futuretech6", + "url": "https://github.com/futuretech6" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/22725494?s=40&v=4", + "name": "ya654", + "url": "https://github.com/ya654" + } + ] + }, { "title": "joaomlourenco/novathesis", "url": "https://github.com/joaomlourenco/novathesis", @@ -355,214 +397,182 @@ ] }, { - "title": "AllenDowney/ThinkPython2", - "url": "https://github.com/AllenDowney/ThinkPython2", - "description": "LaTeX source and supporting code for Think Python, 2nd edition, by Allen Downey.", + "title": "Cactus-proj/A-Philosophy-of-Software-Design-zh", + "url": "https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh", + "description": "A Philosophy of Software Design 《软件设计的哲学》中文翻译", "language": "TeX", "languageColor": "#3D6117", - "stars": "2,511", - "forks": "1,658", - "addStars": "5", + "stars": "717", + "forks": "271", + "addStars": "31", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1882093?s=40&v=4", - "name": "AllenDowney", - "url": "https://github.com/AllenDowney" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42013600?s=40&v=4", - "name": "espinoza", - "url": "https://github.com/espinoza" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8841425?s=40&v=4", - "name": "lbordoni", - "url": "https://github.com/lbordoni" + "avatar": "https://avatars.githubusercontent.com/u/5158738?s=40&v=4", + "name": "inkydragon", + "url": "https://github.com/inkydragon" }, { - "avatar": "https://avatars.githubusercontent.com/u/9666?s=40&v=4", - "name": "marked", - "url": "https://github.com/marked" + "avatar": "https://avatars.githubusercontent.com/u/14055251?s=40&v=4", + "name": "wanghuanwei", + "url": "https://github.com/wanghuanwei" }, { - "avatar": "https://avatars.githubusercontent.com/u/88080?s=40&v=4", - "name": "lbt", - "url": "https://github.com/lbt" - } - ] - }, - { - "title": "sanjib-sen/WebLaTex", - "url": "https://github.com/sanjib-sen/WebLaTex", - "description": "A complete alternative for Overleaf with VSCode + Web + Git Integration + Copilot + Grammar & Spell Checker + Live Collaboration Support. Based on GitHub Codespace and Dev container.", - "language": "TeX", - "languageColor": "#3D6117", - "stars": "1,045", - "forks": "297", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/54777542?s=40&v=4", - "name": "sanjib-sen", - "url": "https://github.com/sanjib-sen" + "avatar": "https://avatars.githubusercontent.com/u/33390928?s=40&v=4", + "name": "gdut-yy", + "url": "https://github.com/gdut-yy" }, { - "avatar": "https://avatars.githubusercontent.com/u/1331872?s=40&v=4", - "name": "Mearman", - "url": "https://github.com/Mearman" + "avatar": "https://avatars.githubusercontent.com/u/1365962?s=40&v=4", + "name": "BlackGlory", + "url": "https://github.com/BlackGlory" }, { - "avatar": "https://avatars.githubusercontent.com/u/34148978?s=40&v=4", - "name": "thodson-usgs", - "url": "https://github.com/thodson-usgs" + "avatar": "https://avatars.githubusercontent.com/u/48850370?s=40&v=4", + "name": "liquid207", + "url": "https://github.com/liquid207" } ] }, { - "title": "billryan/resume", - "url": "https://github.com/billryan/resume", - "description": "An elegant \\LaTeX\\ résumé template. 大陆镜像 https://gods.coding.net/p/resume/git", + "title": "matze/mtheme", + "url": "https://github.com/matze/mtheme", + "description": "A modern LaTeX Beamer theme", "language": "TeX", "languageColor": "#3D6117", - "stars": "9,304", - "forks": "2,614", - "addStars": "15", + "stars": "6,436", + "forks": "846", + "addStars": "8", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1292567?s=40&v=4", - "name": "billryan", - "url": "https://github.com/billryan" + "avatar": "https://avatars.githubusercontent.com/u/115270?s=40&v=4", + "name": "matze", + "url": "https://github.com/matze" }, { - "avatar": "https://avatars.githubusercontent.com/u/22552597?s=40&v=4", - "name": "Lovelace42", - "url": "https://github.com/Lovelace42" + "avatar": "https://avatars.githubusercontent.com/u/1131743?s=40&v=4", + "name": "rchurchley", + "url": "https://github.com/rchurchley" }, { - "avatar": "https://avatars.githubusercontent.com/u/24441206?s=40&v=4", - "name": "sedrakk", - "url": "https://github.com/sedrakk" + "avatar": "https://avatars.githubusercontent.com/u/9158719?s=40&v=4", + "name": "benjamin-weiss", + "url": "https://github.com/benjamin-weiss" }, { - "avatar": "https://avatars.githubusercontent.com/u/25968335?s=40&v=4", - "name": "remiliacn", - "url": "https://github.com/remiliacn" + "avatar": "https://avatars.githubusercontent.com/u/4878624?s=40&v=4", + "name": "tmgriffiths", + "url": "https://github.com/tmgriffiths" }, { - "avatar": "https://avatars.githubusercontent.com/u/51283607?s=40&v=4", - "name": "SARIKAMB", - "url": "https://github.com/SARIKAMB" + "avatar": "https://avatars.githubusercontent.com/u/1829933?s=40&v=4", + "name": "awalterschulze", + "url": "https://github.com/awalterschulze" } ] }, { - "title": "sb2nov/resume", - "url": "https://github.com/sb2nov/resume", - "description": "Software developer resume in Latex", + "title": "lib-pku/libpku", + "url": "https://github.com/lib-pku/libpku", + "description": "贵校课程资料民间整理", "language": "TeX", "languageColor": "#3D6117", - "stars": "5,316", - "forks": "1,497", + "stars": "30,443", + "forks": "8,262", "addStars": "22", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1517779?s=40&v=4", - "name": "sb2nov", - "url": "https://github.com/sb2nov" + "avatar": "https://avatars.githubusercontent.com/u/45264158?s=40&v=4", + "name": "librarian-pku", + "url": "https://github.com/librarian-pku" }, { - "avatar": "https://avatars.githubusercontent.com/u/146706527?s=40&v=4", - "name": "TheColorDarkBlue", - "url": "https://github.com/TheColorDarkBlue" + "avatar": "https://avatars.githubusercontent.com/u/35071593?s=40&v=4", + "name": "littlesulley", + "url": "https://github.com/littlesulley" }, { - "avatar": "https://avatars.githubusercontent.com/u/947595?s=40&v=4", - "name": "dearlordylord", - "url": "https://github.com/dearlordylord" + "avatar": "https://avatars.githubusercontent.com/u/47054269?s=40&v=4", + "name": "SyzygyYuan", + "url": "https://github.com/SyzygyYuan" }, { - "avatar": "https://avatars.githubusercontent.com/u/1228142?s=40&v=4", - "name": "greenmoon55", - "url": "https://github.com/greenmoon55" + "avatar": "https://avatars.githubusercontent.com/u/44885400?s=40&v=4", + "name": "colizz", + "url": "https://github.com/colizz" }, { - "avatar": "https://avatars.githubusercontent.com/u/6411209?s=40&v=4", - "name": "ygnr", - "url": "https://github.com/ygnr" + "avatar": "https://avatars.githubusercontent.com/u/31237954?s=40&v=4", + "name": "brenner8023", + "url": "https://github.com/brenner8023" } ] }, { - "title": "rstudio/cheatsheets", - "url": "https://github.com/rstudio/cheatsheets", - "description": "Posit Cheat Sheets - Can also be found at https://posit.co/resources/cheatsheets/.", + "title": "tuhdo/os01", + "url": "https://github.com/tuhdo/os01", + "description": "Bootstrap yourself to write an OS from scratch. A book for self-learner.", "language": "TeX", "languageColor": "#3D6117", - "stars": "5,870", - "forks": "1,826", - "addStars": "9", + "stars": "12,019", + "forks": "710", + "addStars": "18", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/85014?s=40&v=4", - "name": "garrettgman", - "url": "https://github.com/garrettgman" + "avatar": "https://avatars.githubusercontent.com/u/4818719?s=40&v=4", + "name": "tuhdo", + "url": "https://github.com/tuhdo" }, { - "avatar": "https://avatars.githubusercontent.com/u/17169253?s=40&v=4", - "name": "averiperny", - "url": "https://github.com/averiperny" + "avatar": "https://avatars.githubusercontent.com/u/12422195?s=40&v=4", + "name": "ryangalamb", + "url": "https://github.com/ryangalamb" }, { - "avatar": "https://avatars.githubusercontent.com/u/5965649?s=40&v=4", - "name": "mine-cetinkaya-rundel", - "url": "https://github.com/mine-cetinkaya-rundel" + "avatar": "https://avatars.githubusercontent.com/u/6293125?s=40&v=4", + "name": "Kriskras99", + "url": "https://github.com/Kriskras99" }, { - "avatar": "https://avatars.githubusercontent.com/u/2816635?s=40&v=4", - "name": "ateucher", - "url": "https://github.com/ateucher" + "avatar": "https://avatars.githubusercontent.com/u/16965931?s=40&v=4", + "name": "sloganking", + "url": "https://github.com/sloganking" }, { - "avatar": "https://avatars.githubusercontent.com/u/41997494?s=40&v=4", - "name": "brendanjodowd", - "url": "https://github.com/brendanjodowd" + "avatar": "https://avatars.githubusercontent.com/u/939551?s=40&v=4", + "name": "battaile", + "url": "https://github.com/battaile" } ] }, { - "title": "TheNetAdmin/zjuthesis", - "url": "https://github.com/TheNetAdmin/zjuthesis", - "description": "Zhejiang University Graduation Thesis LaTeX Template", + "title": "posquit0/Awesome-CV", + "url": "https://github.com/posquit0/Awesome-CV", + "description": "📄 Awesome CV is LaTeX template for your outstanding job application", "language": "TeX", "languageColor": "#3D6117", - "stars": "2,683", - "forks": "637", - "addStars": "16", + "stars": "23,271", + "forks": "4,814", + "addStars": "53", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/18525442?s=40&v=4", - "name": "TheNetAdmin", - "url": "https://github.com/TheNetAdmin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/66413488?s=40&v=4", - "name": "endless-hu", - "url": "https://github.com/endless-hu" + "avatar": "https://avatars.githubusercontent.com/u/1484002?s=40&v=4", + "name": "posquit0", + "url": "https://github.com/posquit0" }, { - "avatar": "https://avatars.githubusercontent.com/u/48663326?s=40&v=4", - "name": "xfz329", - "url": "https://github.com/xfz329" + "avatar": "https://avatars.githubusercontent.com/u/690051?s=40&v=4", + "name": "nitrogl", + "url": "https://github.com/nitrogl" }, { - "avatar": "https://avatars.githubusercontent.com/u/48900010?s=40&v=4", - "name": "futuretech6", - "url": "https://github.com/futuretech6" + "avatar": "https://avatars.githubusercontent.com/u/3946014?s=40&v=4", + "name": "OJFord", + "url": "https://github.com/OJFord" }, { - "avatar": "https://avatars.githubusercontent.com/u/22725494?s=40&v=4", - "name": "ya654", - "url": "https://github.com/ya654" + "avatar": "https://avatars.githubusercontent.com/u/8258702?s=40&v=4", + "name": "johannesbottcher", + "url": "https://github.com/johannesbottcher" } ] } diff --git a/data/weekly/tex.xml b/data/weekly/tex.xml index 7330913b5d0c..bb28b8b8e9b0 100644 --- a/data/weekly/tex.xml +++ b/data/weekly/tex.xml @@ -3,7 +3,7 @@ GitHub Tex Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tex Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT riscv/riscv-isa-manual https://github.com/riscv/riscv-isa-manual @@ -12,7 +12,7 @@ TeX #3D6117 3,704 - 645 + 644 16 @@ -42,6 +42,39 @@ + + harvard-edge/cs249r_book + https://github.com/harvard-edge/cs249r_book + Introduction to Machine Learning Systems + https://github.com/harvard-edge/cs249r_book + TeX + #3D6117 + 1,190 + 153 + 104 + + + https://avatars.githubusercontent.com/u/6807956?s=40&v=4 + profvjreddi + https://github.com/profvjreddi + + + https://avatars.githubusercontent.com/u/32910169?s=40&v=4 + mpstewart1 + https://github.com/mpstewart1 + + + https://avatars.githubusercontent.com/u/55008744?s=40&v=4 + jasonjabbour + https://github.com/jasonjabbour + + + https://avatars.githubusercontent.com/u/14854496?s=40&v=4 + uchendui + https://github.com/uchendui + + + lervag/vimtex https://github.com/lervag/vimtex @@ -80,39 +113,6 @@ - - Wandmalfarbe/pandoc-latex-template - https://github.com/Wandmalfarbe/pandoc-latex-template - A pandoc LaTeX template to convert markdown files to PDF or LaTeX. - https://github.com/Wandmalfarbe/pandoc-latex-template - TeX - #3D6117 - 6,240 - 968 - 20 - - - https://avatars.githubusercontent.com/u/17237627?s=40&v=4 - Wandmalfarbe - https://github.com/Wandmalfarbe - - - https://avatars.githubusercontent.com/u/4822805?s=40&v=4 - wollanup - https://github.com/wollanup - - - https://avatars.githubusercontent.com/u/165646?s=40&v=4 - daamien - https://github.com/daamien - - - https://avatars.githubusercontent.com/u/4398066?s=40&v=4 - khaveesh - https://github.com/khaveesh - - - zhanwen/MathModel https://github.com/zhanwen/MathModel @@ -120,8 +120,8 @@ https://github.com/zhanwen/MathModel TeX #3D6117 - 8,301 - 2,136 + 8,304 + 2,137 29 @@ -147,68 +147,35 @@ - posquit0/Awesome-CV - https://github.com/posquit0/Awesome-CV - 📄 Awesome CV is LaTeX template for your outstanding job application - https://github.com/posquit0/Awesome-CV - TeX - #3D6117 - 23,271 - 4,812 - 53 - - - https://avatars.githubusercontent.com/u/1484002?s=40&v=4 - posquit0 - https://github.com/posquit0 - - - https://avatars.githubusercontent.com/u/690051?s=40&v=4 - nitrogl - https://github.com/nitrogl - - - https://avatars.githubusercontent.com/u/3946014?s=40&v=4 - OJFord - https://github.com/OJFord - - - https://avatars.githubusercontent.com/u/8258702?s=40&v=4 - johannesbottcher - https://github.com/johannesbottcher - - - - - harvard-edge/cs249r_book - https://github.com/harvard-edge/cs249r_book - Introduction to Machine Learning Systems - https://github.com/harvard-edge/cs249r_book + Wandmalfarbe/pandoc-latex-template + https://github.com/Wandmalfarbe/pandoc-latex-template + A pandoc LaTeX template to convert markdown files to PDF or LaTeX. + https://github.com/Wandmalfarbe/pandoc-latex-template TeX #3D6117 - 1,188 - 153 - 104 + 6,241 + 968 + 20 - https://avatars.githubusercontent.com/u/6807956?s=40&v=4 - profvjreddi - https://github.com/profvjreddi + https://avatars.githubusercontent.com/u/17237627?s=40&v=4 + Wandmalfarbe + https://github.com/Wandmalfarbe - https://avatars.githubusercontent.com/u/32910169?s=40&v=4 - mpstewart1 - https://github.com/mpstewart1 + https://avatars.githubusercontent.com/u/4822805?s=40&v=4 + wollanup + https://github.com/wollanup - https://avatars.githubusercontent.com/u/55008744?s=40&v=4 - jasonjabbour - https://github.com/jasonjabbour + https://avatars.githubusercontent.com/u/165646?s=40&v=4 + daamien + https://github.com/daamien - https://avatars.githubusercontent.com/u/14854496?s=40&v=4 - uchendui - https://github.com/uchendui + https://avatars.githubusercontent.com/u/4398066?s=40&v=4 + khaveesh + https://github.com/khaveesh @@ -219,7 +186,7 @@ https://github.com/soulmachine/machine-learning-cheat-sheet TeX #3D6117 - 7,490 + 7,492 1,268 53 @@ -251,40 +218,40 @@ - matze/mtheme - https://github.com/matze/mtheme - A modern LaTeX Beamer theme - https://github.com/matze/mtheme + AllenDowney/ThinkPython2 + https://github.com/AllenDowney/ThinkPython2 + LaTeX source and supporting code for Think Python, 2nd edition, by Allen Downey. + https://github.com/AllenDowney/ThinkPython2 TeX #3D6117 - 6,436 - 846 - 8 + 2,511 + 1,658 + 5 - https://avatars.githubusercontent.com/u/115270?s=40&v=4 - matze - https://github.com/matze + https://avatars.githubusercontent.com/u/1882093?s=40&v=4 + AllenDowney + https://github.com/AllenDowney - https://avatars.githubusercontent.com/u/1131743?s=40&v=4 - rchurchley - https://github.com/rchurchley + https://avatars.githubusercontent.com/u/42013600?s=40&v=4 + espinoza + https://github.com/espinoza - https://avatars.githubusercontent.com/u/9158719?s=40&v=4 - benjamin-weiss - https://github.com/benjamin-weiss + https://avatars.githubusercontent.com/u/8841425?s=40&v=4 + lbordoni + https://github.com/lbordoni - https://avatars.githubusercontent.com/u/4878624?s=40&v=4 - tmgriffiths - https://github.com/tmgriffiths + https://avatars.githubusercontent.com/u/9666?s=40&v=4 + marked + https://github.com/marked - https://avatars.githubusercontent.com/u/1829933?s=40&v=4 - awalterschulze - https://github.com/awalterschulze + https://avatars.githubusercontent.com/u/88080?s=40&v=4 + lbt + https://github.com/lbt @@ -326,6 +293,82 @@ + + mooculus/calculus + https://github.com/mooculus/calculus + + https://github.com/mooculus/calculus + TeX + #3D6117 + 139 + 452 + 0 + + + https://avatars.githubusercontent.com/u/1376703?s=40&v=4 + bartsnapp + https://github.com/bartsnapp + + + https://avatars.githubusercontent.com/u/29204883?s=40&v=4 + Nelalakos + https://github.com/Nelalakos + + + https://avatars.githubusercontent.com/u/12518889?s=40&v=4 + NDMathHo + https://github.com/NDMathHo + + + https://avatars.githubusercontent.com/u/148352?s=40&v=4 + kisonecat + https://github.com/kisonecat + + + https://avatars.githubusercontent.com/u/5612650?s=40&v=4 + jgeorge287 + https://github.com/jgeorge287 + + + + + TheNetAdmin/zjuthesis + https://github.com/TheNetAdmin/zjuthesis + Zhejiang University Graduation Thesis LaTeX Template + https://github.com/TheNetAdmin/zjuthesis + TeX + #3D6117 + 2,686 + 637 + 16 + + + https://avatars.githubusercontent.com/u/18525442?s=40&v=4 + TheNetAdmin + https://github.com/TheNetAdmin + + + https://avatars.githubusercontent.com/u/66413488?s=40&v=4 + endless-hu + https://github.com/endless-hu + + + https://avatars.githubusercontent.com/u/48663326?s=40&v=4 + xfz329 + https://github.com/xfz329 + + + https://avatars.githubusercontent.com/u/48900010?s=40&v=4 + futuretech6 + https://github.com/futuretech6 + + + https://avatars.githubusercontent.com/u/22725494?s=40&v=4 + ya654 + https://github.com/ya654 + + + joaomlourenco/novathesis https://github.com/joaomlourenco/novathesis @@ -365,220 +408,187 @@ - AllenDowney/ThinkPython2 - https://github.com/AllenDowney/ThinkPython2 - LaTeX source and supporting code for Think Python, 2nd edition, by Allen Downey. - https://github.com/AllenDowney/ThinkPython2 + Cactus-proj/A-Philosophy-of-Software-Design-zh + https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh + A Philosophy of Software Design 《软件设计的哲学》中文翻译 + https://github.com/Cactus-proj/A-Philosophy-of-Software-Design-zh TeX #3D6117 - 2,511 - 1,658 - 5 + 717 + 271 + 31 - https://avatars.githubusercontent.com/u/1882093?s=40&v=4 - AllenDowney - https://github.com/AllenDowney - - - https://avatars.githubusercontent.com/u/42013600?s=40&v=4 - espinoza - https://github.com/espinoza - - - https://avatars.githubusercontent.com/u/8841425?s=40&v=4 - lbordoni - https://github.com/lbordoni + https://avatars.githubusercontent.com/u/5158738?s=40&v=4 + inkydragon + https://github.com/inkydragon - https://avatars.githubusercontent.com/u/9666?s=40&v=4 - marked - https://github.com/marked + https://avatars.githubusercontent.com/u/14055251?s=40&v=4 + wanghuanwei + https://github.com/wanghuanwei - https://avatars.githubusercontent.com/u/88080?s=40&v=4 - lbt - https://github.com/lbt - - - - - sanjib-sen/WebLaTex - https://github.com/sanjib-sen/WebLaTex - A complete alternative for Overleaf with VSCode + Web + Git Integration + Copilot + Grammar & Spell Checker + Live Collaboration Support. Based on GitHub Codespace and Dev container. - https://github.com/sanjib-sen/WebLaTex - TeX - #3D6117 - 1,045 - 297 - 1 - - - https://avatars.githubusercontent.com/u/54777542?s=40&v=4 - sanjib-sen - https://github.com/sanjib-sen + https://avatars.githubusercontent.com/u/33390928?s=40&v=4 + gdut-yy + https://github.com/gdut-yy - https://avatars.githubusercontent.com/u/1331872?s=40&v=4 - Mearman - https://github.com/Mearman + https://avatars.githubusercontent.com/u/1365962?s=40&v=4 + BlackGlory + https://github.com/BlackGlory - https://avatars.githubusercontent.com/u/34148978?s=40&v=4 - thodson-usgs - https://github.com/thodson-usgs + https://avatars.githubusercontent.com/u/48850370?s=40&v=4 + liquid207 + https://github.com/liquid207 - billryan/resume - https://github.com/billryan/resume - An elegant \LaTeX\ résumé template. 大陆镜像 https://gods.coding.net/p/resume/git - https://github.com/billryan/resume + matze/mtheme + https://github.com/matze/mtheme + A modern LaTeX Beamer theme + https://github.com/matze/mtheme TeX #3D6117 - 9,304 - 2,614 - 15 + 6,436 + 846 + 8 - https://avatars.githubusercontent.com/u/1292567?s=40&v=4 - billryan - https://github.com/billryan + https://avatars.githubusercontent.com/u/115270?s=40&v=4 + matze + https://github.com/matze - https://avatars.githubusercontent.com/u/22552597?s=40&v=4 - Lovelace42 - https://github.com/Lovelace42 + https://avatars.githubusercontent.com/u/1131743?s=40&v=4 + rchurchley + https://github.com/rchurchley - https://avatars.githubusercontent.com/u/24441206?s=40&v=4 - sedrakk - https://github.com/sedrakk + https://avatars.githubusercontent.com/u/9158719?s=40&v=4 + benjamin-weiss + https://github.com/benjamin-weiss - https://avatars.githubusercontent.com/u/25968335?s=40&v=4 - remiliacn - https://github.com/remiliacn + https://avatars.githubusercontent.com/u/4878624?s=40&v=4 + tmgriffiths + https://github.com/tmgriffiths - https://avatars.githubusercontent.com/u/51283607?s=40&v=4 - SARIKAMB - https://github.com/SARIKAMB + https://avatars.githubusercontent.com/u/1829933?s=40&v=4 + awalterschulze + https://github.com/awalterschulze - sb2nov/resume - https://github.com/sb2nov/resume - Software developer resume in Latex - https://github.com/sb2nov/resume + lib-pku/libpku + https://github.com/lib-pku/libpku + 贵校课程资料民间整理 + https://github.com/lib-pku/libpku TeX #3D6117 - 5,316 - 1,497 + 30,443 + 8,262 22 - https://avatars.githubusercontent.com/u/1517779?s=40&v=4 - sb2nov - https://github.com/sb2nov + https://avatars.githubusercontent.com/u/45264158?s=40&v=4 + librarian-pku + https://github.com/librarian-pku - https://avatars.githubusercontent.com/u/146706527?s=40&v=4 - TheColorDarkBlue - https://github.com/TheColorDarkBlue + https://avatars.githubusercontent.com/u/35071593?s=40&v=4 + littlesulley + https://github.com/littlesulley - https://avatars.githubusercontent.com/u/947595?s=40&v=4 - dearlordylord - https://github.com/dearlordylord + https://avatars.githubusercontent.com/u/47054269?s=40&v=4 + SyzygyYuan + https://github.com/SyzygyYuan - https://avatars.githubusercontent.com/u/1228142?s=40&v=4 - greenmoon55 - https://github.com/greenmoon55 + https://avatars.githubusercontent.com/u/44885400?s=40&v=4 + colizz + https://github.com/colizz - https://avatars.githubusercontent.com/u/6411209?s=40&v=4 - ygnr - https://github.com/ygnr + https://avatars.githubusercontent.com/u/31237954?s=40&v=4 + brenner8023 + https://github.com/brenner8023 - rstudio/cheatsheets - https://github.com/rstudio/cheatsheets - Posit Cheat Sheets - Can also be found at https://posit.co/resources/cheatsheets/. - https://github.com/rstudio/cheatsheets + tuhdo/os01 + https://github.com/tuhdo/os01 + Bootstrap yourself to write an OS from scratch. A book for self-learner. + https://github.com/tuhdo/os01 TeX #3D6117 - 5,870 - 1,826 - 9 + 12,019 + 710 + 18 - https://avatars.githubusercontent.com/u/85014?s=40&v=4 - garrettgman - https://github.com/garrettgman + https://avatars.githubusercontent.com/u/4818719?s=40&v=4 + tuhdo + https://github.com/tuhdo - https://avatars.githubusercontent.com/u/17169253?s=40&v=4 - averiperny - https://github.com/averiperny + https://avatars.githubusercontent.com/u/12422195?s=40&v=4 + ryangalamb + https://github.com/ryangalamb - https://avatars.githubusercontent.com/u/5965649?s=40&v=4 - mine-cetinkaya-rundel - https://github.com/mine-cetinkaya-rundel + https://avatars.githubusercontent.com/u/6293125?s=40&v=4 + Kriskras99 + https://github.com/Kriskras99 - https://avatars.githubusercontent.com/u/2816635?s=40&v=4 - ateucher - https://github.com/ateucher + https://avatars.githubusercontent.com/u/16965931?s=40&v=4 + sloganking + https://github.com/sloganking - https://avatars.githubusercontent.com/u/41997494?s=40&v=4 - brendanjodowd - https://github.com/brendanjodowd + https://avatars.githubusercontent.com/u/939551?s=40&v=4 + battaile + https://github.com/battaile - TheNetAdmin/zjuthesis - https://github.com/TheNetAdmin/zjuthesis - Zhejiang University Graduation Thesis LaTeX Template - https://github.com/TheNetAdmin/zjuthesis + posquit0/Awesome-CV + https://github.com/posquit0/Awesome-CV + 📄 Awesome CV is LaTeX template for your outstanding job application + https://github.com/posquit0/Awesome-CV TeX #3D6117 - 2,683 - 637 - 16 + 23,271 + 4,814 + 53 - https://avatars.githubusercontent.com/u/18525442?s=40&v=4 - TheNetAdmin - https://github.com/TheNetAdmin - - - https://avatars.githubusercontent.com/u/66413488?s=40&v=4 - endless-hu - https://github.com/endless-hu + https://avatars.githubusercontent.com/u/1484002?s=40&v=4 + posquit0 + https://github.com/posquit0 - https://avatars.githubusercontent.com/u/48663326?s=40&v=4 - xfz329 - https://github.com/xfz329 + https://avatars.githubusercontent.com/u/690051?s=40&v=4 + nitrogl + https://github.com/nitrogl - https://avatars.githubusercontent.com/u/48900010?s=40&v=4 - futuretech6 - https://github.com/futuretech6 + https://avatars.githubusercontent.com/u/3946014?s=40&v=4 + OJFord + https://github.com/OJFord - https://avatars.githubusercontent.com/u/22725494?s=40&v=4 - ya654 - https://github.com/ya654 + https://avatars.githubusercontent.com/u/8258702?s=40&v=4 + johannesbottcher + https://github.com/johannesbottcher diff --git a/data/weekly/texinfo.json b/data/weekly/texinfo.json index 3d2d9dcac0dd..fd9c35aa182d 100644 --- a/data/weekly/texinfo.json +++ b/data/weekly/texinfo.json @@ -2,6 +2,6 @@ "title": "GitHub Texinfo Languages Weekly Trending", "description": "Weekly Trending of Texinfo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/texinfo.xml b/data/weekly/texinfo.xml index 24003b101589..38d4615234be 100644 --- a/data/weekly/texinfo.xml +++ b/data/weekly/texinfo.xml @@ -3,6 +3,6 @@ GitHub Texinfo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Texinfo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/text.json b/data/weekly/text.json index 38f6e65aa93a..312080c180ca 100644 --- a/data/weekly/text.json +++ b/data/weekly/text.json @@ -2,7 +2,7 @@ "title": "GitHub Text Languages Weekly Trending", "description": "Weekly Trending of Text Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "DSPBluePrints/FactoryBluePrints", @@ -10,7 +10,7 @@ "description": "游戏戴森球计划的**工厂**蓝图仓库", "language": "Text", "languageColor": "#ccc", - "stars": "1,254", + "stars": "1,255", "forks": "248", "addStars": "14", "contributors": [ diff --git a/data/weekly/text.xml b/data/weekly/text.xml index d38e86defe86..ed4031f1f5a4 100644 --- a/data/weekly/text.xml +++ b/data/weekly/text.xml @@ -3,7 +3,7 @@ GitHub Text Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Text Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT DSPBluePrints/FactoryBluePrints https://github.com/DSPBluePrints/FactoryBluePrints @@ -11,7 +11,7 @@ https://github.com/DSPBluePrints/FactoryBluePrints Text #ccc - 1,254 + 1,255 248 14 diff --git a/data/weekly/textgrid.json b/data/weekly/textgrid.json index adcafcee71f4..bc34a135e619 100644 --- a/data/weekly/textgrid.json +++ b/data/weekly/textgrid.json @@ -2,6 +2,6 @@ "title": "GitHub Textgrid Languages Weekly Trending", "description": "Weekly Trending of Textgrid Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/textgrid.xml b/data/weekly/textgrid.xml index 484fd80b9a74..188f3e0adbdf 100644 --- a/data/weekly/textgrid.xml +++ b/data/weekly/textgrid.xml @@ -3,6 +3,6 @@ GitHub Textgrid Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Textgrid Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/textile.json b/data/weekly/textile.json index a72738c84e86..3c931e71fbb9 100644 --- a/data/weekly/textile.json +++ b/data/weekly/textile.json @@ -2,6 +2,6 @@ "title": "GitHub Textile Languages Weekly Trending", "description": "Weekly Trending of Textile Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/textile.xml b/data/weekly/textile.xml index 9954a42d3263..f13b0f845e3d 100644 --- a/data/weekly/textile.xml +++ b/data/weekly/textile.xml @@ -3,6 +3,6 @@ GitHub Textile Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Textile Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/textmate-properties.json b/data/weekly/textmate-properties.json index 8c3ffb428065..1d47c7b36619 100644 --- a/data/weekly/textmate-properties.json +++ b/data/weekly/textmate-properties.json @@ -2,6 +2,6 @@ "title": "GitHub Textmate-properties Languages Weekly Trending", "description": "Weekly Trending of Textmate-properties Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/textmate-properties.xml b/data/weekly/textmate-properties.xml index 110fb065749a..e30636f7f656 100644 --- a/data/weekly/textmate-properties.xml +++ b/data/weekly/textmate-properties.xml @@ -3,6 +3,6 @@ GitHub Textmate-properties Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Textmate-properties Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/thrift.json b/data/weekly/thrift.json index 5424eb8941a3..92da543c5453 100644 --- a/data/weekly/thrift.json +++ b/data/weekly/thrift.json @@ -2,7 +2,7 @@ "title": "GitHub Thrift Languages Weekly Trending", "description": "Weekly Trending of Thrift Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "apache/parquet-format", diff --git a/data/weekly/thrift.xml b/data/weekly/thrift.xml index 45f0d69fa575..eb7b5259a161 100644 --- a/data/weekly/thrift.xml +++ b/data/weekly/thrift.xml @@ -3,7 +3,7 @@ GitHub Thrift Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Thrift Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT apache/parquet-format https://github.com/apache/parquet-format diff --git a/data/weekly/ti-program.json b/data/weekly/ti-program.json index 50ae181e80f6..381c1f1c1f36 100644 --- a/data/weekly/ti-program.json +++ b/data/weekly/ti-program.json @@ -2,6 +2,6 @@ "title": "GitHub Ti-program Languages Weekly Trending", "description": "Weekly Trending of Ti-program Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/ti-program.xml b/data/weekly/ti-program.xml index ccdd4c61e159..5d78d4c64174 100644 --- a/data/weekly/ti-program.xml +++ b/data/weekly/ti-program.xml @@ -3,6 +3,6 @@ GitHub Ti-program Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Ti-program Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/tl-verilog.json b/data/weekly/tl-verilog.json index 635a05f3cb46..4b442c705a4e 100644 --- a/data/weekly/tl-verilog.json +++ b/data/weekly/tl-verilog.json @@ -2,6 +2,6 @@ "title": "GitHub Tl-verilog Languages Weekly Trending", "description": "Weekly Trending of Tl-verilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/tl-verilog.xml b/data/weekly/tl-verilog.xml index 49fa8aa62ad1..782f53f094d9 100644 --- a/data/weekly/tl-verilog.xml +++ b/data/weekly/tl-verilog.xml @@ -3,6 +3,6 @@ GitHub Tl-verilog Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tl-verilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/tla.json b/data/weekly/tla.json index ce18c5969c98..85345bd83f93 100644 --- a/data/weekly/tla.json +++ b/data/weekly/tla.json @@ -2,6 +2,6 @@ "title": "GitHub Tla Languages Weekly Trending", "description": "Weekly Trending of Tla Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/tla.xml b/data/weekly/tla.xml index 5edff21122fd..4e3ccbb886db 100644 --- a/data/weekly/tla.xml +++ b/data/weekly/tla.xml @@ -3,6 +3,6 @@ GitHub Tla Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tla Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/toit.json b/data/weekly/toit.json index 076453e85adb..ffcee57a81a1 100644 --- a/data/weekly/toit.json +++ b/data/weekly/toit.json @@ -2,6 +2,6 @@ "title": "GitHub Toit Languages Weekly Trending", "description": "Weekly Trending of Toit Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/toit.xml b/data/weekly/toit.xml index 3d56aa777044..8009cf152b97 100644 --- a/data/weekly/toit.xml +++ b/data/weekly/toit.xml @@ -3,6 +3,6 @@ GitHub Toit Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Toit Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/toml.json b/data/weekly/toml.json index e1bce9d2a988..f88557b107be 100644 --- a/data/weekly/toml.json +++ b/data/weekly/toml.json @@ -2,6 +2,6 @@ "title": "GitHub Toml Languages Weekly Trending", "description": "Weekly Trending of Toml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/toml.xml b/data/weekly/toml.xml index 608b244e6a8d..0c267d8c5fab 100644 --- a/data/weekly/toml.xml +++ b/data/weekly/toml.xml @@ -3,6 +3,6 @@ GitHub Toml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Toml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/tsql.json b/data/weekly/tsql.json index 5f827c47d1e5..de1b68580aab 100644 --- a/data/weekly/tsql.json +++ b/data/weekly/tsql.json @@ -2,7 +2,7 @@ "title": "GitHub Tsql Languages Weekly Trending", "description": "Weekly Trending of Tsql Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "BrentOzarULTD/SQL-Server-First-Responder-Kit", @@ -10,7 +10,7 @@ "description": "sp_Blitz, sp_BlitzCache, sp_BlitzFirst, sp_BlitzIndex, and other SQL Server scripts for health checks and performance tuning.", "language": "TSQL", "languageColor": "#e38c00", - "stars": "3,380", + "stars": "3,381", "forks": "999", "addStars": "7", "contributors": [ @@ -41,38 +41,6 @@ } ] }, - { - "title": "dr5hn/countries-states-cities-database", - "url": "https://github.com/dr5hn/countries-states-cities-database", - "description": "🌍 Discover our global repository of countries, states, and cities! 🏙️ Get comprehensive data in JSON, SQL, PSQL, XML, YAML, and CSV formats. Access ISO2, ISO3 codes, country code, capital, native language, timezones (for countries), and more. #countries #states #cities", - "language": "TSQL", - "languageColor": "#e38c00", - "stars": "7,524", - "forks": "2,593", - "addStars": "34", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6929121?s=40&v=4", - "name": "dr5hn", - "url": "https://github.com/dr5hn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5888753?s=40&v=4", - "name": "cesariverit", - "url": "https://github.com/cesariverit" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16164903?s=40&v=4", - "name": "tudoranghelina91", - "url": "https://github.com/tudoranghelina91" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/169593545?s=40&v=4", - "name": "Aakash315", - "url": "https://github.com/Aakash315" - } - ] - }, { "title": "microsoft/fhir-server", "url": "https://github.com/microsoft/fhir-server", @@ -142,6 +110,38 @@ } ] }, + { + "title": "dr5hn/countries-states-cities-database", + "url": "https://github.com/dr5hn/countries-states-cities-database", + "description": "🌍 Discover our global repository of countries, states, and cities! 🏙️ Get comprehensive data in JSON, SQL, PSQL, XML, YAML, and CSV formats. Access ISO2, ISO3 codes, country code, capital, native language, timezones (for countries), and more. #countries #states #cities", + "language": "TSQL", + "languageColor": "#e38c00", + "stars": "7,524", + "forks": "2,595", + "addStars": "34", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6929121?s=40&v=4", + "name": "dr5hn", + "url": "https://github.com/dr5hn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5888753?s=40&v=4", + "name": "cesariverit", + "url": "https://github.com/cesariverit" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16164903?s=40&v=4", + "name": "tudoranghelina91", + "url": "https://github.com/tudoranghelina91" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/169593545?s=40&v=4", + "name": "Aakash315", + "url": "https://github.com/Aakash315" + } + ] + }, { "title": "amachanic/sp_whoisactive", "url": "https://github.com/amachanic/sp_whoisactive", diff --git a/data/weekly/tsql.xml b/data/weekly/tsql.xml index 864dc54e0463..4a5c94f74c0a 100644 --- a/data/weekly/tsql.xml +++ b/data/weekly/tsql.xml @@ -3,7 +3,7 @@ GitHub Tsql Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tsql Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT BrentOzarULTD/SQL-Server-First-Responder-Kit https://github.com/BrentOzarULTD/SQL-Server-First-Responder-Kit @@ -11,7 +11,7 @@ https://github.com/BrentOzarULTD/SQL-Server-First-Responder-Kit TSQL #e38c00 - 3,380 + 3,381 999 7 @@ -42,39 +42,6 @@ - - dr5hn/countries-states-cities-database - https://github.com/dr5hn/countries-states-cities-database - 🌍 Discover our global repository of countries, states, and cities! 🏙️ Get comprehensive data in JSON, SQL, PSQL, XML, YAML, and CSV formats. Access ISO2, ISO3 codes, country code, capital, native language, timezones (for countries), and more. #countries #states #cities - https://github.com/dr5hn/countries-states-cities-database - TSQL - #e38c00 - 7,524 - 2,593 - 34 - - - https://avatars.githubusercontent.com/u/6929121?s=40&v=4 - dr5hn - https://github.com/dr5hn - - - https://avatars.githubusercontent.com/u/5888753?s=40&v=4 - cesariverit - https://github.com/cesariverit - - - https://avatars.githubusercontent.com/u/16164903?s=40&v=4 - tudoranghelina91 - https://github.com/tudoranghelina91 - - - https://avatars.githubusercontent.com/u/169593545?s=40&v=4 - Aakash315 - https://github.com/Aakash315 - - - microsoft/fhir-server https://github.com/microsoft/fhir-server @@ -146,6 +113,39 @@ + + dr5hn/countries-states-cities-database + https://github.com/dr5hn/countries-states-cities-database + 🌍 Discover our global repository of countries, states, and cities! 🏙️ Get comprehensive data in JSON, SQL, PSQL, XML, YAML, and CSV formats. Access ISO2, ISO3 codes, country code, capital, native language, timezones (for countries), and more. #countries #states #cities + https://github.com/dr5hn/countries-states-cities-database + TSQL + #e38c00 + 7,524 + 2,595 + 34 + + + https://avatars.githubusercontent.com/u/6929121?s=40&v=4 + dr5hn + https://github.com/dr5hn + + + https://avatars.githubusercontent.com/u/5888753?s=40&v=4 + cesariverit + https://github.com/cesariverit + + + https://avatars.githubusercontent.com/u/16164903?s=40&v=4 + tudoranghelina91 + https://github.com/tudoranghelina91 + + + https://avatars.githubusercontent.com/u/169593545?s=40&v=4 + Aakash315 + https://github.com/Aakash315 + + + amachanic/sp_whoisactive https://github.com/amachanic/sp_whoisactive diff --git a/data/weekly/tsv.json b/data/weekly/tsv.json index 814af429c38c..0175638c5fb3 100644 --- a/data/weekly/tsv.json +++ b/data/weekly/tsv.json @@ -2,6 +2,6 @@ "title": "GitHub Tsv Languages Weekly Trending", "description": "Weekly Trending of Tsv Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/tsv.xml b/data/weekly/tsv.xml index 75cbf2b65b22..2ca14762d871 100644 --- a/data/weekly/tsv.xml +++ b/data/weekly/tsv.xml @@ -3,6 +3,6 @@ GitHub Tsv Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tsv Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/tsx.json b/data/weekly/tsx.json index fbab299108fb..c1ee634608c4 100644 --- a/data/weekly/tsx.json +++ b/data/weekly/tsx.json @@ -2,6 +2,6 @@ "title": "GitHub Tsx Languages Weekly Trending", "description": "Weekly Trending of Tsx Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/tsx.xml b/data/weekly/tsx.xml index 233c598196a4..985ed155d975 100644 --- a/data/weekly/tsx.xml +++ b/data/weekly/tsx.xml @@ -3,6 +3,6 @@ GitHub Tsx Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Tsx Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/turing.json b/data/weekly/turing.json index a4f7dc235a1b..69fc6522fd99 100644 --- a/data/weekly/turing.json +++ b/data/weekly/turing.json @@ -2,6 +2,6 @@ "title": "GitHub Turing Languages Weekly Trending", "description": "Weekly Trending of Turing Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/turing.xml b/data/weekly/turing.xml index c6248846590d..985e1128a30e 100644 --- a/data/weekly/turing.xml +++ b/data/weekly/turing.xml @@ -3,6 +3,6 @@ GitHub Turing Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Turing Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/turtle.json b/data/weekly/turtle.json index 775480965a8a..1380ee6ebd2e 100644 --- a/data/weekly/turtle.json +++ b/data/weekly/turtle.json @@ -2,6 +2,6 @@ "title": "GitHub Turtle Languages Weekly Trending", "description": "Weekly Trending of Turtle Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/turtle.xml b/data/weekly/turtle.xml index 83599b7d6b24..c49df80ac8a6 100644 --- a/data/weekly/turtle.xml +++ b/data/weekly/turtle.xml @@ -3,6 +3,6 @@ GitHub Turtle Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Turtle Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/twig.json b/data/weekly/twig.json index 2798bbf31229..f9a0b833e290 100644 --- a/data/weekly/twig.json +++ b/data/weekly/twig.json @@ -2,7 +2,7 @@ "title": "GitHub Twig Languages Weekly Trending", "description": "Weekly Trending of Twig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "govCMS/GovCMS", diff --git a/data/weekly/twig.xml b/data/weekly/twig.xml index 67dbcd278558..42b38210f1c2 100644 --- a/data/weekly/twig.xml +++ b/data/weekly/twig.xml @@ -3,7 +3,7 @@ GitHub Twig Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Twig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT govCMS/GovCMS https://github.com/govCMS/GovCMS diff --git a/data/weekly/txl.json b/data/weekly/txl.json index 8159d4feded6..14087b79919b 100644 --- a/data/weekly/txl.json +++ b/data/weekly/txl.json @@ -2,6 +2,6 @@ "title": "GitHub Txl Languages Weekly Trending", "description": "Weekly Trending of Txl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/txl.xml b/data/weekly/txl.xml index 36a381dcd3f2..c61aa11cda4a 100644 --- a/data/weekly/txl.xml +++ b/data/weekly/txl.xml @@ -3,6 +3,6 @@ GitHub Txl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Txl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/type-language.json b/data/weekly/type-language.json index 0ca30b36f0c4..33fe938382ce 100644 --- a/data/weekly/type-language.json +++ b/data/weekly/type-language.json @@ -2,6 +2,6 @@ "title": "GitHub Type-language Languages Weekly Trending", "description": "Weekly Trending of Type-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/type-language.xml b/data/weekly/type-language.xml index c7fdc85dbd32..3ea1dde1768c 100644 --- a/data/weekly/type-language.xml +++ b/data/weekly/type-language.xml @@ -3,6 +3,6 @@ GitHub Type-language Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Type-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/typescript.json b/data/weekly/typescript.json index 37a284955cd9..1649171e8d1f 100644 --- a/data/weekly/typescript.json +++ b/data/weekly/typescript.json @@ -2,7 +2,7 @@ "title": "GitHub Typescript Languages Weekly Trending", "description": "Weekly Trending of Typescript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "twentyhq/twenty", @@ -10,8 +10,8 @@ "description": "Building a modern alternative to Salesforce, powered by the community.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "23,433", - "forks": "2,426", + "stars": "23,467", + "forks": "2,428", "addStars": "3,095", "contributors": [ { @@ -41,46 +41,14 @@ } ] }, - { - "title": "ai16z/eliza", - "url": "https://github.com/ai16z/eliza", - "description": "Conversational Agent for Twitter and Discord", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "2,108", - "forks": "568", - "addStars": "917", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/18633264?s=40&v=4", - "name": "lalalune", - "url": "https://github.com/lalalune" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/90423308?s=40&v=4", - "name": "ponderingdemocritus", - "url": "https://github.com/ponderingdemocritus" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/28543?s=40&v=4", - "name": "sirkitree", - "url": "https://github.com/sirkitree" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/70625671?s=40&v=4", - "name": "MarcoMandar", - "url": "https://github.com/MarcoMandar" - } - ] - }, { "title": "payloadcms/payload", "url": "https://github.com/payloadcms/payload", "description": "Payload is the open-source, fullstack Next.js framework, giving you instant backend superpowers. Get a full TypeScript backend and admin panel instantly. Use Payload as a headless CMS or for building powerful applications.", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "28,106", - "forks": "1,741", + "stars": "28,144", + "forks": "1,743", "addStars": "2,422", "contributors": [ { @@ -111,39 +79,34 @@ ] }, { - "title": "Bin-Huang/chatbox", - "url": "https://github.com/Bin-Huang/chatbox", - "description": "User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...)", + "title": "ai16z/eliza", + "url": "https://github.com/ai16z/eliza", + "description": "Conversational Agent for Twitter and Discord", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "22,941", - "forks": "2,287", - "addStars": "1,009", + "stars": "2,124", + "forks": "575", + "addStars": "917", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/20723142?s=40&v=4", - "name": "Bin-Huang", - "url": "https://github.com/Bin-Huang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19162201?s=40&v=4", - "name": "hiNISAL", - "url": "https://github.com/hiNISAL" + "avatar": "https://avatars.githubusercontent.com/u/18633264?s=40&v=4", + "name": "lalalune", + "url": "https://github.com/lalalune" }, { - "avatar": "https://avatars.githubusercontent.com/u/1571956?s=40&v=4", - "name": "joegoldin", - "url": "https://github.com/joegoldin" + "avatar": "https://avatars.githubusercontent.com/u/90423308?s=40&v=4", + "name": "ponderingdemocritus", + "url": "https://github.com/ponderingdemocritus" }, { - "avatar": "https://avatars.githubusercontent.com/u/5771087?s=40&v=4", - "name": "paddingme", - "url": "https://github.com/paddingme" + "avatar": "https://avatars.githubusercontent.com/u/28543?s=40&v=4", + "name": "sirkitree", + "url": "https://github.com/sirkitree" }, { - "avatar": "https://avatars.githubusercontent.com/u/6491222?s=40&v=4", - "name": "liuzesen", - "url": "https://github.com/liuzesen" + "avatar": "https://avatars.githubusercontent.com/u/70625671?s=40&v=4", + "name": "MarcoMandar", + "url": "https://github.com/MarcoMandar" } ] }, @@ -153,8 +116,8 @@ "description": "The Bluesky Social application for Web, iOS, and Android", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "12,435", - "forks": "1,588", + "stars": "12,526", + "forks": "1,601", "addStars": "998", "contributors": [ { @@ -190,8 +153,8 @@ "description": "Social networking technology created by Bluesky", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "7,070", - "forks": "505", + "stars": "7,122", + "forks": "509", "addStars": "305", "contributors": [ { @@ -217,29 +180,39 @@ ] }, { - "title": "excalidraw/excalidraw", - "url": "https://github.com/excalidraw/excalidraw", - "description": "Virtual whiteboard for sketching hand-drawn like diagrams", + "title": "Bin-Huang/chatbox", + "url": "https://github.com/Bin-Huang/chatbox", + "description": "User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...)", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "86,181", - "forks": "8,162", - "addStars": "1,161", + "stars": "22,960", + "forks": "2,287", + "addStars": "1,009", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/5153846?s=40&v=4", - "name": "dwelle", - "url": "https://github.com/dwelle" + "avatar": "https://avatars.githubusercontent.com/u/20723142?s=40&v=4", + "name": "Bin-Huang", + "url": "https://github.com/Bin-Huang" }, { - "avatar": "https://avatars.githubusercontent.com/u/11256141?s=40&v=4", - "name": "ad1992", - "url": "https://github.com/ad1992" + "avatar": "https://avatars.githubusercontent.com/u/19162201?s=40&v=4", + "name": "hiNISAL", + "url": "https://github.com/hiNISAL" }, { - "avatar": "https://avatars.githubusercontent.com/u/125676?s=40&v=4", - "name": "lipis", - "url": "https://github.com/lipis" + "avatar": "https://avatars.githubusercontent.com/u/1571956?s=40&v=4", + "name": "joegoldin", + "url": "https://github.com/joegoldin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5771087?s=40&v=4", + "name": "paddingme", + "url": "https://github.com/paddingme" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6491222?s=40&v=4", + "name": "liuzesen", + "url": "https://github.com/liuzesen" } ] }, @@ -249,8 +222,8 @@ "description": "📨 The ultimate social media scheduling tool, with a bunch of AI 🤖", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "11,341", - "forks": "2,321", + "stars": "11,429", + "forks": "2,325", "addStars": "1,157", "contributors": [ { @@ -280,13 +253,40 @@ } ] }, + { + "title": "excalidraw/excalidraw", + "url": "https://github.com/excalidraw/excalidraw", + "description": "Virtual whiteboard for sketching hand-drawn like diagrams", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "86,219", + "forks": "8,165", + "addStars": "1,161", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5153846?s=40&v=4", + "name": "dwelle", + "url": "https://github.com/dwelle" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/11256141?s=40&v=4", + "name": "ad1992", + "url": "https://github.com/ad1992" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/125676?s=40&v=4", + "name": "lipis", + "url": "https://github.com/lipis" + } + ] + }, { "title": "ItzCrazyKns/Perplexica", "url": "https://github.com/ItzCrazyKns/Perplexica", "description": "Perplexica is an AI-powered search engine. It is an Open source alternative to Perplexity AI", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "16,337", + "stars": "16,347", "forks": "1,529", "addStars": "1,336", "contributors": [ @@ -317,46 +317,14 @@ } ] }, - { - "title": "awslabs/multi-agent-orchestrator", - "url": "https://github.com/awslabs/multi-agent-orchestrator", - "description": "Flexible and powerful framework for managing multiple AI agents and handling complex conversations", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "2,082", - "forks": "138", - "addStars": "1,849", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/64135631?s=40&v=4", - "name": "brnaba-aws", - "url": "https://github.com/brnaba-aws" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4018562?s=40&v=4", - "name": "cornelcroi", - "url": "https://github.com/cornelcroi" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/769748?s=40&v=4", - "name": "hghandri", - "url": "https://github.com/hghandri" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/20408008?s=40&v=4", - "name": "allaway", - "url": "https://github.com/allaway" - } - ] - }, { "title": "bluesky-social/feed-generator", "url": "https://github.com/bluesky-social/feed-generator", "description": "ATProto Feed Generator Starter Kit", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "1,354", - "forks": "486", + "stars": "1,363", + "forks": "487", "addStars": "162", "contributors": [ { @@ -386,46 +354,14 @@ } ] }, - { - "title": "motiondivision/motion", - "url": "https://github.com/motiondivision/motion", - "description": "A modern animation library for React and JavaScript", - "language": "TypeScript", - "languageColor": "#3178c6", - "stars": "25,806", - "forks": "849", - "addStars": "919", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7850794?s=40&v=4", - "name": "mattgperry", - "url": "https://github.com/mattgperry" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/248861?s=40&v=4", - "name": "nvh", - "url": "https://github.com/nvh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/869934?s=40&v=4", - "name": "benjamindenboer", - "url": "https://github.com/benjamindenboer" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/778908?s=40&v=4", - "name": "asci", - "url": "https://github.com/asci" - } - ] - }, { "title": "angular/angular", "url": "https://github.com/angular/angular", "description": "Deliver web apps with confidence 🚀", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "96,326", - "forks": "25,547", + "stars": "96,329", + "forks": "25,548", "addStars": "129", "contributors": [ { @@ -461,7 +397,7 @@ "description": "🧡 Follow your favorites in one inbox", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "18,070", + "stars": "18,147", "forks": "737", "addStars": "1,556", "contributors": [ @@ -493,29 +429,125 @@ ] }, { - "title": "solana-labs/solana-web3.js", - "url": "https://github.com/solana-labs/solana-web3.js", - "description": "Solana JavaScript SDK", + "title": "motiondivision/motion", + "url": "https://github.com/motiondivision/motion", + "description": "A modern animation library for React and JavaScript", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "25,824", + "forks": "849", + "addStars": "919", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7850794?s=40&v=4", + "name": "mattgperry", + "url": "https://github.com/mattgperry" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/248861?s=40&v=4", + "name": "nvh", + "url": "https://github.com/nvh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/869934?s=40&v=4", + "name": "benjamindenboer", + "url": "https://github.com/benjamindenboer" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/778908?s=40&v=4", + "name": "asci", + "url": "https://github.com/asci" + } + ] + }, + { + "title": "ntegrals/aura-voice", + "url": "https://github.com/ntegrals/aura-voice", + "description": "Aura is like Siri, but in your browser. An AI voice assistant optimized for low latency responses.", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "872", + "forks": "76", + "addStars": "157", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26648900?s=40&v=4", + "name": "ntegrals", + "url": "https://github.com/ntegrals" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53571741?s=40&v=4", + "name": "erich2s", + "url": "https://github.com/erich2s" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/17435126?s=40&v=4", + "name": "DavidLMS", + "url": "https://github.com/DavidLMS" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/57733840?s=40&v=4", + "name": "chase-west", + "url": "https://github.com/chase-west" + } + ] + }, + { + "title": "rckprtr/pumpdotfun-sdk", + "url": "https://github.com/rckprtr/pumpdotfun-sdk", + "description": "PumpDotFun SDK", "language": "TypeScript", "languageColor": "#3178c6", - "stars": "2,240", - "forks": "890", - "addStars": "34", + "stars": "331", + "forks": "129", + "addStars": "47", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/13243?s=40&v=4", - "name": "steveluscher", - "url": "https://github.com/steveluscher" + "avatar": "https://avatars.githubusercontent.com/u/4605139?s=40&v=4", + "name": "rckprtr", + "url": "https://github.com/rckprtr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/177687?s=40&v=4", + "name": "kalloc", + "url": "https://github.com/kalloc" + } + ] + }, + { + "title": "TanStack/router", + "url": "https://github.com/TanStack/router", + "description": "🤖 Fully typesafe Router for React (and friends) w/ built-in caching, 1st class search-param APIs, client-side cache integration and isomorphic rendering.", + "language": "TypeScript", + "languageColor": "#3178c6", + "stars": "8,269", + "forks": "661", + "addStars": "67", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5580297?s=40&v=4", + "name": "tannerlinsley", + "url": "https://github.com/tannerlinsley" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6340397?s=40&v=4", + "name": "schiller-manuel", + "url": "https://github.com/schiller-manuel" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/33615041?s=40&v=4", + "name": "SeanCassiere", + "url": "https://github.com/SeanCassiere" }, { - "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", - "name": "traviscibot", - "url": "https://github.com/traviscibot" + "avatar": "https://avatars.githubusercontent.com/u/7883601?s=40&v=4", + "name": "chorobin", + "url": "https://github.com/chorobin" }, { - "avatar": "https://avatars.githubusercontent.com/u/67205063?s=40&v=4", - "name": "buffalojoec", - "url": "https://github.com/buffalojoec" + "avatar": "https://avatars.githubusercontent.com/u/1667261?s=40&v=4", + "name": "lachlancollins", + "url": "https://github.com/lachlancollins" } ] } diff --git a/data/weekly/typescript.xml b/data/weekly/typescript.xml index 8b9f91df8093..215b8acaee39 100644 --- a/data/weekly/typescript.xml +++ b/data/weekly/typescript.xml @@ -3,7 +3,7 @@ GitHub Typescript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Typescript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT twentyhq/twenty https://github.com/twentyhq/twenty @@ -11,8 +11,8 @@ https://github.com/twentyhq/twenty TypeScript #3178c6 - 23,433 - 2,426 + 23,467 + 2,428 3,095 @@ -42,39 +42,6 @@ - - ai16z/eliza - https://github.com/ai16z/eliza - Conversational Agent for Twitter and Discord - https://github.com/ai16z/eliza - TypeScript - #3178c6 - 2,108 - 568 - 917 - - - https://avatars.githubusercontent.com/u/18633264?s=40&v=4 - lalalune - https://github.com/lalalune - - - https://avatars.githubusercontent.com/u/90423308?s=40&v=4 - ponderingdemocritus - https://github.com/ponderingdemocritus - - - https://avatars.githubusercontent.com/u/28543?s=40&v=4 - sirkitree - https://github.com/sirkitree - - - https://avatars.githubusercontent.com/u/70625671?s=40&v=4 - MarcoMandar - https://github.com/MarcoMandar - - - payloadcms/payload https://github.com/payloadcms/payload @@ -82,8 +49,8 @@ https://github.com/payloadcms/payload TypeScript #3178c6 - 28,106 - 1,741 + 28,144 + 1,743 2,422 @@ -114,40 +81,35 @@ - Bin-Huang/chatbox - https://github.com/Bin-Huang/chatbox - User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...) - https://github.com/Bin-Huang/chatbox + ai16z/eliza + https://github.com/ai16z/eliza + Conversational Agent for Twitter and Discord + https://github.com/ai16z/eliza TypeScript #3178c6 - 22,941 - 2,287 - 1,009 + 2,124 + 575 + 917 - https://avatars.githubusercontent.com/u/20723142?s=40&v=4 - Bin-Huang - https://github.com/Bin-Huang - - - https://avatars.githubusercontent.com/u/19162201?s=40&v=4 - hiNISAL - https://github.com/hiNISAL + https://avatars.githubusercontent.com/u/18633264?s=40&v=4 + lalalune + https://github.com/lalalune - https://avatars.githubusercontent.com/u/1571956?s=40&v=4 - joegoldin - https://github.com/joegoldin + https://avatars.githubusercontent.com/u/90423308?s=40&v=4 + ponderingdemocritus + https://github.com/ponderingdemocritus - https://avatars.githubusercontent.com/u/5771087?s=40&v=4 - paddingme - https://github.com/paddingme + https://avatars.githubusercontent.com/u/28543?s=40&v=4 + sirkitree + https://github.com/sirkitree - https://avatars.githubusercontent.com/u/6491222?s=40&v=4 - liuzesen - https://github.com/liuzesen + https://avatars.githubusercontent.com/u/70625671?s=40&v=4 + MarcoMandar + https://github.com/MarcoMandar @@ -158,8 +120,8 @@ https://github.com/bluesky-social/social-app TypeScript #3178c6 - 12,435 - 1,588 + 12,526 + 1,601 998 @@ -196,8 +158,8 @@ https://github.com/bluesky-social/atproto TypeScript #3178c6 - 7,070 - 505 + 7,122 + 509 305 @@ -223,30 +185,40 @@ - excalidraw/excalidraw - https://github.com/excalidraw/excalidraw - Virtual whiteboard for sketching hand-drawn like diagrams - https://github.com/excalidraw/excalidraw + Bin-Huang/chatbox + https://github.com/Bin-Huang/chatbox + User-friendly Desktop Client App for AI Models/LLMs (GPT, Claude, Gemini, Ollama...) + https://github.com/Bin-Huang/chatbox TypeScript #3178c6 - 86,181 - 8,162 - 1,161 + 22,960 + 2,287 + 1,009 - https://avatars.githubusercontent.com/u/5153846?s=40&v=4 - dwelle - https://github.com/dwelle + https://avatars.githubusercontent.com/u/20723142?s=40&v=4 + Bin-Huang + https://github.com/Bin-Huang - https://avatars.githubusercontent.com/u/11256141?s=40&v=4 - ad1992 - https://github.com/ad1992 + https://avatars.githubusercontent.com/u/19162201?s=40&v=4 + hiNISAL + https://github.com/hiNISAL - https://avatars.githubusercontent.com/u/125676?s=40&v=4 - lipis - https://github.com/lipis + https://avatars.githubusercontent.com/u/1571956?s=40&v=4 + joegoldin + https://github.com/joegoldin + + + https://avatars.githubusercontent.com/u/5771087?s=40&v=4 + paddingme + https://github.com/paddingme + + + https://avatars.githubusercontent.com/u/6491222?s=40&v=4 + liuzesen + https://github.com/liuzesen @@ -257,8 +229,8 @@ https://github.com/gitroomhq/postiz-app TypeScript #3178c6 - 11,341 - 2,321 + 11,429 + 2,325 1,157 @@ -288,6 +260,34 @@ + + excalidraw/excalidraw + https://github.com/excalidraw/excalidraw + Virtual whiteboard for sketching hand-drawn like diagrams + https://github.com/excalidraw/excalidraw + TypeScript + #3178c6 + 86,219 + 8,165 + 1,161 + + + https://avatars.githubusercontent.com/u/5153846?s=40&v=4 + dwelle + https://github.com/dwelle + + + https://avatars.githubusercontent.com/u/11256141?s=40&v=4 + ad1992 + https://github.com/ad1992 + + + https://avatars.githubusercontent.com/u/125676?s=40&v=4 + lipis + https://github.com/lipis + + + ItzCrazyKns/Perplexica https://github.com/ItzCrazyKns/Perplexica @@ -295,7 +295,7 @@ https://github.com/ItzCrazyKns/Perplexica TypeScript #3178c6 - 16,337 + 16,347 1,529 1,336 @@ -326,39 +326,6 @@ - - awslabs/multi-agent-orchestrator - https://github.com/awslabs/multi-agent-orchestrator - Flexible and powerful framework for managing multiple AI agents and handling complex conversations - https://github.com/awslabs/multi-agent-orchestrator - TypeScript - #3178c6 - 2,082 - 138 - 1,849 - - - https://avatars.githubusercontent.com/u/64135631?s=40&v=4 - brnaba-aws - https://github.com/brnaba-aws - - - https://avatars.githubusercontent.com/u/4018562?s=40&v=4 - cornelcroi - https://github.com/cornelcroi - - - https://avatars.githubusercontent.com/u/769748?s=40&v=4 - hghandri - https://github.com/hghandri - - - https://avatars.githubusercontent.com/u/20408008?s=40&v=4 - allaway - https://github.com/allaway - - - bluesky-social/feed-generator https://github.com/bluesky-social/feed-generator @@ -366,8 +333,8 @@ https://github.com/bluesky-social/feed-generator TypeScript #3178c6 - 1,354 - 486 + 1,363 + 487 162 @@ -397,39 +364,6 @@ - - motiondivision/motion - https://github.com/motiondivision/motion - A modern animation library for React and JavaScript - https://github.com/motiondivision/motion - TypeScript - #3178c6 - 25,806 - 849 - 919 - - - https://avatars.githubusercontent.com/u/7850794?s=40&v=4 - mattgperry - https://github.com/mattgperry - - - https://avatars.githubusercontent.com/u/248861?s=40&v=4 - nvh - https://github.com/nvh - - - https://avatars.githubusercontent.com/u/869934?s=40&v=4 - benjamindenboer - https://github.com/benjamindenboer - - - https://avatars.githubusercontent.com/u/778908?s=40&v=4 - asci - https://github.com/asci - - - angular/angular https://github.com/angular/angular @@ -437,8 +371,8 @@ https://github.com/angular/angular TypeScript #3178c6 - 96,326 - 25,547 + 96,329 + 25,548 129 @@ -475,7 +409,7 @@ https://github.com/RSSNext/Follow TypeScript #3178c6 - 18,070 + 18,147 737 1,556 @@ -507,30 +441,129 @@ - solana-labs/solana-web3.js - https://github.com/solana-labs/solana-web3.js - Solana JavaScript SDK - https://github.com/solana-labs/solana-web3.js + motiondivision/motion + https://github.com/motiondivision/motion + A modern animation library for React and JavaScript + https://github.com/motiondivision/motion + TypeScript + #3178c6 + 25,824 + 849 + 919 + + + https://avatars.githubusercontent.com/u/7850794?s=40&v=4 + mattgperry + https://github.com/mattgperry + + + https://avatars.githubusercontent.com/u/248861?s=40&v=4 + nvh + https://github.com/nvh + + + https://avatars.githubusercontent.com/u/869934?s=40&v=4 + benjamindenboer + https://github.com/benjamindenboer + + + https://avatars.githubusercontent.com/u/778908?s=40&v=4 + asci + https://github.com/asci + + + + + ntegrals/aura-voice + https://github.com/ntegrals/aura-voice + Aura is like Siri, but in your browser. An AI voice assistant optimized for low latency responses. + https://github.com/ntegrals/aura-voice + TypeScript + #3178c6 + 872 + 76 + 157 + + + https://avatars.githubusercontent.com/u/26648900?s=40&v=4 + ntegrals + https://github.com/ntegrals + + + https://avatars.githubusercontent.com/u/53571741?s=40&v=4 + erich2s + https://github.com/erich2s + + + https://avatars.githubusercontent.com/u/17435126?s=40&v=4 + DavidLMS + https://github.com/DavidLMS + + + https://avatars.githubusercontent.com/u/57733840?s=40&v=4 + chase-west + https://github.com/chase-west + + + + + rckprtr/pumpdotfun-sdk + https://github.com/rckprtr/pumpdotfun-sdk + PumpDotFun SDK + https://github.com/rckprtr/pumpdotfun-sdk TypeScript #3178c6 - 2,240 - 890 - 34 + 331 + 129 + 47 - https://avatars.githubusercontent.com/u/13243?s=40&v=4 - steveluscher - https://github.com/steveluscher + https://avatars.githubusercontent.com/u/4605139?s=40&v=4 + rckprtr + https://github.com/rckprtr + + + https://avatars.githubusercontent.com/u/177687?s=40&v=4 + kalloc + https://github.com/kalloc + + + + + TanStack/router + https://github.com/TanStack/router + 🤖 Fully typesafe Router for React (and friends) w/ built-in caching, 1st class search-param APIs, client-side cache integration and isomorphic rendering. + https://github.com/TanStack/router + TypeScript + #3178c6 + 8,269 + 661 + 67 + + + https://avatars.githubusercontent.com/u/5580297?s=40&v=4 + tannerlinsley + https://github.com/tannerlinsley + + + https://avatars.githubusercontent.com/u/6340397?s=40&v=4 + schiller-manuel + https://github.com/schiller-manuel + + + https://avatars.githubusercontent.com/u/33615041?s=40&v=4 + SeanCassiere + https://github.com/SeanCassiere - https://avatars.githubusercontent.com/u/33116358?s=40&v=4 - traviscibot - https://github.com/traviscibot + https://avatars.githubusercontent.com/u/7883601?s=40&v=4 + chorobin + https://github.com/chorobin - https://avatars.githubusercontent.com/u/67205063?s=40&v=4 - buffalojoec - https://github.com/buffalojoec + https://avatars.githubusercontent.com/u/1667261?s=40&v=4 + lachlancollins + https://github.com/lachlancollins diff --git a/data/weekly/typst.json b/data/weekly/typst.json index a3b8bf8d01ad..53d57e1940b4 100644 --- a/data/weekly/typst.json +++ b/data/weekly/typst.json @@ -2,6 +2,6 @@ "title": "GitHub Typst Languages Weekly Trending", "description": "Weekly Trending of Typst Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/typst.xml b/data/weekly/typst.xml index 2ec7f6309b64..f6b75f387f6c 100644 --- a/data/weekly/typst.xml +++ b/data/weekly/typst.xml @@ -3,6 +3,6 @@ GitHub Typst Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Typst Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/unified-parallel-c.json b/data/weekly/unified-parallel-c.json index 22d154d81e74..534f313622d6 100644 --- a/data/weekly/unified-parallel-c.json +++ b/data/weekly/unified-parallel-c.json @@ -2,6 +2,6 @@ "title": "GitHub Unified-parallel-c Languages Weekly Trending", "description": "Weekly Trending of Unified-parallel-c Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/unified-parallel-c.xml b/data/weekly/unified-parallel-c.xml index daacd0fc1314..0344053d58d7 100644 --- a/data/weekly/unified-parallel-c.xml +++ b/data/weekly/unified-parallel-c.xml @@ -3,6 +3,6 @@ GitHub Unified-parallel-c Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Unified-parallel-c Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/unity3d-asset.json b/data/weekly/unity3d-asset.json index 82d828b5c409..a5c1574429f5 100644 --- a/data/weekly/unity3d-asset.json +++ b/data/weekly/unity3d-asset.json @@ -2,6 +2,6 @@ "title": "GitHub Unity3d-asset Languages Weekly Trending", "description": "Weekly Trending of Unity3d-asset Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/unity3d-asset.xml b/data/weekly/unity3d-asset.xml index ea107a556de9..d781f14fd9c4 100644 --- a/data/weekly/unity3d-asset.xml +++ b/data/weekly/unity3d-asset.xml @@ -3,6 +3,6 @@ GitHub Unity3d-asset Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Unity3d-asset Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/unix-assembly.json b/data/weekly/unix-assembly.json index 94209ca33b25..826ed20d937f 100644 --- a/data/weekly/unix-assembly.json +++ b/data/weekly/unix-assembly.json @@ -2,6 +2,6 @@ "title": "GitHub Unix-assembly Languages Weekly Trending", "description": "Weekly Trending of Unix-assembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/unix-assembly.xml b/data/weekly/unix-assembly.xml index 4e1b882a6647..3b95af5f0159 100644 --- a/data/weekly/unix-assembly.xml +++ b/data/weekly/unix-assembly.xml @@ -3,6 +3,6 @@ GitHub Unix-assembly Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Unix-assembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/uno.json b/data/weekly/uno.json index 08b30bb96ccb..68f4bb38c1aa 100644 --- a/data/weekly/uno.json +++ b/data/weekly/uno.json @@ -2,6 +2,6 @@ "title": "GitHub Uno Languages Weekly Trending", "description": "Weekly Trending of Uno Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/uno.xml b/data/weekly/uno.xml index 94321cf2f95c..d46c410dbcf1 100644 --- a/data/weekly/uno.xml +++ b/data/weekly/uno.xml @@ -3,6 +3,6 @@ GitHub Uno Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Uno Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/unrealscript.json b/data/weekly/unrealscript.json index 8e7a821619fa..75e9927c4086 100644 --- a/data/weekly/unrealscript.json +++ b/data/weekly/unrealscript.json @@ -2,6 +2,6 @@ "title": "GitHub Unrealscript Languages Weekly Trending", "description": "Weekly Trending of Unrealscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/unrealscript.xml b/data/weekly/unrealscript.xml index 61d8a016a631..6808957a9e13 100644 --- a/data/weekly/unrealscript.xml +++ b/data/weekly/unrealscript.xml @@ -3,6 +3,6 @@ GitHub Unrealscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Unrealscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/urweb.json b/data/weekly/urweb.json index d49ae53d0c6c..816b7aa2a650 100644 --- a/data/weekly/urweb.json +++ b/data/weekly/urweb.json @@ -2,6 +2,6 @@ "title": "GitHub Urweb Languages Weekly Trending", "description": "Weekly Trending of Urweb Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/urweb.xml b/data/weekly/urweb.xml index b7c30a5b1f31..da27723dc802 100644 --- a/data/weekly/urweb.xml +++ b/data/weekly/urweb.xml @@ -3,6 +3,6 @@ GitHub Urweb Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Urweb Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/v.json b/data/weekly/v.json index 034f4554f1af..a5b199c0cc3a 100644 --- a/data/weekly/v.json +++ b/data/weekly/v.json @@ -2,7 +2,7 @@ "title": "GitHub V Languages Weekly Trending", "description": "Weekly Trending of V Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "vlang/v", @@ -10,8 +10,8 @@ "description": "Simple, fast, safe, compiled language for developing maintainable software. Compiles itself in <1s with zero library dependencies. Supports automatic C => V translation. https://vlang.io", "language": "V", "languageColor": "#4f87c4", - "stars": "35,857", - "forks": "2,168", + "stars": "35,859", + "forks": "2,169", "addStars": "46", "contributors": [ { diff --git a/data/weekly/v.xml b/data/weekly/v.xml index e06328d1fa7b..0b9b3aedc364 100644 --- a/data/weekly/v.xml +++ b/data/weekly/v.xml @@ -3,7 +3,7 @@ GitHub V Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of V Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT vlang/v https://github.com/vlang/v @@ -11,8 +11,8 @@ https://github.com/vlang/v V #4f87c4 - 35,857 - 2,168 + 35,859 + 2,169 46 diff --git a/data/weekly/vala.json b/data/weekly/vala.json index b7f10fb8f194..46a1385d672b 100644 --- a/data/weekly/vala.json +++ b/data/weekly/vala.json @@ -2,7 +2,7 @@ "title": "GitHub Vala Languages Weekly Trending", "description": "Weekly Trending of Vala Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "alainm23/planify", diff --git a/data/weekly/vala.xml b/data/weekly/vala.xml index 4e15829e8e29..843d649cc654 100644 --- a/data/weekly/vala.xml +++ b/data/weekly/vala.xml @@ -3,7 +3,7 @@ GitHub Vala Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vala Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT alainm23/planify https://github.com/alainm23/planify diff --git a/data/weekly/valve-data-format.json b/data/weekly/valve-data-format.json index f84da94ab75e..2ee7adc436aa 100644 --- a/data/weekly/valve-data-format.json +++ b/data/weekly/valve-data-format.json @@ -2,6 +2,6 @@ "title": "GitHub Valve-data-format Languages Weekly Trending", "description": "Weekly Trending of Valve-data-format Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/valve-data-format.xml b/data/weekly/valve-data-format.xml index 7c48dea583e2..7c5047892443 100644 --- a/data/weekly/valve-data-format.xml +++ b/data/weekly/valve-data-format.xml @@ -3,6 +3,6 @@ GitHub Valve-data-format Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Valve-data-format Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/vba.json b/data/weekly/vba.json index 6ee0d95a8185..46a30dd64b44 100644 --- a/data/weekly/vba.json +++ b/data/weekly/vba.json @@ -2,7 +2,7 @@ "title": "GitHub Vba Languages Weekly Trending", "description": "Weekly Trending of Vba Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "RelaxTools/RelaxTools-Addin", diff --git a/data/weekly/vba.xml b/data/weekly/vba.xml index 2bd9f1bc29b5..c56cb306306a 100644 --- a/data/weekly/vba.xml +++ b/data/weekly/vba.xml @@ -3,7 +3,7 @@ GitHub Vba Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vba Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT RelaxTools/RelaxTools-Addin https://github.com/RelaxTools/RelaxTools-Addin diff --git a/data/weekly/vbscript.json b/data/weekly/vbscript.json index e6a1d84477f4..08bd5262f214 100644 --- a/data/weekly/vbscript.json +++ b/data/weekly/vbscript.json @@ -2,7 +2,7 @@ "title": "GitHub Vbscript Languages Weekly Trending", "description": "Weekly Trending of Vbscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pyenv-win/pyenv-win", @@ -10,7 +10,7 @@ "description": "pyenv for Windows. pyenv is a simple python version management tool. It lets you easily switch between multiple versions of Python. It's simple, unobtrusive, and follows the UNIX tradition of single-purpose tools that do one thing well.", "language": "VBScript", "languageColor": "#15dcdc", - "stars": "5,036", + "stars": "5,037", "forks": "460", "addStars": "38", "contributors": [ @@ -63,35 +63,13 @@ } ] }, - { - "title": "abbodi1406/vcredist", - "url": "https://github.com/abbodi1406/vcredist", - "description": "AIO Repack for latest Microsoft Visual C++ Redistributable Runtimes", - "language": "VBScript", - "languageColor": "#15dcdc", - "stars": "5,801", - "forks": "332", - "addStars": "36", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/33669284?s=40&v=4", - "name": "abbodi1406", - "url": "https://github.com/abbodi1406" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/67589323?s=40&v=4", - "name": "nkh0472", - "url": "https://github.com/nkh0472" - } - ] - }, { "title": "abbodi1406/BatUtil", "url": "https://github.com/abbodi1406/BatUtil", "description": "Collection of batch scripts utilities for Windows", "language": "VBScript", "languageColor": "#15dcdc", - "stars": "1,047", + "stars": "1,048", "forks": "248", "addStars": "6", "contributors": [ @@ -111,6 +89,28 @@ "url": "https://github.com/asheroto" } ] + }, + { + "title": "abbodi1406/vcredist", + "url": "https://github.com/abbodi1406/vcredist", + "description": "AIO Repack for latest Microsoft Visual C++ Redistributable Runtimes", + "language": "VBScript", + "languageColor": "#15dcdc", + "stars": "5,801", + "forks": "332", + "addStars": "36", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/33669284?s=40&v=4", + "name": "abbodi1406", + "url": "https://github.com/abbodi1406" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/67589323?s=40&v=4", + "name": "nkh0472", + "url": "https://github.com/nkh0472" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/vbscript.xml b/data/weekly/vbscript.xml index 0b0e9e1a5e59..0bbced61bd46 100644 --- a/data/weekly/vbscript.xml +++ b/data/weekly/vbscript.xml @@ -3,7 +3,7 @@ GitHub Vbscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vbscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pyenv-win/pyenv-win https://github.com/pyenv-win/pyenv-win @@ -11,7 +11,7 @@ https://github.com/pyenv-win/pyenv-win VBScript #15dcdc - 5,036 + 5,037 460 38 @@ -65,29 +65,6 @@ - - abbodi1406/vcredist - https://github.com/abbodi1406/vcredist - AIO Repack for latest Microsoft Visual C++ Redistributable Runtimes - https://github.com/abbodi1406/vcredist - VBScript - #15dcdc - 5,801 - 332 - 36 - - - https://avatars.githubusercontent.com/u/33669284?s=40&v=4 - abbodi1406 - https://github.com/abbodi1406 - - - https://avatars.githubusercontent.com/u/67589323?s=40&v=4 - nkh0472 - https://github.com/nkh0472 - - - abbodi1406/BatUtil https://github.com/abbodi1406/BatUtil @@ -95,7 +72,7 @@ https://github.com/abbodi1406/BatUtil VBScript #15dcdc - 1,047 + 1,048 248 6 @@ -116,5 +93,28 @@ + + abbodi1406/vcredist + https://github.com/abbodi1406/vcredist + AIO Repack for latest Microsoft Visual C++ Redistributable Runtimes + https://github.com/abbodi1406/vcredist + VBScript + #15dcdc + 5,801 + 332 + 36 + + + https://avatars.githubusercontent.com/u/33669284?s=40&v=4 + abbodi1406 + https://github.com/abbodi1406 + + + https://avatars.githubusercontent.com/u/67589323?s=40&v=4 + nkh0472 + https://github.com/nkh0472 + + + \ No newline at end of file diff --git a/data/weekly/vcl.json b/data/weekly/vcl.json index ef6c77d05ec5..2017fa47d474 100644 --- a/data/weekly/vcl.json +++ b/data/weekly/vcl.json @@ -2,6 +2,6 @@ "title": "GitHub Vcl Languages Weekly Trending", "description": "Weekly Trending of Vcl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/vcl.xml b/data/weekly/vcl.xml index 8aea217f7948..799bce9221c1 100644 --- a/data/weekly/vcl.xml +++ b/data/weekly/vcl.xml @@ -3,6 +3,6 @@ GitHub Vcl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vcl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/velocity-template-language.json b/data/weekly/velocity-template-language.json index e5943b183730..fc5d56142dcc 100644 --- a/data/weekly/velocity-template-language.json +++ b/data/weekly/velocity-template-language.json @@ -2,6 +2,6 @@ "title": "GitHub Velocity-template-language Languages Weekly Trending", "description": "Weekly Trending of Velocity-template-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/velocity-template-language.xml b/data/weekly/velocity-template-language.xml index 4944da8d35fd..71352a5e7734 100644 --- a/data/weekly/velocity-template-language.xml +++ b/data/weekly/velocity-template-language.xml @@ -3,6 +3,6 @@ GitHub Velocity-template-language Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Velocity-template-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/verilog.json b/data/weekly/verilog.json index ad28250cba99..df452d112531 100644 --- a/data/weekly/verilog.json +++ b/data/weekly/verilog.json @@ -2,7 +2,7 @@ "title": "GitHub Verilog Languages Weekly Trending", "description": "Weekly Trending of Verilog Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "The-OpenROAD-Project/OpenROAD", @@ -10,8 +10,8 @@ "description": "OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,623", - "forks": "562", + "stars": "1,628", + "forks": "563", "addStars": "22", "contributors": [ { @@ -47,7 +47,7 @@ "description": "HDL libraries and projects", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,533", + "stars": "1,534", "forks": "1,520", "addStars": "5", "contributors": [ @@ -78,43 +78,6 @@ } ] }, - { - "title": "EttusResearch/uhd", - "url": "https://github.com/EttusResearch/uhd", - "description": "The USRP™ Hardware Driver Repository", - "language": "Verilog", - "languageColor": "#b2b7f8", - "stars": "1,002", - "forks": "666", - "addStars": "2", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/184117?s=40&v=4", - "name": "guruofquality", - "url": "https://github.com/guruofquality" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/508035?s=40&v=4", - "name": "mbr0wn", - "url": "https://github.com/mbr0wn" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5640322?s=40&v=4", - "name": "michael-west", - "url": "https://github.com/michael-west" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/32272501?s=40&v=4", - "name": "wordimont", - "url": "https://github.com/wordimont" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1406260?s=40&v=4", - "name": "ncorgan", - "url": "https://github.com/ncorgan" - } - ] - }, { "title": "YosysHQ/picorv32", "url": "https://github.com/YosysHQ/picorv32", @@ -152,55 +115,6 @@ } ] }, - { - "title": "lnis-uofu/OpenFPGA", - "url": "https://github.com/lnis-uofu/OpenFPGA", - "description": "An Open-source FPGA IP Generator", - "language": "Verilog", - "languageColor": "#b2b7f8", - "stars": "842", - "forks": "162", - "addStars": "5", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/11499826?s=40&v=4", - "name": "tangxifan", - "url": "https://github.com/tangxifan" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6169914?s=40&v=4", - "name": "ganeshgore", - "url": "https://github.com/ganeshgore" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/43420516?s=40&v=4", - "name": "BaudouinChauviere", - "url": "https://github.com/BaudouinChauviere" - } - ] - }, - { - "title": "alexforencich/verilog-ethernet", - "url": "https://github.com/alexforencich/verilog-ethernet", - "description": "Verilog Ethernet components for FPGA implementation", - "language": "Verilog", - "languageColor": "#b2b7f8", - "stars": "2,322", - "forks": "707", - "addStars": "13", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/508807?s=40&v=4", - "name": "alexforencich", - "url": "https://github.com/alexforencich" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/48435475?s=40&v=4", - "name": "lomotos10", - "url": "https://github.com/lomotos10" - } - ] - }, { "title": "vortexgpgpu/vortex", "url": "https://github.com/vortexgpgpu/vortex", @@ -238,13 +152,50 @@ } ] }, + { + "title": "EttusResearch/uhd", + "url": "https://github.com/EttusResearch/uhd", + "description": "The USRP™ Hardware Driver Repository", + "language": "Verilog", + "languageColor": "#b2b7f8", + "stars": "1,002", + "forks": "666", + "addStars": "2", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/184117?s=40&v=4", + "name": "guruofquality", + "url": "https://github.com/guruofquality" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/508035?s=40&v=4", + "name": "mbr0wn", + "url": "https://github.com/mbr0wn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5640322?s=40&v=4", + "name": "michael-west", + "url": "https://github.com/michael-west" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/32272501?s=40&v=4", + "name": "wordimont", + "url": "https://github.com/wordimont" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1406260?s=40&v=4", + "name": "ncorgan", + "url": "https://github.com/ncorgan" + } + ] + }, { "title": "riscv-mcu/e203_hbirdv2", "url": "https://github.com/riscv-mcu/e203_hbirdv2", "description": "The Ultra-Low Power RISC-V Core", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "1,291", + "stars": "1,292", "forks": "344", "addStars": "13", "contributors": [ @@ -276,39 +227,51 @@ ] }, { - "title": "The-OpenROAD-Project/OpenROAD-flow-scripts", - "url": "https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts", - "description": "OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/", + "title": "lnis-uofu/OpenFPGA", + "url": "https://github.com/lnis-uofu/OpenFPGA", + "description": "An Open-source FPGA IP Generator", "language": "Verilog", "languageColor": "#b2b7f8", - "stars": "346", - "forks": "292", - "addStars": "7", + "stars": "842", + "forks": "162", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/761514?s=40&v=4", - "name": "maliberty", - "url": "https://github.com/maliberty" + "avatar": "https://avatars.githubusercontent.com/u/11499826?s=40&v=4", + "name": "tangxifan", + "url": "https://github.com/tangxifan" }, { - "avatar": "https://avatars.githubusercontent.com/u/9001905?s=40&v=4", - "name": "vvbandeira", - "url": "https://github.com/vvbandeira" + "avatar": "https://avatars.githubusercontent.com/u/6169914?s=40&v=4", + "name": "ganeshgore", + "url": "https://github.com/ganeshgore" }, { - "avatar": "https://avatars.githubusercontent.com/u/2798822?s=40&v=4", - "name": "oharboe", - "url": "https://github.com/oharboe" - }, + "avatar": "https://avatars.githubusercontent.com/u/43420516?s=40&v=4", + "name": "BaudouinChauviere", + "url": "https://github.com/BaudouinChauviere" + } + ] + }, + { + "title": "alexforencich/verilog-ethernet", + "url": "https://github.com/alexforencich/verilog-ethernet", + "description": "Verilog Ethernet components for FPGA implementation", + "language": "Verilog", + "languageColor": "#b2b7f8", + "stars": "2,322", + "forks": "707", + "addStars": "13", + "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/43450810?s=40&v=4", - "name": "eder-matheus", - "url": "https://github.com/eder-matheus" + "avatar": "https://avatars.githubusercontent.com/u/508807?s=40&v=4", + "name": "alexforencich", + "url": "https://github.com/alexforencich" }, { - "avatar": "https://avatars.githubusercontent.com/u/71403620?s=40&v=4", - "name": "ravi-varadarajan", - "url": "https://github.com/ravi-varadarajan" + "avatar": "https://avatars.githubusercontent.com/u/48435475?s=40&v=4", + "name": "lomotos10", + "url": "https://github.com/lomotos10" } ] } diff --git a/data/weekly/verilog.xml b/data/weekly/verilog.xml index 8e9599be561b..00d6d750e275 100644 --- a/data/weekly/verilog.xml +++ b/data/weekly/verilog.xml @@ -3,7 +3,7 @@ GitHub Verilog Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Verilog Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT The-OpenROAD-Project/OpenROAD https://github.com/The-OpenROAD-Project/OpenROAD @@ -11,8 +11,8 @@ https://github.com/The-OpenROAD-Project/OpenROAD Verilog #b2b7f8 - 1,623 - 562 + 1,628 + 563 22 @@ -49,7 +49,7 @@ https://github.com/analogdevicesinc/hdl Verilog #b2b7f8 - 1,533 + 1,534 1,520 5 @@ -80,44 +80,6 @@ - - EttusResearch/uhd - https://github.com/EttusResearch/uhd - The USRP™ Hardware Driver Repository - https://github.com/EttusResearch/uhd - Verilog - #b2b7f8 - 1,002 - 666 - 2 - - - https://avatars.githubusercontent.com/u/184117?s=40&v=4 - guruofquality - https://github.com/guruofquality - - - https://avatars.githubusercontent.com/u/508035?s=40&v=4 - mbr0wn - https://github.com/mbr0wn - - - https://avatars.githubusercontent.com/u/5640322?s=40&v=4 - michael-west - https://github.com/michael-west - - - https://avatars.githubusercontent.com/u/32272501?s=40&v=4 - wordimont - https://github.com/wordimont - - - https://avatars.githubusercontent.com/u/1406260?s=40&v=4 - ncorgan - https://github.com/ncorgan - - - YosysHQ/picorv32 https://github.com/YosysHQ/picorv32 @@ -156,57 +118,6 @@ - - lnis-uofu/OpenFPGA - https://github.com/lnis-uofu/OpenFPGA - An Open-source FPGA IP Generator - https://github.com/lnis-uofu/OpenFPGA - Verilog - #b2b7f8 - 842 - 162 - 5 - - - https://avatars.githubusercontent.com/u/11499826?s=40&v=4 - tangxifan - https://github.com/tangxifan - - - https://avatars.githubusercontent.com/u/6169914?s=40&v=4 - ganeshgore - https://github.com/ganeshgore - - - https://avatars.githubusercontent.com/u/43420516?s=40&v=4 - BaudouinChauviere - https://github.com/BaudouinChauviere - - - - - alexforencich/verilog-ethernet - https://github.com/alexforencich/verilog-ethernet - Verilog Ethernet components for FPGA implementation - https://github.com/alexforencich/verilog-ethernet - Verilog - #b2b7f8 - 2,322 - 707 - 13 - - - https://avatars.githubusercontent.com/u/508807?s=40&v=4 - alexforencich - https://github.com/alexforencich - - - https://avatars.githubusercontent.com/u/48435475?s=40&v=4 - lomotos10 - https://github.com/lomotos10 - - - vortexgpgpu/vortex https://github.com/vortexgpgpu/vortex @@ -245,6 +156,44 @@ + + EttusResearch/uhd + https://github.com/EttusResearch/uhd + The USRP™ Hardware Driver Repository + https://github.com/EttusResearch/uhd + Verilog + #b2b7f8 + 1,002 + 666 + 2 + + + https://avatars.githubusercontent.com/u/184117?s=40&v=4 + guruofquality + https://github.com/guruofquality + + + https://avatars.githubusercontent.com/u/508035?s=40&v=4 + mbr0wn + https://github.com/mbr0wn + + + https://avatars.githubusercontent.com/u/5640322?s=40&v=4 + michael-west + https://github.com/michael-west + + + https://avatars.githubusercontent.com/u/32272501?s=40&v=4 + wordimont + https://github.com/wordimont + + + https://avatars.githubusercontent.com/u/1406260?s=40&v=4 + ncorgan + https://github.com/ncorgan + + + riscv-mcu/e203_hbirdv2 https://github.com/riscv-mcu/e203_hbirdv2 @@ -252,7 +201,7 @@ https://github.com/riscv-mcu/e203_hbirdv2 Verilog #b2b7f8 - 1,291 + 1,292 344 13 @@ -284,40 +233,53 @@ - The-OpenROAD-Project/OpenROAD-flow-scripts - https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts - OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/ - https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts + lnis-uofu/OpenFPGA + https://github.com/lnis-uofu/OpenFPGA + An Open-source FPGA IP Generator + https://github.com/lnis-uofu/OpenFPGA Verilog #b2b7f8 - 346 - 292 - 7 + 842 + 162 + 5 - https://avatars.githubusercontent.com/u/761514?s=40&v=4 - maliberty - https://github.com/maliberty + https://avatars.githubusercontent.com/u/11499826?s=40&v=4 + tangxifan + https://github.com/tangxifan - https://avatars.githubusercontent.com/u/9001905?s=40&v=4 - vvbandeira - https://github.com/vvbandeira + https://avatars.githubusercontent.com/u/6169914?s=40&v=4 + ganeshgore + https://github.com/ganeshgore - https://avatars.githubusercontent.com/u/2798822?s=40&v=4 - oharboe - https://github.com/oharboe + https://avatars.githubusercontent.com/u/43420516?s=40&v=4 + BaudouinChauviere + https://github.com/BaudouinChauviere + + + + alexforencich/verilog-ethernet + https://github.com/alexforencich/verilog-ethernet + Verilog Ethernet components for FPGA implementation + https://github.com/alexforencich/verilog-ethernet + Verilog + #b2b7f8 + 2,322 + 707 + 13 + - https://avatars.githubusercontent.com/u/43450810?s=40&v=4 - eder-matheus - https://github.com/eder-matheus + https://avatars.githubusercontent.com/u/508807?s=40&v=4 + alexforencich + https://github.com/alexforencich - https://avatars.githubusercontent.com/u/71403620?s=40&v=4 - ravi-varadarajan - https://github.com/ravi-varadarajan + https://avatars.githubusercontent.com/u/48435475?s=40&v=4 + lomotos10 + https://github.com/lomotos10 diff --git a/data/weekly/vhdl.json b/data/weekly/vhdl.json index e5b6986841b2..7c3d4702db61 100644 --- a/data/weekly/vhdl.json +++ b/data/weekly/vhdl.json @@ -2,8 +2,45 @@ "title": "GitHub Vhdl Languages Weekly Trending", "description": "Weekly Trending of Vhdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ + { + "title": "ghdl/ghdl", + "url": "https://github.com/ghdl/ghdl", + "description": "VHDL 2008/93/87 simulator", + "language": "VHDL", + "languageColor": "#adb2cb", + "stars": "2,402", + "forks": "366", + "addStars": "9", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/13433645?s=40&v=4", + "name": "tgingold", + "url": "https://github.com/tgingold" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/956109?s=40&v=4", + "name": "Paebbels", + "url": "https://github.com/Paebbels" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/38422348?s=40&v=4", + "name": "umarcor", + "url": "https://github.com/umarcor" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16334725?s=40&v=4", + "name": "gingold-adacore", + "url": "https://github.com/gingold-adacore" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/6628437?s=40&v=4", + "name": "eine", + "url": "https://github.com/eine" + } + ] + }, { "title": "OSVVM/OSVVM", "url": "https://github.com/OSVVM/OSVVM", @@ -47,7 +84,7 @@ "description": "A huge VHDL library for FPGA development", "language": "VHDL", "languageColor": "#adb2cb", - "stars": "347", + "stars": "348", "forks": "57", "addStars": "1", "contributors": [ @@ -77,80 +114,6 @@ "url": "https://github.com/jmdewart" } ] - }, - { - "title": "VUnit/vunit", - "url": "https://github.com/VUnit/vunit", - "description": "VUnit is a unit testing framework for VHDL/SystemVerilog", - "language": "VHDL", - "languageColor": "#adb2cb", - "stars": "742", - "forks": "263", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/4018223?s=40&v=4", - "name": "kraigher", - "url": "https://github.com/kraigher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6681689?s=40&v=4", - "name": "LarsAsplund", - "url": "https://github.com/LarsAsplund" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38422348?s=40&v=4", - "name": "umarcor", - "url": "https://github.com/umarcor" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6628437?s=40&v=4", - "name": "eine", - "url": "https://github.com/eine" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8343719?s=40&v=4", - "name": "slaweksiluk", - "url": "https://github.com/slaweksiluk" - } - ] - }, - { - "title": "ghdl/ghdl", - "url": "https://github.com/ghdl/ghdl", - "description": "VHDL 2008/93/87 simulator", - "language": "VHDL", - "languageColor": "#adb2cb", - "stars": "2,401", - "forks": "366", - "addStars": "9", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13433645?s=40&v=4", - "name": "tgingold", - "url": "https://github.com/tgingold" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/956109?s=40&v=4", - "name": "Paebbels", - "url": "https://github.com/Paebbels" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/38422348?s=40&v=4", - "name": "umarcor", - "url": "https://github.com/umarcor" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16334725?s=40&v=4", - "name": "gingold-adacore", - "url": "https://github.com/gingold-adacore" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6628437?s=40&v=4", - "name": "eine", - "url": "https://github.com/eine" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/vhdl.xml b/data/weekly/vhdl.xml index e18aedea55f9..f08deef1b7d6 100644 --- a/data/weekly/vhdl.xml +++ b/data/weekly/vhdl.xml @@ -3,7 +3,45 @@ GitHub Vhdl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vhdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT + + ghdl/ghdl + https://github.com/ghdl/ghdl + VHDL 2008/93/87 simulator + https://github.com/ghdl/ghdl + VHDL + #adb2cb + 2,402 + 366 + 9 + + + https://avatars.githubusercontent.com/u/13433645?s=40&v=4 + tgingold + https://github.com/tgingold + + + https://avatars.githubusercontent.com/u/956109?s=40&v=4 + Paebbels + https://github.com/Paebbels + + + https://avatars.githubusercontent.com/u/38422348?s=40&v=4 + umarcor + https://github.com/umarcor + + + https://avatars.githubusercontent.com/u/16334725?s=40&v=4 + gingold-adacore + https://github.com/gingold-adacore + + + https://avatars.githubusercontent.com/u/6628437?s=40&v=4 + eine + https://github.com/eine + + + OSVVM/OSVVM https://github.com/OSVVM/OSVVM @@ -49,7 +87,7 @@ https://github.com/slaclab/surf VHDL #adb2cb - 347 + 348 57 1 @@ -80,81 +118,5 @@ - - VUnit/vunit - https://github.com/VUnit/vunit - VUnit is a unit testing framework for VHDL/SystemVerilog - https://github.com/VUnit/vunit - VHDL - #adb2cb - 742 - 263 - 0 - - - https://avatars.githubusercontent.com/u/4018223?s=40&v=4 - kraigher - https://github.com/kraigher - - - https://avatars.githubusercontent.com/u/6681689?s=40&v=4 - LarsAsplund - https://github.com/LarsAsplund - - - https://avatars.githubusercontent.com/u/38422348?s=40&v=4 - umarcor - https://github.com/umarcor - - - https://avatars.githubusercontent.com/u/6628437?s=40&v=4 - eine - https://github.com/eine - - - https://avatars.githubusercontent.com/u/8343719?s=40&v=4 - slaweksiluk - https://github.com/slaweksiluk - - - - - ghdl/ghdl - https://github.com/ghdl/ghdl - VHDL 2008/93/87 simulator - https://github.com/ghdl/ghdl - VHDL - #adb2cb - 2,401 - 366 - 9 - - - https://avatars.githubusercontent.com/u/13433645?s=40&v=4 - tgingold - https://github.com/tgingold - - - https://avatars.githubusercontent.com/u/956109?s=40&v=4 - Paebbels - https://github.com/Paebbels - - - https://avatars.githubusercontent.com/u/38422348?s=40&v=4 - umarcor - https://github.com/umarcor - - - https://avatars.githubusercontent.com/u/16334725?s=40&v=4 - gingold-adacore - https://github.com/gingold-adacore - - - https://avatars.githubusercontent.com/u/6628437?s=40&v=4 - eine - https://github.com/eine - - - \ No newline at end of file diff --git a/data/weekly/vim-help-file.json b/data/weekly/vim-help-file.json index 481a3a8a6836..dec516fb1d30 100644 --- a/data/weekly/vim-help-file.json +++ b/data/weekly/vim-help-file.json @@ -2,6 +2,6 @@ "title": "GitHub Vim-help-file Languages Weekly Trending", "description": "Weekly Trending of Vim-help-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/vim-help-file.xml b/data/weekly/vim-help-file.xml index 557c95633d7f..c2118abca974 100644 --- a/data/weekly/vim-help-file.xml +++ b/data/weekly/vim-help-file.xml @@ -3,6 +3,6 @@ GitHub Vim-help-file Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vim-help-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/vim-script.json b/data/weekly/vim-script.json index c90c15954c86..f91b6258030f 100644 --- a/data/weekly/vim-script.json +++ b/data/weekly/vim-script.json @@ -2,45 +2,8 @@ "title": "GitHub Vim-script Languages Weekly Trending", "description": "Weekly Trending of Vim-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "neovim/neovim", - "url": "https://github.com/neovim/neovim", - "description": "Vim-fork focused on extensibility and usability", - "language": "Vim Script", - "languageColor": "#199f4b", - "stars": "83,570", - "forks": "5,712", - "addStars": "248", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/35768171?s=40&v=4", - "name": "zeertzjq", - "url": "https://github.com/zeertzjq" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", - "name": "justinmk", - "url": "https://github.com/justinmk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8740057?s=40&v=4", - "name": "janlazo", - "url": "https://github.com/janlazo" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1363104?s=40&v=4", - "name": "bfredl", - "url": "https://github.com/bfredl" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/322097?s=40&v=4", - "name": "ZyX-I", - "url": "https://github.com/ZyX-I" - } - ] - }, { "title": "Exafunction/codeium.vim", "url": "https://github.com/Exafunction/codeium.vim", @@ -78,14 +41,51 @@ } ] }, + { + "title": "neovim/neovim", + "url": "https://github.com/neovim/neovim", + "description": "Vim-fork focused on extensibility and usability", + "language": "Vim Script", + "languageColor": "#199f4b", + "stars": "83,575", + "forks": "5,712", + "addStars": "248", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/35768171?s=40&v=4", + "name": "zeertzjq", + "url": "https://github.com/zeertzjq" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", + "name": "justinmk", + "url": "https://github.com/justinmk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8740057?s=40&v=4", + "name": "janlazo", + "url": "https://github.com/janlazo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1363104?s=40&v=4", + "name": "bfredl", + "url": "https://github.com/bfredl" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/322097?s=40&v=4", + "name": "ZyX-I", + "url": "https://github.com/ZyX-I" + } + ] + }, { "title": "vim/vim", "url": "https://github.com/vim/vim", "description": "The official Vim repository", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "36,744", - "forks": "5,473", + "stars": "36,746", + "forks": "5,471", "addStars": "89", "contributors": [ { @@ -115,6 +115,43 @@ } ] }, + { + "title": "christoomey/vim-tmux-navigator", + "url": "https://github.com/christoomey/vim-tmux-navigator", + "description": "Seamless navigation between tmux panes and vim splits", + "language": "Vim Script", + "languageColor": "#199f4b", + "stars": "5,387", + "forks": "334", + "addStars": "21", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/420113?s=40&v=4", + "name": "christoomey", + "url": "https://github.com/christoomey" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", + "name": "blueyed", + "url": "https://github.com/blueyed" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2506364?s=40&v=4", + "name": "sdondley", + "url": "https://github.com/sdondley" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", + "name": "keith", + "url": "https://github.com/keith" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2268851?s=40&v=4", + "name": "x3rAx", + "url": "https://github.com/x3rAx" + } + ] + }, { "title": "tpope/vim-fugitive", "url": "https://github.com/tpope/vim-fugitive", @@ -152,43 +189,6 @@ } ] }, - { - "title": "christoomey/vim-tmux-navigator", - "url": "https://github.com/christoomey/vim-tmux-navigator", - "description": "Seamless navigation between tmux panes and vim splits", - "language": "Vim Script", - "languageColor": "#199f4b", - "stars": "5,385", - "forks": "334", - "addStars": "21", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/420113?s=40&v=4", - "name": "christoomey", - "url": "https://github.com/christoomey" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", - "name": "blueyed", - "url": "https://github.com/blueyed" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2506364?s=40&v=4", - "name": "sdondley", - "url": "https://github.com/sdondley" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/283886?s=40&v=4", - "name": "keith", - "url": "https://github.com/keith" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2268851?s=40&v=4", - "name": "x3rAx", - "url": "https://github.com/x3rAx" - } - ] - }, { "title": "sainnhe/gruvbox-material", "url": "https://github.com/sainnhe/gruvbox-material", @@ -263,43 +263,6 @@ } ] }, - { - "title": "jbranchaud/til", - "url": "https://github.com/jbranchaud/til", - "description": "📝 Today I Learned", - "language": "Vim Script", - "languageColor": "#199f4b", - "stars": "13,509", - "forks": "747", - "addStars": "21", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/694063?s=40&v=4", - "name": "jbranchaud", - "url": "https://github.com/jbranchaud" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4399718?s=40&v=4", - "name": "thesoftwarephilosopher", - "url": "https://github.com/thesoftwarephilosopher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/328734?s=40&v=4", - "name": "tumdum", - "url": "https://github.com/tumdum" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/378917?s=40&v=4", - "name": "mcrapet", - "url": "https://github.com/mcrapet" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/549469?s=40&v=4", - "name": "patrickdavey", - "url": "https://github.com/patrickdavey" - } - ] - }, { "title": "vim-jp/vimdoc-ja", "url": "https://github.com/vim-jp/vimdoc-ja", @@ -332,75 +295,6 @@ } ] }, - { - "title": "amix/vimrc", - "url": "https://github.com/amix/vimrc", - "description": "The ultimate Vim configuration (vimrc)", - "language": "Vim Script", - "languageColor": "#199f4b", - "stars": "30,774", - "forks": "7,300", - "addStars": "26", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/184462?s=40&v=4", - "name": "amix", - "url": "https://github.com/amix" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2653546?s=40&v=4", - "name": "andradei", - "url": "https://github.com/andradei" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/231284?s=40&v=4", - "name": "daniilguit", - "url": "https://github.com/daniilguit" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2968860?s=40&v=4", - "name": "sota1235", - "url": "https://github.com/sota1235" - } - ] - }, - { - "title": "lambdalisue/vim-fern", - "url": "https://github.com/lambdalisue/vim-fern", - "description": "🌿 General purpose asynchronous tree viewer written in Pure Vim script", - "language": "Vim Script", - "languageColor": "#199f4b", - "stars": "1,290", - "forks": "49", - "addStars": "3", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/546312?s=40&v=4", - "name": "lambdalisue", - "url": "https://github.com/lambdalisue" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/22977?s=40&v=4", - "name": "Milly", - "url": "https://github.com/Milly" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/29489988?s=40&v=4", - "name": "LunarWatcher", - "url": "https://github.com/LunarWatcher" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5423775?s=40&v=4", - "name": "yuki-yano", - "url": "https://github.com/yuki-yano" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/629908?s=40&v=4", - "name": "hrsh7th", - "url": "https://github.com/hrsh7th" - } - ] - }, { "title": "vim-test/vim-test", "url": "https://github.com/vim-test/vim-test", @@ -466,76 +360,150 @@ ] }, { - "title": "tpope/vim-surround", - "url": "https://github.com/tpope/vim-surround", - "description": "surround.vim: Delete/change/add parentheses/quotes/XML-tags/much more with ease", + "title": "jbranchaud/til", + "url": "https://github.com/jbranchaud/til", + "description": "📝 Today I Learned", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "13,473", - "forks": "444", - "addStars": "13", + "stars": "13,509", + "forks": "747", + "addStars": "21", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/378?s=40&v=4", - "name": "tpope", - "url": "https://github.com/tpope" + "avatar": "https://avatars.githubusercontent.com/u/694063?s=40&v=4", + "name": "jbranchaud", + "url": "https://github.com/jbranchaud" }, { - "avatar": "https://avatars.githubusercontent.com/u/717924?s=40&v=4", - "name": "apcomplete", - "url": "https://github.com/apcomplete" + "avatar": "https://avatars.githubusercontent.com/u/4399718?s=40&v=4", + "name": "thesoftwarephilosopher", + "url": "https://github.com/thesoftwarephilosopher" }, { - "avatar": "https://avatars.githubusercontent.com/u/44155?s=40&v=4", - "name": "jwhitley", - "url": "https://github.com/jwhitley" + "avatar": "https://avatars.githubusercontent.com/u/328734?s=40&v=4", + "name": "tumdum", + "url": "https://github.com/tumdum" }, { - "avatar": "https://avatars.githubusercontent.com/u/107071?s=40&v=4", - "name": "ChrisJohnsen", - "url": "https://github.com/ChrisJohnsen" + "avatar": "https://avatars.githubusercontent.com/u/378917?s=40&v=4", + "name": "mcrapet", + "url": "https://github.com/mcrapet" }, { - "avatar": "https://avatars.githubusercontent.com/u/9863?s=40&v=4", - "name": "sunaku", - "url": "https://github.com/sunaku" + "avatar": "https://avatars.githubusercontent.com/u/549469?s=40&v=4", + "name": "patrickdavey", + "url": "https://github.com/patrickdavey" } ] }, { - "title": "morhetz/gruvbox", - "url": "https://github.com/morhetz/gruvbox", - "description": "Retro groove color scheme for Vim", + "title": "tomasr/molokai", + "url": "https://github.com/tomasr/molokai", + "description": "Molokai color scheme for Vim", "language": "Vim Script", "languageColor": "#199f4b", - "stars": "13,847", - "forks": "1,106", - "addStars": "20", + "stars": "3,609", + "forks": "1,684", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/16179?s=40&v=4", + "name": "tomasr", + "url": "https://github.com/tomasr" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/107915?s=40&v=4", + "name": "martijn", + "url": "https://github.com/martijn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/324632?s=40&v=4", + "name": "e0da", + "url": "https://github.com/e0da" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1359421?s=40&v=4", + "name": "justinmk", + "url": "https://github.com/justinmk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2479?s=40&v=4", + "name": "jgelens", + "url": "https://github.com/jgelens" + } + ] + }, + { + "title": "junegunn/vim-plug", + "url": "https://github.com/junegunn/vim-plug", + "description": "🌺 Minimalist Vim Plugin Manager", + "language": "Vim Script", + "languageColor": "#199f4b", + "stars": "34,214", + "forks": "1,934", + "addStars": "43", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/700826?s=40&v=4", + "name": "junegunn", + "url": "https://github.com/junegunn" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/470400?s=40&v=4", + "name": "starcraftman", + "url": "https://github.com/starcraftman" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8740057?s=40&v=4", + "name": "janlazo", + "url": "https://github.com/janlazo" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/9766?s=40&v=4", + "name": "blueyed", + "url": "https://github.com/blueyed" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/10111?s=40&v=4", + "name": "mattn", + "url": "https://github.com/mattn" + } + ] + }, + { + "title": "lambdalisue/vim-fern", + "url": "https://github.com/lambdalisue/vim-fern", + "description": "🌿 General purpose asynchronous tree viewer written in Pure Vim script", + "language": "Vim Script", + "languageColor": "#199f4b", + "stars": "1,290", + "forks": "49", + "addStars": "3", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/554231?s=40&v=4", - "name": "morhetz", - "url": "https://github.com/morhetz" + "avatar": "https://avatars.githubusercontent.com/u/546312?s=40&v=4", + "name": "lambdalisue", + "url": "https://github.com/lambdalisue" }, { - "avatar": "https://avatars.githubusercontent.com/u/423311?s=40&v=4", - "name": "ok100", - "url": "https://github.com/ok100" + "avatar": "https://avatars.githubusercontent.com/u/22977?s=40&v=4", + "name": "Milly", + "url": "https://github.com/Milly" }, { - "avatar": "https://avatars.githubusercontent.com/u/545480?s=40&v=4", - "name": "cryptomilk", - "url": "https://github.com/cryptomilk" + "avatar": "https://avatars.githubusercontent.com/u/29489988?s=40&v=4", + "name": "LunarWatcher", + "url": "https://github.com/LunarWatcher" }, { - "avatar": "https://avatars.githubusercontent.com/u/1590756?s=40&v=4", - "name": "magicmark", - "url": "https://github.com/magicmark" + "avatar": "https://avatars.githubusercontent.com/u/5423775?s=40&v=4", + "name": "yuki-yano", + "url": "https://github.com/yuki-yano" }, { - "avatar": "https://avatars.githubusercontent.com/u/7128551?s=40&v=4", - "name": "gmoe", - "url": "https://github.com/gmoe" + "avatar": "https://avatars.githubusercontent.com/u/629908?s=40&v=4", + "name": "hrsh7th", + "url": "https://github.com/hrsh7th" } ] }, diff --git a/data/weekly/vim-script.xml b/data/weekly/vim-script.xml index d59caebdb37c..c4d3ee6bd577 100644 --- a/data/weekly/vim-script.xml +++ b/data/weekly/vim-script.xml @@ -3,45 +3,7 @@ GitHub Vim-script Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vim-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - neovim/neovim - https://github.com/neovim/neovim - Vim-fork focused on extensibility and usability - https://github.com/neovim/neovim - Vim Script - #199f4b - 83,570 - 5,712 - 248 - - - https://avatars.githubusercontent.com/u/35768171?s=40&v=4 - zeertzjq - https://github.com/zeertzjq - - - https://avatars.githubusercontent.com/u/1359421?s=40&v=4 - justinmk - https://github.com/justinmk - - - https://avatars.githubusercontent.com/u/8740057?s=40&v=4 - janlazo - https://github.com/janlazo - - - https://avatars.githubusercontent.com/u/1363104?s=40&v=4 - bfredl - https://github.com/bfredl - - - https://avatars.githubusercontent.com/u/322097?s=40&v=4 - ZyX-I - https://github.com/ZyX-I - - - + Mon, 25 Nov 2024 13:30:36 GMT Exafunction/codeium.vim https://github.com/Exafunction/codeium.vim @@ -80,6 +42,44 @@ + + neovim/neovim + https://github.com/neovim/neovim + Vim-fork focused on extensibility and usability + https://github.com/neovim/neovim + Vim Script + #199f4b + 83,575 + 5,712 + 248 + + + https://avatars.githubusercontent.com/u/35768171?s=40&v=4 + zeertzjq + https://github.com/zeertzjq + + + https://avatars.githubusercontent.com/u/1359421?s=40&v=4 + justinmk + https://github.com/justinmk + + + https://avatars.githubusercontent.com/u/8740057?s=40&v=4 + janlazo + https://github.com/janlazo + + + https://avatars.githubusercontent.com/u/1363104?s=40&v=4 + bfredl + https://github.com/bfredl + + + https://avatars.githubusercontent.com/u/322097?s=40&v=4 + ZyX-I + https://github.com/ZyX-I + + + vim/vim https://github.com/vim/vim @@ -87,8 +87,8 @@ https://github.com/vim/vim Vim Script #199f4b - 36,744 - 5,473 + 36,746 + 5,471 89 @@ -118,6 +118,44 @@ + + christoomey/vim-tmux-navigator + https://github.com/christoomey/vim-tmux-navigator + Seamless navigation between tmux panes and vim splits + https://github.com/christoomey/vim-tmux-navigator + Vim Script + #199f4b + 5,387 + 334 + 21 + + + https://avatars.githubusercontent.com/u/420113?s=40&v=4 + christoomey + https://github.com/christoomey + + + https://avatars.githubusercontent.com/u/9766?s=40&v=4 + blueyed + https://github.com/blueyed + + + https://avatars.githubusercontent.com/u/2506364?s=40&v=4 + sdondley + https://github.com/sdondley + + + https://avatars.githubusercontent.com/u/283886?s=40&v=4 + keith + https://github.com/keith + + + https://avatars.githubusercontent.com/u/2268851?s=40&v=4 + x3rAx + https://github.com/x3rAx + + + tpope/vim-fugitive https://github.com/tpope/vim-fugitive @@ -156,44 +194,6 @@ - - christoomey/vim-tmux-navigator - https://github.com/christoomey/vim-tmux-navigator - Seamless navigation between tmux panes and vim splits - https://github.com/christoomey/vim-tmux-navigator - Vim Script - #199f4b - 5,385 - 334 - 21 - - - https://avatars.githubusercontent.com/u/420113?s=40&v=4 - christoomey - https://github.com/christoomey - - - https://avatars.githubusercontent.com/u/9766?s=40&v=4 - blueyed - https://github.com/blueyed - - - https://avatars.githubusercontent.com/u/2506364?s=40&v=4 - sdondley - https://github.com/sdondley - - - https://avatars.githubusercontent.com/u/283886?s=40&v=4 - keith - https://github.com/keith - - - https://avatars.githubusercontent.com/u/2268851?s=40&v=4 - x3rAx - https://github.com/x3rAx - - - sainnhe/gruvbox-material https://github.com/sainnhe/gruvbox-material @@ -270,44 +270,6 @@ - - jbranchaud/til - https://github.com/jbranchaud/til - 📝 Today I Learned - https://github.com/jbranchaud/til - Vim Script - #199f4b - 13,509 - 747 - 21 - - - https://avatars.githubusercontent.com/u/694063?s=40&v=4 - jbranchaud - https://github.com/jbranchaud - - - https://avatars.githubusercontent.com/u/4399718?s=40&v=4 - thesoftwarephilosopher - https://github.com/thesoftwarephilosopher - - - https://avatars.githubusercontent.com/u/328734?s=40&v=4 - tumdum - https://github.com/tumdum - - - https://avatars.githubusercontent.com/u/378917?s=40&v=4 - mcrapet - https://github.com/mcrapet - - - https://avatars.githubusercontent.com/u/549469?s=40&v=4 - patrickdavey - https://github.com/patrickdavey - - - vim-jp/vimdoc-ja https://github.com/vim-jp/vimdoc-ja @@ -341,77 +303,6 @@ - - amix/vimrc - https://github.com/amix/vimrc - The ultimate Vim configuration (vimrc) - https://github.com/amix/vimrc - Vim Script - #199f4b - 30,774 - 7,300 - 26 - - - https://avatars.githubusercontent.com/u/184462?s=40&v=4 - amix - https://github.com/amix - - - https://avatars.githubusercontent.com/u/2653546?s=40&v=4 - andradei - https://github.com/andradei - - - https://avatars.githubusercontent.com/u/231284?s=40&v=4 - daniilguit - https://github.com/daniilguit - - - https://avatars.githubusercontent.com/u/2968860?s=40&v=4 - sota1235 - https://github.com/sota1235 - - - - - lambdalisue/vim-fern - https://github.com/lambdalisue/vim-fern - 🌿 General purpose asynchronous tree viewer written in Pure Vim script - https://github.com/lambdalisue/vim-fern - Vim Script - #199f4b - 1,290 - 49 - 3 - - - https://avatars.githubusercontent.com/u/546312?s=40&v=4 - lambdalisue - https://github.com/lambdalisue - - - https://avatars.githubusercontent.com/u/22977?s=40&v=4 - Milly - https://github.com/Milly - - - https://avatars.githubusercontent.com/u/29489988?s=40&v=4 - LunarWatcher - https://github.com/LunarWatcher - - - https://avatars.githubusercontent.com/u/5423775?s=40&v=4 - yuki-yano - https://github.com/yuki-yano - - - https://avatars.githubusercontent.com/u/629908?s=40&v=4 - hrsh7th - https://github.com/hrsh7th - - - vim-test/vim-test https://github.com/vim-test/vim-test @@ -479,78 +370,154 @@ - tpope/vim-surround - https://github.com/tpope/vim-surround - surround.vim: Delete/change/add parentheses/quotes/XML-tags/much more with ease - https://github.com/tpope/vim-surround + jbranchaud/til + https://github.com/jbranchaud/til + 📝 Today I Learned + https://github.com/jbranchaud/til Vim Script #199f4b - 13,473 - 444 - 13 + 13,509 + 747 + 21 - https://avatars.githubusercontent.com/u/378?s=40&v=4 - tpope - https://github.com/tpope + https://avatars.githubusercontent.com/u/694063?s=40&v=4 + jbranchaud + https://github.com/jbranchaud - https://avatars.githubusercontent.com/u/717924?s=40&v=4 - apcomplete - https://github.com/apcomplete + https://avatars.githubusercontent.com/u/4399718?s=40&v=4 + thesoftwarephilosopher + https://github.com/thesoftwarephilosopher - https://avatars.githubusercontent.com/u/44155?s=40&v=4 - jwhitley - https://github.com/jwhitley + https://avatars.githubusercontent.com/u/328734?s=40&v=4 + tumdum + https://github.com/tumdum - https://avatars.githubusercontent.com/u/107071?s=40&v=4 - ChrisJohnsen - https://github.com/ChrisJohnsen + https://avatars.githubusercontent.com/u/378917?s=40&v=4 + mcrapet + https://github.com/mcrapet - https://avatars.githubusercontent.com/u/9863?s=40&v=4 - sunaku - https://github.com/sunaku + https://avatars.githubusercontent.com/u/549469?s=40&v=4 + patrickdavey + https://github.com/patrickdavey - morhetz/gruvbox - https://github.com/morhetz/gruvbox - Retro groove color scheme for Vim - https://github.com/morhetz/gruvbox + tomasr/molokai + https://github.com/tomasr/molokai + Molokai color scheme for Vim + https://github.com/tomasr/molokai Vim Script #199f4b - 13,847 - 1,106 - 20 + 3,609 + 1,684 + 1 + + + https://avatars.githubusercontent.com/u/16179?s=40&v=4 + tomasr + https://github.com/tomasr + + + https://avatars.githubusercontent.com/u/107915?s=40&v=4 + martijn + https://github.com/martijn + + + https://avatars.githubusercontent.com/u/324632?s=40&v=4 + e0da + https://github.com/e0da + + + https://avatars.githubusercontent.com/u/1359421?s=40&v=4 + justinmk + https://github.com/justinmk + + + https://avatars.githubusercontent.com/u/2479?s=40&v=4 + jgelens + https://github.com/jgelens + + + + + junegunn/vim-plug + https://github.com/junegunn/vim-plug + 🌺 Minimalist Vim Plugin Manager + https://github.com/junegunn/vim-plug + Vim Script + #199f4b + 34,214 + 1,934 + 43 + + + https://avatars.githubusercontent.com/u/700826?s=40&v=4 + junegunn + https://github.com/junegunn + + + https://avatars.githubusercontent.com/u/470400?s=40&v=4 + starcraftman + https://github.com/starcraftman + + + https://avatars.githubusercontent.com/u/8740057?s=40&v=4 + janlazo + https://github.com/janlazo + + + https://avatars.githubusercontent.com/u/9766?s=40&v=4 + blueyed + https://github.com/blueyed + + + https://avatars.githubusercontent.com/u/10111?s=40&v=4 + mattn + https://github.com/mattn + + + + + lambdalisue/vim-fern + https://github.com/lambdalisue/vim-fern + 🌿 General purpose asynchronous tree viewer written in Pure Vim script + https://github.com/lambdalisue/vim-fern + Vim Script + #199f4b + 1,290 + 49 + 3 - https://avatars.githubusercontent.com/u/554231?s=40&v=4 - morhetz - https://github.com/morhetz + https://avatars.githubusercontent.com/u/546312?s=40&v=4 + lambdalisue + https://github.com/lambdalisue - https://avatars.githubusercontent.com/u/423311?s=40&v=4 - ok100 - https://github.com/ok100 + https://avatars.githubusercontent.com/u/22977?s=40&v=4 + Milly + https://github.com/Milly - https://avatars.githubusercontent.com/u/545480?s=40&v=4 - cryptomilk - https://github.com/cryptomilk + https://avatars.githubusercontent.com/u/29489988?s=40&v=4 + LunarWatcher + https://github.com/LunarWatcher - https://avatars.githubusercontent.com/u/1590756?s=40&v=4 - magicmark - https://github.com/magicmark + https://avatars.githubusercontent.com/u/5423775?s=40&v=4 + yuki-yano + https://github.com/yuki-yano - https://avatars.githubusercontent.com/u/7128551?s=40&v=4 - gmoe - https://github.com/gmoe + https://avatars.githubusercontent.com/u/629908?s=40&v=4 + hrsh7th + https://github.com/hrsh7th diff --git a/data/weekly/vim-snippet.json b/data/weekly/vim-snippet.json index 2b1316ec8bda..74687b57698c 100644 --- a/data/weekly/vim-snippet.json +++ b/data/weekly/vim-snippet.json @@ -2,6 +2,6 @@ "title": "GitHub Vim-snippet Languages Weekly Trending", "description": "Weekly Trending of Vim-snippet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/vim-snippet.xml b/data/weekly/vim-snippet.xml index 8e38fc0f6601..e79fef649c93 100644 --- a/data/weekly/vim-snippet.xml +++ b/data/weekly/vim-snippet.xml @@ -3,6 +3,6 @@ GitHub Vim-snippet Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vim-snippet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/visual-basic-.net.json b/data/weekly/visual-basic-.net.json index 25c980100b30..c496da0c12d4 100644 --- a/data/weekly/visual-basic-.net.json +++ b/data/weekly/visual-basic-.net.json @@ -2,40 +2,8 @@ "title": "GitHub Visual-basic-.net Languages Weekly Trending", "description": "Weekly Trending of Visual-basic-.net Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "VBA-tools/VBA-JSON", - "url": "https://github.com/VBA-tools/VBA-JSON", - "description": "JSON conversion and parsing for VBA", - "language": "Visual Basic", - "languageColor": "#945db7", - "stars": "1,811", - "forks": "578", - "addStars": "7", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1211148?s=40&v=4", - "name": "timhall", - "url": "https://github.com/timhall" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3203220?s=40&v=4", - "name": "blindlf", - "url": "https://github.com/blindlf" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/16864380?s=40&v=4", - "name": "theredstapler", - "url": "https://github.com/theredstapler" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/18028484?s=40&v=4", - "name": "PGS62", - "url": "https://github.com/PGS62" - } - ] - }, { "title": "OfficeDev/Office-IT-Pro-Deployment-Scripts", "url": "https://github.com/OfficeDev/Office-IT-Pro-Deployment-Scripts", @@ -72,6 +40,38 @@ "url": "https://github.com/alspeirs" } ] + }, + { + "title": "VBA-tools/VBA-JSON", + "url": "https://github.com/VBA-tools/VBA-JSON", + "description": "JSON conversion and parsing for VBA", + "language": "Visual Basic", + "languageColor": "#945db7", + "stars": "1,811", + "forks": "578", + "addStars": "7", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/1211148?s=40&v=4", + "name": "timhall", + "url": "https://github.com/timhall" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3203220?s=40&v=4", + "name": "blindlf", + "url": "https://github.com/blindlf" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/16864380?s=40&v=4", + "name": "theredstapler", + "url": "https://github.com/theredstapler" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/18028484?s=40&v=4", + "name": "PGS62", + "url": "https://github.com/PGS62" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/visual-basic-.net.xml b/data/weekly/visual-basic-.net.xml index b6ff7b13f2b8..b961b63373ab 100644 --- a/data/weekly/visual-basic-.net.xml +++ b/data/weekly/visual-basic-.net.xml @@ -3,40 +3,7 @@ GitHub Visual-basic-.net Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Visual-basic-.net Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - VBA-tools/VBA-JSON - https://github.com/VBA-tools/VBA-JSON - JSON conversion and parsing for VBA - https://github.com/VBA-tools/VBA-JSON - Visual Basic - #945db7 - 1,811 - 578 - 7 - - - https://avatars.githubusercontent.com/u/1211148?s=40&v=4 - timhall - https://github.com/timhall - - - https://avatars.githubusercontent.com/u/3203220?s=40&v=4 - blindlf - https://github.com/blindlf - - - https://avatars.githubusercontent.com/u/16864380?s=40&v=4 - theredstapler - https://github.com/theredstapler - - - https://avatars.githubusercontent.com/u/18028484?s=40&v=4 - PGS62 - https://github.com/PGS62 - - - + Mon, 25 Nov 2024 13:30:36 GMT OfficeDev/Office-IT-Pro-Deployment-Scripts https://github.com/OfficeDev/Office-IT-Pro-Deployment-Scripts @@ -75,5 +42,38 @@ + + VBA-tools/VBA-JSON + https://github.com/VBA-tools/VBA-JSON + JSON conversion and parsing for VBA + https://github.com/VBA-tools/VBA-JSON + Visual Basic + #945db7 + 1,811 + 578 + 7 + + + https://avatars.githubusercontent.com/u/1211148?s=40&v=4 + timhall + https://github.com/timhall + + + https://avatars.githubusercontent.com/u/3203220?s=40&v=4 + blindlf + https://github.com/blindlf + + + https://avatars.githubusercontent.com/u/16864380?s=40&v=4 + theredstapler + https://github.com/theredstapler + + + https://avatars.githubusercontent.com/u/18028484?s=40&v=4 + PGS62 + https://github.com/PGS62 + + + \ No newline at end of file diff --git a/data/weekly/visual-basic-6.0.json b/data/weekly/visual-basic-6.0.json index 7a3835a9534d..c582d48b27f4 100644 --- a/data/weekly/visual-basic-6.0.json +++ b/data/weekly/visual-basic-6.0.json @@ -2,6 +2,6 @@ "title": "GitHub Visual-basic-6.0 Languages Weekly Trending", "description": "Weekly Trending of Visual-basic-6.0 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/visual-basic-6.0.xml b/data/weekly/visual-basic-6.0.xml index f8a80d3fd00a..63327b427806 100644 --- a/data/weekly/visual-basic-6.0.xml +++ b/data/weekly/visual-basic-6.0.xml @@ -3,6 +3,6 @@ GitHub Visual-basic-6.0 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Visual-basic-6.0 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/volt.json b/data/weekly/volt.json index 51d5d308e2d2..c66fdbeabe1d 100644 --- a/data/weekly/volt.json +++ b/data/weekly/volt.json @@ -2,6 +2,6 @@ "title": "GitHub Volt Languages Weekly Trending", "description": "Weekly Trending of Volt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/volt.xml b/data/weekly/volt.xml index d1e223252178..64f026504b05 100644 --- a/data/weekly/volt.xml +++ b/data/weekly/volt.xml @@ -3,6 +3,6 @@ GitHub Volt Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Volt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/vue.json b/data/weekly/vue.json index c871088a3804..ad721853c12c 100644 --- a/data/weekly/vue.json +++ b/data/weekly/vue.json @@ -2,48 +2,16 @@ "title": "GitHub Vue Languages Weekly Trending", "description": "Weekly Trending of Vue Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "AutomaApp/automa", - "url": "https://github.com/AutomaApp/automa", - "description": "A browser extension for automating your browser by connecting blocks", - "language": "Vue", - "languageColor": "#41b883", - "stars": "12,191", - "forks": "1,321", - "addStars": "164", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/22908993?s=40&v=4", - "name": "Kholid060", - "url": "https://github.com/Kholid060" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/9131496?s=40&v=4", - "name": "xkonglong", - "url": "https://github.com/xkonglong" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/44022526?s=40&v=4", - "name": "Siykt", - "url": "https://github.com/Siykt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7939342?s=40&v=4", - "name": "mzaini30", - "url": "https://github.com/mzaini30" - } - ] - }, { "title": "requarks/wiki", "url": "https://github.com/requarks/wiki", "description": "Wiki.js | A modern and powerful wiki app built on Node.js", "language": "Vue", "languageColor": "#41b883", - "stars": "24,871", - "forks": "2,746", + "stars": "24,873", + "forks": "2,747", "addStars": "93", "contributors": [ { @@ -79,8 +47,8 @@ "description": "Next Generation Vue UI Component Library", "language": "Vue", "languageColor": "#41b883", - "stars": "10,827", - "forks": "1,242", + "stars": "10,830", + "forks": "1,241", "addStars": "237", "contributors": [ { @@ -111,34 +79,103 @@ ] }, { - "title": "vbenjs/vue-vben-admin", - "url": "https://github.com/vbenjs/vue-vben-admin", - "description": "A modern vue admin panel built with Vue3, Shadcn UI, Vite, TypeScript, and Monorepo. It's fast!", + "title": "nuxt/ui", + "url": "https://github.com/nuxt/ui", + "description": "A UI Library for Modern Web Apps, powered by Vue & Tailwind CSS.", + "language": "Vue", + "languageColor": "#41b883", + "stars": "4,125", + "forks": "544", + "addStars": "36", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/739984?s=40&v=4", + "name": "benjamincanac", + "url": "https://github.com/benjamincanac" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/7547335?s=40&v=4", + "name": "smarroufin", + "url": "https://github.com/smarroufin" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/904724?s=40&v=4", + "name": "atinux", + "url": "https://github.com/atinux" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/25613751?s=40&v=4", + "name": "romhml", + "url": "https://github.com/romhml" + } + ] + }, + { + "title": "Moonofweisheng/wot-design-uni", + "url": "https://github.com/Moonofweisheng/wot-design-uni", + "description": "一个基于Vue3+TS开发的uni-app组件库,提供70+高质量组件,支持暗黑模式、国际化和自定义主题。", + "language": "Vue", + "languageColor": "#41b883", + "stars": "1,009", + "forks": "167", + "addStars": "24", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/26426873?s=40&v=4", + "name": "Moonofweisheng", + "url": "https://github.com/Moonofweisheng" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/85024227?s=40&v=4", + "name": "jasper-ops", + "url": "https://github.com/jasper-ops" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/50100966?s=40&v=4", + "name": "810505339", + "url": "https://github.com/810505339" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/53939074?s=40&v=4", + "name": "RJQingHuan", + "url": "https://github.com/RJQingHuan" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4394948?s=40&v=4", + "name": "UphkcRNqmafQWcSSSSSS", + "url": "https://github.com/UphkcRNqmafQWcSSSSSS" + } + ] + }, + { + "title": "imsyy/SPlayer", + "url": "https://github.com/imsyy/SPlayer", + "description": "🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player", "language": "Vue", "languageColor": "#41b883", - "stars": "25,471", - "forks": "6,930", - "addStars": "143", + "stars": "2,498", + "forks": "498", + "addStars": "57", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/28132598?s=40&v=4", - "name": "anncwb", - "url": "https://github.com/anncwb" + "avatar": "https://avatars.githubusercontent.com/u/42232682?s=40&v=4", + "name": "imsyy", + "url": "https://github.com/imsyy" }, { - "avatar": "https://avatars.githubusercontent.com/u/174671189?s=40&v=4", - "name": "vince292007", - "url": "https://github.com/vince292007" + "avatar": "https://avatars.githubusercontent.com/u/58456995?s=40&v=4", + "name": "jcfun", + "url": "https://github.com/jcfun" }, { - "avatar": "https://avatars.githubusercontent.com/u/90845831?s=40&v=4", - "name": "likui628", - "url": "https://github.com/likui628" + "avatar": "https://avatars.githubusercontent.com/u/60092461?s=40&v=4", + "name": "king-zhangbn", + "url": "https://github.com/king-zhangbn" }, { - "avatar": "https://avatars.githubusercontent.com/u/56245609?s=40&v=4", - "name": "pzzyf", - "url": "https://github.com/pzzyf" + "avatar": "https://avatars.githubusercontent.com/u/15852717?s=40&v=4", + "name": "FrzMtrsprt", + "url": "https://github.com/FrzMtrsprt" } ] }, @@ -148,7 +185,7 @@ "description": "☀️ A vue3 admin template | vue3 admin/element plus admin/vite admin/vue3 template/vue3 后台/vue3 模板/vue3 后台管理系统", "language": "Vue", "languageColor": "#41b883", - "stars": "5,332", + "stars": "5,334", "forks": "901", "addStars": "54", "contributors": [ @@ -180,39 +217,34 @@ ] }, { - "title": "Moonofweisheng/wot-design-uni", - "url": "https://github.com/Moonofweisheng/wot-design-uni", - "description": "一个基于Vue3+TS开发的uni-app组件库,提供70+高质量组件,支持暗黑模式、国际化和自定义主题。", + "title": "AutomaApp/automa", + "url": "https://github.com/AutomaApp/automa", + "description": "A browser extension for automating your browser by connecting blocks", "language": "Vue", "languageColor": "#41b883", - "stars": "1,007", - "forks": "167", - "addStars": "24", + "stars": "12,215", + "forks": "1,324", + "addStars": "164", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/26426873?s=40&v=4", - "name": "Moonofweisheng", - "url": "https://github.com/Moonofweisheng" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/85024227?s=40&v=4", - "name": "jasper-ops", - "url": "https://github.com/jasper-ops" + "avatar": "https://avatars.githubusercontent.com/u/22908993?s=40&v=4", + "name": "Kholid060", + "url": "https://github.com/Kholid060" }, { - "avatar": "https://avatars.githubusercontent.com/u/50100966?s=40&v=4", - "name": "810505339", - "url": "https://github.com/810505339" + "avatar": "https://avatars.githubusercontent.com/u/9131496?s=40&v=4", + "name": "xkonglong", + "url": "https://github.com/xkonglong" }, { - "avatar": "https://avatars.githubusercontent.com/u/53939074?s=40&v=4", - "name": "RJQingHuan", - "url": "https://github.com/RJQingHuan" + "avatar": "https://avatars.githubusercontent.com/u/44022526?s=40&v=4", + "name": "Siykt", + "url": "https://github.com/Siykt" }, { - "avatar": "https://avatars.githubusercontent.com/u/4394948?s=40&v=4", - "name": "UphkcRNqmafQWcSSSSSS", - "url": "https://github.com/UphkcRNqmafQWcSSSSSS" + "avatar": "https://avatars.githubusercontent.com/u/7939342?s=40&v=4", + "name": "mzaini30", + "url": "https://github.com/mzaini30" } ] }, @@ -222,8 +254,8 @@ "description": "Vue port of shadcn-ui", "language": "Vue", "languageColor": "#41b883", - "stars": "5,286", - "forks": "314", + "stars": "5,292", + "forks": "315", "addStars": "65", "contributors": [ { @@ -254,66 +286,34 @@ ] }, { - "title": "nuxt/ui", - "url": "https://github.com/nuxt/ui", - "description": "A UI Library for Modern Web Apps, powered by Vue & Tailwind CSS.", - "language": "Vue", - "languageColor": "#41b883", - "stars": "4,123", - "forks": "544", - "addStars": "36", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/739984?s=40&v=4", - "name": "benjamincanac", - "url": "https://github.com/benjamincanac" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/7547335?s=40&v=4", - "name": "smarroufin", - "url": "https://github.com/smarroufin" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/904724?s=40&v=4", - "name": "atinux", - "url": "https://github.com/atinux" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/25613751?s=40&v=4", - "name": "romhml", - "url": "https://github.com/romhml" - } - ] - }, - { - "title": "imsyy/SPlayer", - "url": "https://github.com/imsyy/SPlayer", - "description": "🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player", + "title": "unovue/radix-vue", + "url": "https://github.com/unovue/radix-vue", + "description": "Vue port of Radix UI Primitives. An open-source UI component library for building high-quality, accessible design systems and web apps.", "language": "Vue", "languageColor": "#41b883", - "stars": "2,497", - "forks": "498", - "addStars": "57", + "stars": "3,708", + "forks": "230", + "addStars": "43", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/42232682?s=40&v=4", - "name": "imsyy", - "url": "https://github.com/imsyy" + "avatar": "https://avatars.githubusercontent.com/u/59365435?s=40&v=4", + "name": "zernonia", + "url": "https://github.com/zernonia" }, { - "avatar": "https://avatars.githubusercontent.com/u/58456995?s=40&v=4", - "name": "jcfun", - "url": "https://github.com/jcfun" + "avatar": "https://avatars.githubusercontent.com/u/101852870?s=40&v=4", + "name": "k11q", + "url": "https://github.com/k11q" }, { - "avatar": "https://avatars.githubusercontent.com/u/60092461?s=40&v=4", - "name": "king-zhangbn", - "url": "https://github.com/king-zhangbn" + "avatar": "https://avatars.githubusercontent.com/u/17836403?s=40&v=4", + "name": "epr3", + "url": "https://github.com/epr3" }, { - "avatar": "https://avatars.githubusercontent.com/u/15852717?s=40&v=4", - "name": "FrzMtrsprt", - "url": "https://github.com/FrzMtrsprt" + "avatar": "https://avatars.githubusercontent.com/u/51422045?s=40&v=4", + "name": "MellKam", + "url": "https://github.com/MellKam" } ] }, @@ -323,7 +323,7 @@ "description": "🎉 vue admin,vue3 admin,vue3.0 admin,vue后台管理,vue-admin,vue3.0-admin,admin,vue-admin,vue-element-admin,ant-design,vab admin pro,vab admin plus,vue admin plus,vue admin pro", "language": "Vue", "languageColor": "#41b883", - "stars": "17,013", + "stars": "17,016", "forks": "3,714", "addStars": "35", "contributors": [ @@ -354,83 +354,14 @@ } ] }, - { - "title": "0xJacky/nginx-ui", - "url": "https://github.com/0xJacky/nginx-ui", - "description": "Yet another WebUI for Nginx", - "language": "Vue", - "languageColor": "#41b883", - "stars": "5,946", - "forks": "431", - "addStars": "253", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13096985?s=40&v=4", - "name": "0xJacky", - "url": "https://github.com/0xJacky" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1146488?s=40&v=4", - "name": "Hintay", - "url": "https://github.com/Hintay" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1607653?s=40&v=4", - "name": "weblate", - "url": "https://github.com/weblate" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4490636?s=40&v=4", - "name": "kcholoren", - "url": "https://github.com/kcholoren" - } - ] - }, - { - "title": "imsyy/home", - "url": "https://github.com/imsyy/home", - "description": "个人主页,我的个人主页,个人主页源码,主页模板,homepage", - "language": "Vue", - "languageColor": "#41b883", - "stars": "3,488", - "forks": "2,322", - "addStars": "35", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/42232682?s=40&v=4", - "name": "imsyy", - "url": "https://github.com/imsyy" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/35484849?s=40&v=4", - "name": "first19326", - "url": "https://github.com/first19326" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/45293856?s=40&v=4", - "name": "sumingyd", - "url": "https://github.com/sumingyd" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/42781101?s=40&v=4", - "name": "OrzMiku", - "url": "https://github.com/OrzMiku" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/54445994?s=40&v=4", - "name": "Waynenet", - "url": "https://github.com/Waynenet" - } - ] - }, { "title": "HalseySpicy/Geeker-Admin", "url": "https://github.com/HalseySpicy/Geeker-Admin", "description": "✨✨✨ Geeker Admin,基于 Vue3.4、TypeScript、Vite5、Pinia、Element-Plus 开源的一套后台管理框架。", "language": "Vue", "languageColor": "#41b883", - "stars": "7,329", - "forks": "1,546", + "stars": "7,331", + "forks": "1,547", "addStars": "28", "contributors": [ { @@ -461,34 +392,39 @@ ] }, { - "title": "dreamhunter2333/cloudflare_temp_email", - "url": "https://github.com/dreamhunter2333/cloudflare_temp_email", - "description": "CloudFlare free temp domain email 免费收发 临时域名邮箱 支持附件 IMAP SMTP TelegramBot", + "title": "imsyy/home", + "url": "https://github.com/imsyy/home", + "description": "个人主页,我的个人主页,个人主页源码,主页模板,homepage", "language": "Vue", "languageColor": "#41b883", - "stars": "2,685", - "forks": "1,013", - "addStars": "94", + "stars": "3,489", + "forks": "2,320", + "addStars": "35", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/32295532?s=40&v=4", - "name": "dreamhunter2333", - "url": "https://github.com/dreamhunter2333" + "avatar": "https://avatars.githubusercontent.com/u/42232682?s=40&v=4", + "name": "imsyy", + "url": "https://github.com/imsyy" }, { - "avatar": "https://avatars.githubusercontent.com/u/26019675?s=40&v=4", - "name": "SunsetMkt", - "url": "https://github.com/SunsetMkt" + "avatar": "https://avatars.githubusercontent.com/u/35484849?s=40&v=4", + "name": "first19326", + "url": "https://github.com/first19326" }, { - "avatar": "https://avatars.githubusercontent.com/u/37337136?s=40&v=4", - "name": "tqjason", - "url": "https://github.com/tqjason" + "avatar": "https://avatars.githubusercontent.com/u/45293856?s=40&v=4", + "name": "sumingyd", + "url": "https://github.com/sumingyd" }, { - "avatar": "https://avatars.githubusercontent.com/u/44989283?s=40&v=4", - "name": "oneisall8955", - "url": "https://github.com/oneisall8955" + "avatar": "https://avatars.githubusercontent.com/u/42781101?s=40&v=4", + "name": "OrzMiku", + "url": "https://github.com/OrzMiku" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/54445994?s=40&v=4", + "name": "Waynenet", + "url": "https://github.com/Waynenet" } ] }, @@ -498,7 +434,7 @@ "description": "一款漂亮且功能强大的在线海报设计器,图片编辑器,仿稿定设计,适用于多种场景:海报生成、电商产品图、文章长图、视频/公众号封面等。A beautiful online image designer, suitable for various scenarios like generate posters, making design easier!", "language": "Vue", "languageColor": "#41b883", - "stars": "3,759", + "stars": "3,762", "forks": "583", "addStars": "34", "contributors": [ @@ -530,108 +466,71 @@ ] }, { - "title": "vueComponent/ant-design-vue", - "url": "https://github.com/vueComponent/ant-design-vue", - "description": "🌈 An enterprise-class UI components based on Ant Design and Vue. 🐜", - "language": "Vue", - "languageColor": "#41b883", - "stars": "20,299", - "forks": "3,793", - "addStars": "33", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/6937879?s=40&v=4", - "name": "tangjinzhou", - "url": "https://github.com/tangjinzhou" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/4122593?s=40&v=4", - "name": "wangxueliang", - "url": "https://github.com/wangxueliang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/31695475?s=40&v=4", - "name": "Amour1688", - "url": "https://github.com/Amour1688" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8555127?s=40&v=4", - "name": "zkwolf", - "url": "https://github.com/zkwolf" - } - ] - }, - { - "title": "ccbikai/Sink", - "url": "https://github.com/ccbikai/Sink", - "description": "⚡ A Simple / Speedy / Secure Link Shortener with Analytics, 100% run on Cloudflare.", + "title": "OpenCSGs/csghub", + "url": "https://github.com/OpenCSGs/csghub", + "description": "CSGHub is an open-source large model platform just like on-premise version of Hugging Face. You can easily manage models and datasets, deploy model applications and setup model finetune or inference jobs with user interface. CSGHub also provides Python SDK with full compatibility of hf sdk. Join us together to build a safer and more open platform⭐️", "language": "Vue", "languageColor": "#41b883", - "stars": "3,000", - "forks": "1,603", - "addStars": "72", + "stars": "3,042", + "forks": "468", + "addStars": "41", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/2959393?s=40&v=4", - "name": "ccbikai", - "url": "https://github.com/ccbikai" + "avatar": "https://avatars.githubusercontent.com/u/396166?s=40&v=4", + "name": "zhendi", + "url": "https://github.com/zhendi" }, { - "avatar": "https://avatars.githubusercontent.com/u/21162238?s=40&v=4", - "name": "QuentinHsu", - "url": "https://github.com/QuentinHsu" + "avatar": "https://avatars.githubusercontent.com/u/3827780?s=40&v=4", + "name": "hiveer", + "url": "https://github.com/hiveer" }, { - "avatar": "https://avatars.githubusercontent.com/u/9060623?s=40&v=4", - "name": "dr-data", - "url": "https://github.com/dr-data" + "avatar": "https://avatars.githubusercontent.com/u/20787699?s=40&v=4", + "name": "youngbeom-shin", + "url": "https://github.com/youngbeom-shin" }, { - "avatar": "https://avatars.githubusercontent.com/u/11242146?s=40&v=4", - "name": "zhuzhuyule", - "url": "https://github.com/zhuzhuyule" + "avatar": "https://avatars.githubusercontent.com/u/38434877?s=40&v=4", + "name": "pulltheflower", + "url": "https://github.com/pulltheflower" }, { - "avatar": "https://avatars.githubusercontent.com/u/40444637?s=40&v=4", - "name": "ZL-Asica", - "url": "https://github.com/ZL-Asica" + "avatar": "https://avatars.githubusercontent.com/u/43563618?s=40&v=4", + "name": "wayneliu0019", + "url": "https://github.com/wayneliu0019" } ] }, { - "title": "umodoc/editor", - "url": "https://github.com/umodoc/editor", - "description": "Umo Editor is an open-source document editor, based on Vue3 and Tiptap.", + "title": "dreamhunter2333/cloudflare_temp_email", + "url": "https://github.com/dreamhunter2333/cloudflare_temp_email", + "description": "CloudFlare free temp domain email 免费收发 临时域名邮箱 支持附件 IMAP SMTP TelegramBot", "language": "Vue", "languageColor": "#41b883", - "stars": "327", - "forks": "62", - "addStars": "20", + "stars": "2,687", + "forks": "1,012", + "addStars": "94", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/1883467?s=40&v=4", - "name": "umodoc", - "url": "https://github.com/umodoc" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/37365363?s=40&v=4", - "name": "ChenErik", - "url": "https://github.com/ChenErik" + "avatar": "https://avatars.githubusercontent.com/u/32295532?s=40&v=4", + "name": "dreamhunter2333", + "url": "https://github.com/dreamhunter2333" }, { - "avatar": "https://avatars.githubusercontent.com/u/34414950?s=40&v=4", - "name": "Cassielxd", - "url": "https://github.com/Cassielxd" + "avatar": "https://avatars.githubusercontent.com/u/26019675?s=40&v=4", + "name": "SunsetMkt", + "url": "https://github.com/SunsetMkt" }, { - "avatar": "https://avatars.githubusercontent.com/u/30733348?s=40&v=4", - "name": "Goldziher", - "url": "https://github.com/Goldziher" + "avatar": "https://avatars.githubusercontent.com/u/37337136?s=40&v=4", + "name": "tqjason", + "url": "https://github.com/tqjason" }, { - "avatar": "https://avatars.githubusercontent.com/u/4453516?s=40&v=4", - "name": "xuzhenjun130", - "url": "https://github.com/xuzhenjun130" + "avatar": "https://avatars.githubusercontent.com/u/44989283?s=40&v=4", + "name": "oneisall8955", + "url": "https://github.com/oneisall8955" } ] }, @@ -641,8 +540,8 @@ "description": "A backend management system based on vue3, typescript, element-plus, and vite", "language": "Vue", "languageColor": "#41b883", - "stars": "2,836", - "forks": "684", + "stars": "2,837", + "forks": "683", "addStars": "22", "contributors": [ { @@ -671,6 +570,70 @@ "url": "https://github.com/huanghong1125" } ] + }, + { + "title": "Hunlongyu/ZY-Player", + "url": "https://github.com/Hunlongyu/ZY-Player", + "description": "▶️ 跨平台桌面端视频资源播放器.简洁无广告.免费高颜值. 🎞", + "language": "Vue", + "languageColor": "#41b883", + "stars": "14,156", + "forks": "2,258", + "addStars": "19", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/5760235?s=40&v=4", + "name": "cuiocean", + "url": "https://github.com/cuiocean" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/15273630?s=40&v=4", + "name": "Hunlongyu", + "url": "https://github.com/Hunlongyu" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/12312540?s=40&v=4", + "name": "buvta", + "url": "https://github.com/buvta" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/14220132?s=40&v=4", + "name": "hectorqin", + "url": "https://github.com/hectorqin" + } + ] + }, + { + "title": "vueComponent/ant-design-vue", + "url": "https://github.com/vueComponent/ant-design-vue", + "description": "🌈 An enterprise-class UI components based on Ant Design and Vue. 🐜", + "language": "Vue", + "languageColor": "#41b883", + "stars": "20,300", + "forks": "3,793", + "addStars": "33", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/6937879?s=40&v=4", + "name": "tangjinzhou", + "url": "https://github.com/tangjinzhou" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/4122593?s=40&v=4", + "name": "wangxueliang", + "url": "https://github.com/wangxueliang" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/31695475?s=40&v=4", + "name": "Amour1688", + "url": "https://github.com/Amour1688" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/8555127?s=40&v=4", + "name": "zkwolf", + "url": "https://github.com/zkwolf" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/vue.xml b/data/weekly/vue.xml index a5b9592edd5e..ce309a37a6e8 100644 --- a/data/weekly/vue.xml +++ b/data/weekly/vue.xml @@ -3,40 +3,7 @@ GitHub Vue Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vue Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - AutomaApp/automa - https://github.com/AutomaApp/automa - A browser extension for automating your browser by connecting blocks - https://github.com/AutomaApp/automa - Vue - #41b883 - 12,191 - 1,321 - 164 - - - https://avatars.githubusercontent.com/u/22908993?s=40&v=4 - Kholid060 - https://github.com/Kholid060 - - - https://avatars.githubusercontent.com/u/9131496?s=40&v=4 - xkonglong - https://github.com/xkonglong - - - https://avatars.githubusercontent.com/u/44022526?s=40&v=4 - Siykt - https://github.com/Siykt - - - https://avatars.githubusercontent.com/u/7939342?s=40&v=4 - mzaini30 - https://github.com/mzaini30 - - - + Mon, 25 Nov 2024 13:30:36 GMT requarks/wiki https://github.com/requarks/wiki @@ -44,8 +11,8 @@ https://github.com/requarks/wiki Vue #41b883 - 24,871 - 2,746 + 24,873 + 2,747 93 @@ -82,8 +49,8 @@ https://github.com/primefaces/primevue Vue #41b883 - 10,827 - 1,242 + 10,830 + 1,241 237 @@ -114,35 +81,106 @@ - vbenjs/vue-vben-admin - https://github.com/vbenjs/vue-vben-admin - A modern vue admin panel built with Vue3, Shadcn UI, Vite, TypeScript, and Monorepo. It's fast! - https://github.com/vbenjs/vue-vben-admin + nuxt/ui + https://github.com/nuxt/ui + A UI Library for Modern Web Apps, powered by Vue & Tailwind CSS. + https://github.com/nuxt/ui + Vue + #41b883 + 4,125 + 544 + 36 + + + https://avatars.githubusercontent.com/u/739984?s=40&v=4 + benjamincanac + https://github.com/benjamincanac + + + https://avatars.githubusercontent.com/u/7547335?s=40&v=4 + smarroufin + https://github.com/smarroufin + + + https://avatars.githubusercontent.com/u/904724?s=40&v=4 + atinux + https://github.com/atinux + + + https://avatars.githubusercontent.com/u/25613751?s=40&v=4 + romhml + https://github.com/romhml + + + + + Moonofweisheng/wot-design-uni + https://github.com/Moonofweisheng/wot-design-uni + 一个基于Vue3+TS开发的uni-app组件库,提供70+高质量组件,支持暗黑模式、国际化和自定义主题。 + https://github.com/Moonofweisheng/wot-design-uni Vue #41b883 - 25,471 - 6,930 - 143 + 1,009 + 167 + 24 - https://avatars.githubusercontent.com/u/28132598?s=40&v=4 - anncwb - https://github.com/anncwb + https://avatars.githubusercontent.com/u/26426873?s=40&v=4 + Moonofweisheng + https://github.com/Moonofweisheng + + + https://avatars.githubusercontent.com/u/85024227?s=40&v=4 + jasper-ops + https://github.com/jasper-ops - https://avatars.githubusercontent.com/u/174671189?s=40&v=4 - vince292007 - https://github.com/vince292007 + https://avatars.githubusercontent.com/u/50100966?s=40&v=4 + 810505339 + https://github.com/810505339 - https://avatars.githubusercontent.com/u/90845831?s=40&v=4 - likui628 - https://github.com/likui628 + https://avatars.githubusercontent.com/u/53939074?s=40&v=4 + RJQingHuan + https://github.com/RJQingHuan - https://avatars.githubusercontent.com/u/56245609?s=40&v=4 - pzzyf - https://github.com/pzzyf + https://avatars.githubusercontent.com/u/4394948?s=40&v=4 + UphkcRNqmafQWcSSSSSS + https://github.com/UphkcRNqmafQWcSSSSSS + + + + + imsyy/SPlayer + https://github.com/imsyy/SPlayer + 🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player + https://github.com/imsyy/SPlayer + Vue + #41b883 + 2,498 + 498 + 57 + + + https://avatars.githubusercontent.com/u/42232682?s=40&v=4 + imsyy + https://github.com/imsyy + + + https://avatars.githubusercontent.com/u/58456995?s=40&v=4 + jcfun + https://github.com/jcfun + + + https://avatars.githubusercontent.com/u/60092461?s=40&v=4 + king-zhangbn + https://github.com/king-zhangbn + + + https://avatars.githubusercontent.com/u/15852717?s=40&v=4 + FrzMtrsprt + https://github.com/FrzMtrsprt @@ -153,7 +191,7 @@ https://github.com/un-pany/v3-admin-vite Vue #41b883 - 5,332 + 5,334 901 54 @@ -185,40 +223,35 @@ - Moonofweisheng/wot-design-uni - https://github.com/Moonofweisheng/wot-design-uni - 一个基于Vue3+TS开发的uni-app组件库,提供70+高质量组件,支持暗黑模式、国际化和自定义主题。 - https://github.com/Moonofweisheng/wot-design-uni + AutomaApp/automa + https://github.com/AutomaApp/automa + A browser extension for automating your browser by connecting blocks + https://github.com/AutomaApp/automa Vue #41b883 - 1,007 - 167 - 24 + 12,215 + 1,324 + 164 - https://avatars.githubusercontent.com/u/26426873?s=40&v=4 - Moonofweisheng - https://github.com/Moonofweisheng - - - https://avatars.githubusercontent.com/u/85024227?s=40&v=4 - jasper-ops - https://github.com/jasper-ops + https://avatars.githubusercontent.com/u/22908993?s=40&v=4 + Kholid060 + https://github.com/Kholid060 - https://avatars.githubusercontent.com/u/50100966?s=40&v=4 - 810505339 - https://github.com/810505339 + https://avatars.githubusercontent.com/u/9131496?s=40&v=4 + xkonglong + https://github.com/xkonglong - https://avatars.githubusercontent.com/u/53939074?s=40&v=4 - RJQingHuan - https://github.com/RJQingHuan + https://avatars.githubusercontent.com/u/44022526?s=40&v=4 + Siykt + https://github.com/Siykt - https://avatars.githubusercontent.com/u/4394948?s=40&v=4 - UphkcRNqmafQWcSSSSSS - https://github.com/UphkcRNqmafQWcSSSSSS + https://avatars.githubusercontent.com/u/7939342?s=40&v=4 + mzaini30 + https://github.com/mzaini30 @@ -229,8 +262,8 @@ https://github.com/unovue/shadcn-vue Vue #41b883 - 5,286 - 314 + 5,292 + 315 65 @@ -261,68 +294,35 @@ - nuxt/ui - https://github.com/nuxt/ui - A UI Library for Modern Web Apps, powered by Vue & Tailwind CSS. - https://github.com/nuxt/ui + unovue/radix-vue + https://github.com/unovue/radix-vue + Vue port of Radix UI Primitives. An open-source UI component library for building high-quality, accessible design systems and web apps. + https://github.com/unovue/radix-vue Vue #41b883 - 4,123 - 544 - 36 + 3,708 + 230 + 43 - https://avatars.githubusercontent.com/u/739984?s=40&v=4 - benjamincanac - https://github.com/benjamincanac - - - https://avatars.githubusercontent.com/u/7547335?s=40&v=4 - smarroufin - https://github.com/smarroufin - - - https://avatars.githubusercontent.com/u/904724?s=40&v=4 - atinux - https://github.com/atinux - - - https://avatars.githubusercontent.com/u/25613751?s=40&v=4 - romhml - https://github.com/romhml - - - - - imsyy/SPlayer - https://github.com/imsyy/SPlayer - 🎉 一个简约的音乐播放器,支持逐字歌词,下载歌曲,展示评论区,音乐云盘及歌单管理,音乐频谱,移动端基础适配 | 网易云音乐 | A minimalist music player - https://github.com/imsyy/SPlayer - Vue - #41b883 - 2,497 - 498 - 57 - - - https://avatars.githubusercontent.com/u/42232682?s=40&v=4 - imsyy - https://github.com/imsyy + https://avatars.githubusercontent.com/u/59365435?s=40&v=4 + zernonia + https://github.com/zernonia - https://avatars.githubusercontent.com/u/58456995?s=40&v=4 - jcfun - https://github.com/jcfun + https://avatars.githubusercontent.com/u/101852870?s=40&v=4 + k11q + https://github.com/k11q - https://avatars.githubusercontent.com/u/60092461?s=40&v=4 - king-zhangbn - https://github.com/king-zhangbn + https://avatars.githubusercontent.com/u/17836403?s=40&v=4 + epr3 + https://github.com/epr3 - https://avatars.githubusercontent.com/u/15852717?s=40&v=4 - FrzMtrsprt - https://github.com/FrzMtrsprt + https://avatars.githubusercontent.com/u/51422045?s=40&v=4 + MellKam + https://github.com/MellKam @@ -333,7 +333,7 @@ https://github.com/zxwk1998/vue-admin-better Vue #41b883 - 17,013 + 17,016 3,714 35 @@ -364,77 +364,6 @@ - - 0xJacky/nginx-ui - https://github.com/0xJacky/nginx-ui - Yet another WebUI for Nginx - https://github.com/0xJacky/nginx-ui - Vue - #41b883 - 5,946 - 431 - 253 - - - https://avatars.githubusercontent.com/u/13096985?s=40&v=4 - 0xJacky - https://github.com/0xJacky - - - https://avatars.githubusercontent.com/u/1146488?s=40&v=4 - Hintay - https://github.com/Hintay - - - https://avatars.githubusercontent.com/u/1607653?s=40&v=4 - weblate - https://github.com/weblate - - - https://avatars.githubusercontent.com/u/4490636?s=40&v=4 - kcholoren - https://github.com/kcholoren - - - - - imsyy/home - https://github.com/imsyy/home - 个人主页,我的个人主页,个人主页源码,主页模板,homepage - https://github.com/imsyy/home - Vue - #41b883 - 3,488 - 2,322 - 35 - - - https://avatars.githubusercontent.com/u/42232682?s=40&v=4 - imsyy - https://github.com/imsyy - - - https://avatars.githubusercontent.com/u/35484849?s=40&v=4 - first19326 - https://github.com/first19326 - - - https://avatars.githubusercontent.com/u/45293856?s=40&v=4 - sumingyd - https://github.com/sumingyd - - - https://avatars.githubusercontent.com/u/42781101?s=40&v=4 - OrzMiku - https://github.com/OrzMiku - - - https://avatars.githubusercontent.com/u/54445994?s=40&v=4 - Waynenet - https://github.com/Waynenet - - - HalseySpicy/Geeker-Admin https://github.com/HalseySpicy/Geeker-Admin @@ -442,8 +371,8 @@ https://github.com/HalseySpicy/Geeker-Admin Vue #41b883 - 7,329 - 1,546 + 7,331 + 1,547 28 @@ -474,35 +403,40 @@ - dreamhunter2333/cloudflare_temp_email - https://github.com/dreamhunter2333/cloudflare_temp_email - CloudFlare free temp domain email 免费收发 临时域名邮箱 支持附件 IMAP SMTP TelegramBot - https://github.com/dreamhunter2333/cloudflare_temp_email + imsyy/home + https://github.com/imsyy/home + 个人主页,我的个人主页,个人主页源码,主页模板,homepage + https://github.com/imsyy/home Vue #41b883 - 2,685 - 1,013 - 94 + 3,489 + 2,320 + 35 - https://avatars.githubusercontent.com/u/32295532?s=40&v=4 - dreamhunter2333 - https://github.com/dreamhunter2333 + https://avatars.githubusercontent.com/u/42232682?s=40&v=4 + imsyy + https://github.com/imsyy - https://avatars.githubusercontent.com/u/26019675?s=40&v=4 - SunsetMkt - https://github.com/SunsetMkt + https://avatars.githubusercontent.com/u/35484849?s=40&v=4 + first19326 + https://github.com/first19326 - https://avatars.githubusercontent.com/u/37337136?s=40&v=4 - tqjason - https://github.com/tqjason + https://avatars.githubusercontent.com/u/45293856?s=40&v=4 + sumingyd + https://github.com/sumingyd - https://avatars.githubusercontent.com/u/44989283?s=40&v=4 - oneisall8955 - https://github.com/oneisall8955 + https://avatars.githubusercontent.com/u/42781101?s=40&v=4 + OrzMiku + https://github.com/OrzMiku + + + https://avatars.githubusercontent.com/u/54445994?s=40&v=4 + Waynenet + https://github.com/Waynenet @@ -513,7 +447,7 @@ https://github.com/palxiao/poster-design Vue #41b883 - 3,759 + 3,762 583 34 @@ -545,111 +479,73 @@ - vueComponent/ant-design-vue - https://github.com/vueComponent/ant-design-vue - 🌈 An enterprise-class UI components based on Ant Design and Vue. 🐜 - https://github.com/vueComponent/ant-design-vue - Vue - #41b883 - 20,299 - 3,793 - 33 - - - https://avatars.githubusercontent.com/u/6937879?s=40&v=4 - tangjinzhou - https://github.com/tangjinzhou - - - https://avatars.githubusercontent.com/u/4122593?s=40&v=4 - wangxueliang - https://github.com/wangxueliang - - - https://avatars.githubusercontent.com/u/31695475?s=40&v=4 - Amour1688 - https://github.com/Amour1688 - - - https://avatars.githubusercontent.com/u/8555127?s=40&v=4 - zkwolf - https://github.com/zkwolf - - - - - ccbikai/Sink - https://github.com/ccbikai/Sink - ⚡ A Simple / Speedy / Secure Link Shortener with Analytics, 100% run on Cloudflare. - https://github.com/ccbikai/Sink + OpenCSGs/csghub + https://github.com/OpenCSGs/csghub + CSGHub is an open-source large model platform just like on-premise version of Hugging Face. You can easily manage models and datasets, deploy model applications and setup model finetune or inference jobs with user interface. CSGHub also provides Python SDK with full compatibility of hf sdk. Join us together to build a safer and more open platform⭐️ + https://github.com/OpenCSGs/csghub Vue #41b883 - 3,000 - 1,603 - 72 + 3,042 + 468 + 41 - https://avatars.githubusercontent.com/u/2959393?s=40&v=4 - ccbikai - https://github.com/ccbikai + https://avatars.githubusercontent.com/u/396166?s=40&v=4 + zhendi + https://github.com/zhendi - https://avatars.githubusercontent.com/u/21162238?s=40&v=4 - QuentinHsu - https://github.com/QuentinHsu + https://avatars.githubusercontent.com/u/3827780?s=40&v=4 + hiveer + https://github.com/hiveer - https://avatars.githubusercontent.com/u/9060623?s=40&v=4 - dr-data - https://github.com/dr-data + https://avatars.githubusercontent.com/u/20787699?s=40&v=4 + youngbeom-shin + https://github.com/youngbeom-shin - https://avatars.githubusercontent.com/u/11242146?s=40&v=4 - zhuzhuyule - https://github.com/zhuzhuyule + https://avatars.githubusercontent.com/u/38434877?s=40&v=4 + pulltheflower + https://github.com/pulltheflower - https://avatars.githubusercontent.com/u/40444637?s=40&v=4 - ZL-Asica - https://github.com/ZL-Asica + https://avatars.githubusercontent.com/u/43563618?s=40&v=4 + wayneliu0019 + https://github.com/wayneliu0019 - umodoc/editor - https://github.com/umodoc/editor - Umo Editor is an open-source document editor, based on Vue3 and Tiptap. - https://github.com/umodoc/editor + dreamhunter2333/cloudflare_temp_email + https://github.com/dreamhunter2333/cloudflare_temp_email + CloudFlare free temp domain email 免费收发 临时域名邮箱 支持附件 IMAP SMTP TelegramBot + https://github.com/dreamhunter2333/cloudflare_temp_email Vue #41b883 - 327 - 62 - 20 + 2,687 + 1,012 + 94 - https://avatars.githubusercontent.com/u/1883467?s=40&v=4 - umodoc - https://github.com/umodoc - - - https://avatars.githubusercontent.com/u/37365363?s=40&v=4 - ChenErik - https://github.com/ChenErik + https://avatars.githubusercontent.com/u/32295532?s=40&v=4 + dreamhunter2333 + https://github.com/dreamhunter2333 - https://avatars.githubusercontent.com/u/34414950?s=40&v=4 - Cassielxd - https://github.com/Cassielxd + https://avatars.githubusercontent.com/u/26019675?s=40&v=4 + SunsetMkt + https://github.com/SunsetMkt - https://avatars.githubusercontent.com/u/30733348?s=40&v=4 - Goldziher - https://github.com/Goldziher + https://avatars.githubusercontent.com/u/37337136?s=40&v=4 + tqjason + https://github.com/tqjason - https://avatars.githubusercontent.com/u/4453516?s=40&v=4 - xuzhenjun130 - https://github.com/xuzhenjun130 + https://avatars.githubusercontent.com/u/44989283?s=40&v=4 + oneisall8955 + https://github.com/oneisall8955 @@ -660,8 +556,8 @@ https://github.com/kailong321200875/vue-element-plus-admin Vue #41b883 - 2,836 - 684 + 2,837 + 683 22 @@ -691,5 +587,71 @@ + + Hunlongyu/ZY-Player + https://github.com/Hunlongyu/ZY-Player + ▶️ 跨平台桌面端视频资源播放器.简洁无广告.免费高颜值. 🎞 + https://github.com/Hunlongyu/ZY-Player + Vue + #41b883 + 14,156 + 2,258 + 19 + + + https://avatars.githubusercontent.com/u/5760235?s=40&v=4 + cuiocean + https://github.com/cuiocean + + + https://avatars.githubusercontent.com/u/15273630?s=40&v=4 + Hunlongyu + https://github.com/Hunlongyu + + + https://avatars.githubusercontent.com/u/12312540?s=40&v=4 + buvta + https://github.com/buvta + + + https://avatars.githubusercontent.com/u/14220132?s=40&v=4 + hectorqin + https://github.com/hectorqin + + + + + vueComponent/ant-design-vue + https://github.com/vueComponent/ant-design-vue + 🌈 An enterprise-class UI components based on Ant Design and Vue. 🐜 + https://github.com/vueComponent/ant-design-vue + Vue + #41b883 + 20,300 + 3,793 + 33 + + + https://avatars.githubusercontent.com/u/6937879?s=40&v=4 + tangjinzhou + https://github.com/tangjinzhou + + + https://avatars.githubusercontent.com/u/4122593?s=40&v=4 + wangxueliang + https://github.com/wangxueliang + + + https://avatars.githubusercontent.com/u/31695475?s=40&v=4 + Amour1688 + https://github.com/Amour1688 + + + https://avatars.githubusercontent.com/u/8555127?s=40&v=4 + zkwolf + https://github.com/zkwolf + + + \ No newline at end of file diff --git a/data/weekly/vyper.json b/data/weekly/vyper.json index d5f51fd780c6..30fa160c36f4 100644 --- a/data/weekly/vyper.json +++ b/data/weekly/vyper.json @@ -2,6 +2,6 @@ "title": "GitHub Vyper Languages Weekly Trending", "description": "Weekly Trending of Vyper Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/vyper.xml b/data/weekly/vyper.xml index 02382a50d262..34ffa9c655e2 100644 --- a/data/weekly/vyper.xml +++ b/data/weekly/vyper.xml @@ -3,6 +3,6 @@ GitHub Vyper Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Vyper Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wavefront-material.json b/data/weekly/wavefront-material.json index f693c4345f85..58b242487426 100644 --- a/data/weekly/wavefront-material.json +++ b/data/weekly/wavefront-material.json @@ -2,6 +2,6 @@ "title": "GitHub Wavefront-material Languages Weekly Trending", "description": "Weekly Trending of Wavefront-material Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wavefront-material.xml b/data/weekly/wavefront-material.xml index b2464ff2cd38..15323a52f985 100644 --- a/data/weekly/wavefront-material.xml +++ b/data/weekly/wavefront-material.xml @@ -3,6 +3,6 @@ GitHub Wavefront-material Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wavefront-material Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wavefront-object.json b/data/weekly/wavefront-object.json index c1053e86c319..eb434ed30918 100644 --- a/data/weekly/wavefront-object.json +++ b/data/weekly/wavefront-object.json @@ -2,6 +2,6 @@ "title": "GitHub Wavefront-object Languages Weekly Trending", "description": "Weekly Trending of Wavefront-object Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wavefront-object.xml b/data/weekly/wavefront-object.xml index 8a2017ef0730..e87ac2ca1811 100644 --- a/data/weekly/wavefront-object.xml +++ b/data/weekly/wavefront-object.xml @@ -3,6 +3,6 @@ GitHub Wavefront-object Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wavefront-object Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wdl.json b/data/weekly/wdl.json index e88f7fba7884..54ccda7d1eda 100644 --- a/data/weekly/wdl.json +++ b/data/weekly/wdl.json @@ -2,6 +2,6 @@ "title": "GitHub Wdl Languages Weekly Trending", "description": "Weekly Trending of Wdl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wdl.xml b/data/weekly/wdl.xml index 3ca5ba82a40d..ca5c4136a65c 100644 --- a/data/weekly/wdl.xml +++ b/data/weekly/wdl.xml @@ -3,6 +3,6 @@ GitHub Wdl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wdl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/web-ontology-language.json b/data/weekly/web-ontology-language.json index 16874a77ea63..03454f207cc6 100644 --- a/data/weekly/web-ontology-language.json +++ b/data/weekly/web-ontology-language.json @@ -2,6 +2,6 @@ "title": "GitHub Web-ontology-language Languages Weekly Trending", "description": "Weekly Trending of Web-ontology-language Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/web-ontology-language.xml b/data/weekly/web-ontology-language.xml index 1357bb7d8cc0..d2f05b55d890 100644 --- a/data/weekly/web-ontology-language.xml +++ b/data/weekly/web-ontology-language.xml @@ -3,6 +3,6 @@ GitHub Web-ontology-language Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Web-ontology-language Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/webassembly-interface-type.json b/data/weekly/webassembly-interface-type.json index f0b9e8da9b14..20f68851391a 100644 --- a/data/weekly/webassembly-interface-type.json +++ b/data/weekly/webassembly-interface-type.json @@ -2,6 +2,6 @@ "title": "GitHub Webassembly-interface-type Languages Weekly Trending", "description": "Weekly Trending of Webassembly-interface-type Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/webassembly-interface-type.xml b/data/weekly/webassembly-interface-type.xml index efe6f17fc5c0..08819ef08167 100644 --- a/data/weekly/webassembly-interface-type.xml +++ b/data/weekly/webassembly-interface-type.xml @@ -3,6 +3,6 @@ GitHub Webassembly-interface-type Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Webassembly-interface-type Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/webassembly.json b/data/weekly/webassembly.json index ab06c789d493..513126ee2454 100644 --- a/data/weekly/webassembly.json +++ b/data/weekly/webassembly.json @@ -2,52 +2,15 @@ "title": "GitHub Webassembly Languages Weekly Trending", "description": "Weekly Trending of Webassembly Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "WebAssembly/wasi-threads", - "url": "https://github.com/WebAssembly/wasi-threads", - "description": "", - "language": "WebAssembly", - "languageColor": "#04133b", - "stars": "146", - "forks": "8", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/541880?s=40&v=4", - "name": "abrown", - "url": "https://github.com/abrown" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1845377?s=40&v=4", - "name": "yamt", - "url": "https://github.com/yamt" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5849037?s=40&v=4", - "name": "AlexEne", - "url": "https://github.com/AlexEne" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3201489?s=40&v=4", - "name": "loganek", - "url": "https://github.com/loganek" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/37975547?s=40&v=4", - "name": "eloparco", - "url": "https://github.com/eloparco" - } - ] - }, { "title": "WebAssembly/binaryen", "url": "https://github.com/WebAssembly/binaryen", "description": "Optimizer and compiler/toolchain library for WebAssembly", "language": "WebAssembly", "languageColor": "#04133b", - "stars": "7,535", + "stars": "7,536", "forks": "745", "addStars": "15", "contributors": [ @@ -114,6 +77,43 @@ "url": "https://github.com/mmilenkovic" } ] + }, + { + "title": "WebAssembly/wasi-threads", + "url": "https://github.com/WebAssembly/wasi-threads", + "description": "", + "language": "WebAssembly", + "languageColor": "#04133b", + "stars": "146", + "forks": "8", + "addStars": "0", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/541880?s=40&v=4", + "name": "abrown", + "url": "https://github.com/abrown" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1845377?s=40&v=4", + "name": "yamt", + "url": "https://github.com/yamt" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5849037?s=40&v=4", + "name": "AlexEne", + "url": "https://github.com/AlexEne" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3201489?s=40&v=4", + "name": "loganek", + "url": "https://github.com/loganek" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/37975547?s=40&v=4", + "name": "eloparco", + "url": "https://github.com/eloparco" + } + ] } ] } \ No newline at end of file diff --git a/data/weekly/webassembly.xml b/data/weekly/webassembly.xml index dbb581bc7caa..c8f3e0765a12 100644 --- a/data/weekly/webassembly.xml +++ b/data/weekly/webassembly.xml @@ -3,45 +3,7 @@ GitHub Webassembly Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Webassembly Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - WebAssembly/wasi-threads - https://github.com/WebAssembly/wasi-threads - - https://github.com/WebAssembly/wasi-threads - WebAssembly - #04133b - 146 - 8 - 0 - - - https://avatars.githubusercontent.com/u/541880?s=40&v=4 - abrown - https://github.com/abrown - - - https://avatars.githubusercontent.com/u/1845377?s=40&v=4 - yamt - https://github.com/yamt - - - https://avatars.githubusercontent.com/u/5849037?s=40&v=4 - AlexEne - https://github.com/AlexEne - - - https://avatars.githubusercontent.com/u/3201489?s=40&v=4 - loganek - https://github.com/loganek - - - https://avatars.githubusercontent.com/u/37975547?s=40&v=4 - eloparco - https://github.com/eloparco - - - + Mon, 25 Nov 2024 13:30:36 GMT WebAssembly/binaryen https://github.com/WebAssembly/binaryen @@ -49,7 +11,7 @@ https://github.com/WebAssembly/binaryen WebAssembly #04133b - 7,535 + 7,536 745 15 @@ -118,5 +80,43 @@ + + WebAssembly/wasi-threads + https://github.com/WebAssembly/wasi-threads + + https://github.com/WebAssembly/wasi-threads + WebAssembly + #04133b + 146 + 8 + 0 + + + https://avatars.githubusercontent.com/u/541880?s=40&v=4 + abrown + https://github.com/abrown + + + https://avatars.githubusercontent.com/u/1845377?s=40&v=4 + yamt + https://github.com/yamt + + + https://avatars.githubusercontent.com/u/5849037?s=40&v=4 + AlexEne + https://github.com/AlexEne + + + https://avatars.githubusercontent.com/u/3201489?s=40&v=4 + loganek + https://github.com/loganek + + + https://avatars.githubusercontent.com/u/37975547?s=40&v=4 + eloparco + https://github.com/eloparco + + + \ No newline at end of file diff --git a/data/weekly/webidl.json b/data/weekly/webidl.json index 10fc558ae83f..b72e3b512aff 100644 --- a/data/weekly/webidl.json +++ b/data/weekly/webidl.json @@ -2,6 +2,6 @@ "title": "GitHub Webidl Languages Weekly Trending", "description": "Weekly Trending of Webidl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/webidl.xml b/data/weekly/webidl.xml index 8329134faac3..d3da91ae326b 100644 --- a/data/weekly/webidl.xml +++ b/data/weekly/webidl.xml @@ -3,6 +3,6 @@ GitHub Webidl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Webidl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/webvtt.json b/data/weekly/webvtt.json index 4b6b8c854f4f..c2f2238d5c78 100644 --- a/data/weekly/webvtt.json +++ b/data/weekly/webvtt.json @@ -2,6 +2,6 @@ "title": "GitHub Webvtt Languages Weekly Trending", "description": "Weekly Trending of Webvtt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/webvtt.xml b/data/weekly/webvtt.xml index ab737a3dba89..3770c2810753 100644 --- a/data/weekly/webvtt.xml +++ b/data/weekly/webvtt.xml @@ -3,6 +3,6 @@ GitHub Webvtt Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Webvtt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wget-config.json b/data/weekly/wget-config.json index 0a665e058d92..cca00dd9c840 100644 --- a/data/weekly/wget-config.json +++ b/data/weekly/wget-config.json @@ -2,6 +2,6 @@ "title": "GitHub Wget-config Languages Weekly Trending", "description": "Weekly Trending of Wget-config Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wget-config.xml b/data/weekly/wget-config.xml index 96414adac519..6fa2b974a8e3 100644 --- a/data/weekly/wget-config.xml +++ b/data/weekly/wget-config.xml @@ -3,6 +3,6 @@ GitHub Wget-config Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wget-config Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wgsl.json b/data/weekly/wgsl.json index d83fb0d8973f..8a3d758bf893 100644 --- a/data/weekly/wgsl.json +++ b/data/weekly/wgsl.json @@ -2,6 +2,6 @@ "title": "GitHub Wgsl Languages Weekly Trending", "description": "Weekly Trending of Wgsl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wgsl.xml b/data/weekly/wgsl.xml index 725fb1ba51bd..1e3de1ef3977 100644 --- a/data/weekly/wgsl.xml +++ b/data/weekly/wgsl.xml @@ -3,6 +3,6 @@ GitHub Wgsl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wgsl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/whiley.json b/data/weekly/whiley.json index b48ac4c38f7f..16916a75102d 100644 --- a/data/weekly/whiley.json +++ b/data/weekly/whiley.json @@ -2,6 +2,6 @@ "title": "GitHub Whiley Languages Weekly Trending", "description": "Weekly Trending of Whiley Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/whiley.xml b/data/weekly/whiley.xml index c058602b625e..e8b799a93cbd 100644 --- a/data/weekly/whiley.xml +++ b/data/weekly/whiley.xml @@ -3,6 +3,6 @@ GitHub Whiley Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Whiley Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wikitext.json b/data/weekly/wikitext.json index 8db1f703ca66..06a241f07937 100644 --- a/data/weekly/wikitext.json +++ b/data/weekly/wikitext.json @@ -2,6 +2,6 @@ "title": "GitHub Wikitext Languages Weekly Trending", "description": "Weekly Trending of Wikitext Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wikitext.xml b/data/weekly/wikitext.xml index 6e6a4ab3a797..1190a6e76edf 100644 --- a/data/weekly/wikitext.xml +++ b/data/weekly/wikitext.xml @@ -3,6 +3,6 @@ GitHub Wikitext Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wikitext Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/win32-message-file.json b/data/weekly/win32-message-file.json index 0d35df9b8ec6..e1468b694a0e 100644 --- a/data/weekly/win32-message-file.json +++ b/data/weekly/win32-message-file.json @@ -2,6 +2,6 @@ "title": "GitHub Win32-message-file Languages Weekly Trending", "description": "Weekly Trending of Win32-message-file Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/win32-message-file.xml b/data/weekly/win32-message-file.xml index 7be30bde2b1b..fb920a88b9a8 100644 --- a/data/weekly/win32-message-file.xml +++ b/data/weekly/win32-message-file.xml @@ -3,6 +3,6 @@ GitHub Win32-message-file Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Win32-message-file Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/windows-registry-entries.json b/data/weekly/windows-registry-entries.json index 89489b493530..86bc9f2a50a3 100644 --- a/data/weekly/windows-registry-entries.json +++ b/data/weekly/windows-registry-entries.json @@ -2,6 +2,6 @@ "title": "GitHub Windows-registry-entries Languages Weekly Trending", "description": "Weekly Trending of Windows-registry-entries Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/windows-registry-entries.xml b/data/weekly/windows-registry-entries.xml index 614600388189..5d4019133422 100644 --- a/data/weekly/windows-registry-entries.xml +++ b/data/weekly/windows-registry-entries.xml @@ -3,6 +3,6 @@ GitHub Windows-registry-entries Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Windows-registry-entries Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wisp.json b/data/weekly/wisp.json index c62d0cb9980c..45e7bb8604ac 100644 --- a/data/weekly/wisp.json +++ b/data/weekly/wisp.json @@ -2,6 +2,6 @@ "title": "GitHub Wisp Languages Weekly Trending", "description": "Weekly Trending of Wisp Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wisp.xml b/data/weekly/wisp.xml index 56e8ba5a8140..1f46821c77a0 100644 --- a/data/weekly/wisp.xml +++ b/data/weekly/wisp.xml @@ -3,6 +3,6 @@ GitHub Wisp Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wisp Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/witcher-script.json b/data/weekly/witcher-script.json index e85aaf27d0eb..a621dc659d12 100644 --- a/data/weekly/witcher-script.json +++ b/data/weekly/witcher-script.json @@ -2,6 +2,6 @@ "title": "GitHub Witcher-script Languages Weekly Trending", "description": "Weekly Trending of Witcher-script Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/witcher-script.xml b/data/weekly/witcher-script.xml index 07b62d61f2f0..429e31baa4d9 100644 --- a/data/weekly/witcher-script.xml +++ b/data/weekly/witcher-script.xml @@ -3,6 +3,6 @@ GitHub Witcher-script Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Witcher-script Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wollok.json b/data/weekly/wollok.json index 90c830a14c15..103316959637 100644 --- a/data/weekly/wollok.json +++ b/data/weekly/wollok.json @@ -2,6 +2,6 @@ "title": "GitHub Wollok Languages Weekly Trending", "description": "Weekly Trending of Wollok Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wollok.xml b/data/weekly/wollok.xml index 6196d3dd133b..90163104faa5 100644 --- a/data/weekly/wollok.xml +++ b/data/weekly/wollok.xml @@ -3,6 +3,6 @@ GitHub Wollok Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wollok Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/world-of-warcraft-addon-data.json b/data/weekly/world-of-warcraft-addon-data.json index 11ad35fd3644..a691571af8de 100644 --- a/data/weekly/world-of-warcraft-addon-data.json +++ b/data/weekly/world-of-warcraft-addon-data.json @@ -2,6 +2,6 @@ "title": "GitHub World-of-warcraft-addon-data Languages Weekly Trending", "description": "Weekly Trending of World-of-warcraft-addon-data Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/world-of-warcraft-addon-data.xml b/data/weekly/world-of-warcraft-addon-data.xml index 5f680e8354cd..41489a317e18 100644 --- a/data/weekly/world-of-warcraft-addon-data.xml +++ b/data/weekly/world-of-warcraft-addon-data.xml @@ -3,6 +3,6 @@ GitHub World-of-warcraft-addon-data Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of World-of-warcraft-addon-data Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/wren.json b/data/weekly/wren.json index 66da096d0b44..7174443b65e7 100644 --- a/data/weekly/wren.json +++ b/data/weekly/wren.json @@ -2,6 +2,6 @@ "title": "GitHub Wren Languages Weekly Trending", "description": "Weekly Trending of Wren Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/wren.xml b/data/weekly/wren.xml index 87c90b67aded..c2c321541bc9 100644 --- a/data/weekly/wren.xml +++ b/data/weekly/wren.xml @@ -3,6 +3,6 @@ GitHub Wren Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Wren Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/x-bitmap.json b/data/weekly/x-bitmap.json index 2fd734b69e82..a863b58424ec 100644 --- a/data/weekly/x-bitmap.json +++ b/data/weekly/x-bitmap.json @@ -2,6 +2,6 @@ "title": "GitHub X-bitmap Languages Weekly Trending", "description": "Weekly Trending of X-bitmap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/x-bitmap.xml b/data/weekly/x-bitmap.xml index 84ef7acb5087..0f6371c115c0 100644 --- a/data/weekly/x-bitmap.xml +++ b/data/weekly/x-bitmap.xml @@ -3,6 +3,6 @@ GitHub X-bitmap Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of X-bitmap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/x-font-directory-index.json b/data/weekly/x-font-directory-index.json index 5530d3f29b7d..a57bf6209629 100644 --- a/data/weekly/x-font-directory-index.json +++ b/data/weekly/x-font-directory-index.json @@ -2,6 +2,6 @@ "title": "GitHub X-font-directory-index Languages Weekly Trending", "description": "Weekly Trending of X-font-directory-index Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/x-font-directory-index.xml b/data/weekly/x-font-directory-index.xml index 72e66f1ce755..12404d0ea1e8 100644 --- a/data/weekly/x-font-directory-index.xml +++ b/data/weekly/x-font-directory-index.xml @@ -3,6 +3,6 @@ GitHub X-font-directory-index Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of X-font-directory-index Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/x-pixmap.json b/data/weekly/x-pixmap.json index 8b8ed849edc3..c38a70bc7926 100644 --- a/data/weekly/x-pixmap.json +++ b/data/weekly/x-pixmap.json @@ -2,6 +2,6 @@ "title": "GitHub X-pixmap Languages Weekly Trending", "description": "Weekly Trending of X-pixmap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/x-pixmap.xml b/data/weekly/x-pixmap.xml index c285624ee7e4..436bcd3e3519 100644 --- a/data/weekly/x-pixmap.xml +++ b/data/weekly/x-pixmap.xml @@ -3,6 +3,6 @@ GitHub X-pixmap Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of X-pixmap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/x10.json b/data/weekly/x10.json index facb0fa23fe1..4b6dc0d26ffc 100644 --- a/data/weekly/x10.json +++ b/data/weekly/x10.json @@ -2,6 +2,6 @@ "title": "GitHub X10 Languages Weekly Trending", "description": "Weekly Trending of X10 Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/x10.xml b/data/weekly/x10.xml index 67e98bcfefa8..9eacef9cc285 100644 --- a/data/weekly/x10.xml +++ b/data/weekly/x10.xml @@ -3,6 +3,6 @@ GitHub X10 Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of X10 Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xbase.json b/data/weekly/xbase.json index a9c6a9214502..1140092bba80 100644 --- a/data/weekly/xbase.json +++ b/data/weekly/xbase.json @@ -2,6 +2,6 @@ "title": "GitHub Xbase Languages Weekly Trending", "description": "Weekly Trending of Xbase Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xbase.xml b/data/weekly/xbase.xml index 7050331e16bd..d949e118428d 100644 --- a/data/weekly/xbase.xml +++ b/data/weekly/xbase.xml @@ -3,6 +3,6 @@ GitHub Xbase Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xbase Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xc.json b/data/weekly/xc.json index 817d3e0b1e36..fae927fff030 100644 --- a/data/weekly/xc.json +++ b/data/weekly/xc.json @@ -2,7 +2,7 @@ "title": "GitHub Xc Languages Weekly Trending", "description": "Weekly Trending of Xc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "xmos/lib_i2s", diff --git a/data/weekly/xc.xml b/data/weekly/xc.xml index ff2ea07cfffa..ebb2bf06ca17 100644 --- a/data/weekly/xc.xml +++ b/data/weekly/xc.xml @@ -3,7 +3,7 @@ GitHub Xc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT xmos/lib_i2s https://github.com/xmos/lib_i2s diff --git a/data/weekly/xcompose.json b/data/weekly/xcompose.json index a28fc9101583..7e1b4acca4ca 100644 --- a/data/weekly/xcompose.json +++ b/data/weekly/xcompose.json @@ -2,6 +2,6 @@ "title": "GitHub Xcompose Languages Weekly Trending", "description": "Weekly Trending of Xcompose Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xcompose.xml b/data/weekly/xcompose.xml index 18a41444ccb0..ccab7032fdc3 100644 --- a/data/weekly/xcompose.xml +++ b/data/weekly/xcompose.xml @@ -3,6 +3,6 @@ GitHub Xcompose Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xcompose Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xml-property-list.json b/data/weekly/xml-property-list.json index dad351089c2e..b8fde12d4f8a 100644 --- a/data/weekly/xml-property-list.json +++ b/data/weekly/xml-property-list.json @@ -2,6 +2,6 @@ "title": "GitHub Xml-property-list Languages Weekly Trending", "description": "Weekly Trending of Xml-property-list Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xml-property-list.xml b/data/weekly/xml-property-list.xml index e5d0fea82ea2..4cb58a0b772a 100644 --- a/data/weekly/xml-property-list.xml +++ b/data/weekly/xml-property-list.xml @@ -3,6 +3,6 @@ GitHub Xml-property-list Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xml-property-list Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xml.json b/data/weekly/xml.json index f3ba642d79de..a2dc3c8a8493 100644 --- a/data/weekly/xml.json +++ b/data/weekly/xml.json @@ -2,7 +2,7 @@ "title": "GitHub Xml Languages Weekly Trending", "description": "Weekly Trending of Xml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "zotero-chinese/styles", diff --git a/data/weekly/xml.xml b/data/weekly/xml.xml index 45bcf308ab48..045dbb3e8a71 100644 --- a/data/weekly/xml.xml +++ b/data/weekly/xml.xml @@ -3,7 +3,7 @@ GitHub Xml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT zotero-chinese/styles https://github.com/zotero-chinese/styles diff --git a/data/weekly/xojo.json b/data/weekly/xojo.json index 2d643f9c6ebc..daa08d2c3fe6 100644 --- a/data/weekly/xojo.json +++ b/data/weekly/xojo.json @@ -2,6 +2,6 @@ "title": "GitHub Xojo Languages Weekly Trending", "description": "Weekly Trending of Xojo Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xojo.xml b/data/weekly/xojo.xml index 41956e091bc9..3c5d80e9c91f 100644 --- a/data/weekly/xojo.xml +++ b/data/weekly/xojo.xml @@ -3,6 +3,6 @@ GitHub Xojo Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xojo Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xonsh.json b/data/weekly/xonsh.json index 3c6cfd119a8c..05afa9587afe 100644 --- a/data/weekly/xonsh.json +++ b/data/weekly/xonsh.json @@ -2,6 +2,6 @@ "title": "GitHub Xonsh Languages Weekly Trending", "description": "Weekly Trending of Xonsh Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xonsh.xml b/data/weekly/xonsh.xml index e568637c3ae2..cd47c221f266 100644 --- a/data/weekly/xonsh.xml +++ b/data/weekly/xonsh.xml @@ -3,6 +3,6 @@ GitHub Xonsh Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xonsh Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xpages.json b/data/weekly/xpages.json index ca7a6a9f85ce..e8f0a6e53578 100644 --- a/data/weekly/xpages.json +++ b/data/weekly/xpages.json @@ -2,6 +2,6 @@ "title": "GitHub Xpages Languages Weekly Trending", "description": "Weekly Trending of Xpages Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xpages.xml b/data/weekly/xpages.xml index 9ce64d055a13..de79640b9688 100644 --- a/data/weekly/xpages.xml +++ b/data/weekly/xpages.xml @@ -3,6 +3,6 @@ GitHub Xpages Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xpages Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xproc.json b/data/weekly/xproc.json index 2a82e2bca106..134cd4ea4d7e 100644 --- a/data/weekly/xproc.json +++ b/data/weekly/xproc.json @@ -2,6 +2,6 @@ "title": "GitHub Xproc Languages Weekly Trending", "description": "Weekly Trending of Xproc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xproc.xml b/data/weekly/xproc.xml index a01354fa04e4..c668d4ab2548 100644 --- a/data/weekly/xproc.xml +++ b/data/weekly/xproc.xml @@ -3,6 +3,6 @@ GitHub Xproc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xproc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xquery.json b/data/weekly/xquery.json index e5cca61c2793..617030fe1ee0 100644 --- a/data/weekly/xquery.json +++ b/data/weekly/xquery.json @@ -2,6 +2,6 @@ "title": "GitHub Xquery Languages Weekly Trending", "description": "Weekly Trending of Xquery Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xquery.xml b/data/weekly/xquery.xml index 8cb9a0436389..42e107b1ec19 100644 --- a/data/weekly/xquery.xml +++ b/data/weekly/xquery.xml @@ -3,6 +3,6 @@ GitHub Xquery Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xquery Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xs.json b/data/weekly/xs.json index 8d8b76cb8a0a..97e79fa42ea0 100644 --- a/data/weekly/xs.json +++ b/data/weekly/xs.json @@ -2,6 +2,6 @@ "title": "GitHub Xs Languages Weekly Trending", "description": "Weekly Trending of Xs Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xs.xml b/data/weekly/xs.xml index 8b80000b6071..0e43b79ffde9 100644 --- a/data/weekly/xs.xml +++ b/data/weekly/xs.xml @@ -3,6 +3,6 @@ GitHub Xs Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xs Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/xslt.json b/data/weekly/xslt.json index 4c715b818252..0ec8106f60ae 100644 --- a/data/weekly/xslt.json +++ b/data/weekly/xslt.json @@ -2,45 +2,8 @@ "title": "GitHub Xslt Languages Weekly Trending", "description": "Weekly Trending of Xslt Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ - { - "title": "ZUGFeRD/mustangproject", - "url": "https://github.com/ZUGFeRD/mustangproject", - "description": "Open Source Java e-Invoicing library, validator and tool (Factur-X/ZUGFeRD, UNCEFACT/CII XRechnung)", - "language": "XSLT", - "languageColor": "#EB8CEB", - "stars": "236", - "forks": "132", - "addStars": "6", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/3964386?s=40&v=4", - "name": "jstaerk", - "url": "https://github.com/jstaerk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/74199346?s=40&v=4", - "name": "weclapp-dev", - "url": "https://github.com/weclapp-dev" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/196219?s=40&v=4", - "name": "phax", - "url": "https://github.com/phax" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/65352601?s=40&v=4", - "name": "aberndt-hub", - "url": "https://github.com/aberndt-hub" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/312108?s=40&v=4", - "name": "HardyLoppmann", - "url": "https://github.com/HardyLoppmann" - } - ] - }, { "title": "ConnectingEurope/eInvoicing-EN16931", "url": "https://github.com/ConnectingEurope/eInvoicing-EN16931", @@ -115,6 +78,43 @@ } ] }, + { + "title": "ZUGFeRD/mustangproject", + "url": "https://github.com/ZUGFeRD/mustangproject", + "description": "Open Source Java e-Invoicing library, validator and tool (Factur-X/ZUGFeRD, UNCEFACT/CII XRechnung)", + "language": "XSLT", + "languageColor": "#EB8CEB", + "stars": "236", + "forks": "132", + "addStars": "6", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/3964386?s=40&v=4", + "name": "jstaerk", + "url": "https://github.com/jstaerk" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/74199346?s=40&v=4", + "name": "weclapp-dev", + "url": "https://github.com/weclapp-dev" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/196219?s=40&v=4", + "name": "phax", + "url": "https://github.com/phax" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/65352601?s=40&v=4", + "name": "aberndt-hub", + "url": "https://github.com/aberndt-hub" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/312108?s=40&v=4", + "name": "HardyLoppmann", + "url": "https://github.com/HardyLoppmann" + } + ] + }, { "title": "uri-templates/uritemplate-test", "url": "https://github.com/uri-templates/uritemplate-test", @@ -190,113 +190,39 @@ ] }, { - "title": "briankavanaugh/APA-7th-Edition", - "url": "https://github.com/briankavanaugh/APA-7th-Edition", - "description": "Microsoft Word XSD for generating APA 7th edition references", - "language": "XSLT", - "languageColor": "#EB8CEB", - "stars": "133", - "forks": "28", - "addStars": "0", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/13356729?s=40&v=4", - "name": "briankavanaugh", - "url": "https://github.com/briankavanaugh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/186353?s=40&v=4", - "name": "naltang", - "url": "https://github.com/naltang" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/157208729?s=40&v=4", - "name": "iagra20", - "url": "https://github.com/iagra20" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/19156050?s=40&v=4", - "name": "TJStar", - "url": "https://github.com/TJStar" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/12781300?s=40&v=4", - "name": "noor-latif", - "url": "https://github.com/noor-latif" - } - ] - }, - { - "title": "OpenSCAP/openscap", - "url": "https://github.com/OpenSCAP/openscap", - "description": "NIST Certified SCAP 1.2 toolkit", - "language": "XSLT", - "languageColor": "#EB8CEB", - "stars": "1,383", - "forks": "380", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/9050916?s=40&v=4", - "name": "jan-cerny", - "url": "https://github.com/jan-cerny" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6666052?s=40&v=4", - "name": "isimluk", - "url": "https://github.com/isimluk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/8696003?s=40&v=4", - "name": "pvrabec", - "url": "https://github.com/pvrabec" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/429134?s=40&v=4", - "name": "evgenyz", - "url": "https://github.com/evgenyz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/6267063?s=40&v=4", - "name": "theinric", - "url": "https://github.com/theinric" - } - ] - }, - { - "title": "LOLBAS-Project/LOLBAS", - "url": "https://github.com/LOLBAS-Project/LOLBAS", - "description": "Living Off The Land Binaries And Scripts - (LOLBins and LOLScripts)", + "title": "11ty/eleventy-base-blog", + "url": "https://github.com/11ty/eleventy-base-blog", + "description": "A starter repository for a blog web site using the Eleventy static site generator.", "language": "XSLT", "languageColor": "#EB8CEB", - "stars": "7,121", - "forks": "1,000", - "addStars": "20", + "stars": "1,255", + "forks": "620", + "addStars": "5", "contributors": [ { - "avatar": "https://avatars.githubusercontent.com/u/12997471?s=40&v=4", - "name": "api0cradle", - "url": "https://github.com/api0cradle" + "avatar": "https://avatars.githubusercontent.com/u/39355?s=40&v=4", + "name": "zachleat", + "url": "https://github.com/zachleat" }, { - "avatar": "https://avatars.githubusercontent.com/u/2811785?s=40&v=4", - "name": "wietze", - "url": "https://github.com/wietze" + "avatar": "https://avatars.githubusercontent.com/u/33116358?s=40&v=4", + "name": "traviscibot", + "url": "https://github.com/traviscibot" }, { - "avatar": "https://avatars.githubusercontent.com/u/2199813?s=40&v=4", - "name": "xenoscr", - "url": "https://github.com/xenoscr" + "avatar": "https://avatars.githubusercontent.com/u/81942?s=40&v=4", + "name": "mathiasbynens", + "url": "https://github.com/mathiasbynens" }, { - "avatar": "https://avatars.githubusercontent.com/u/21028609?s=40&v=4", - "name": "bohops", - "url": "https://github.com/bohops" + "avatar": "https://avatars.githubusercontent.com/u/4167938?s=40&v=4", + "name": "benjifs", + "url": "https://github.com/benjifs" }, { - "avatar": "https://avatars.githubusercontent.com/u/41170494?s=40&v=4", - "name": "Ekitji", - "url": "https://github.com/Ekitji" + "avatar": "https://avatars.githubusercontent.com/u/543633?s=40&v=4", + "name": "mohsen1", + "url": "https://github.com/mohsen1" } ] } diff --git a/data/weekly/xslt.xml b/data/weekly/xslt.xml index ab60c60172a9..1238452d6405 100644 --- a/data/weekly/xslt.xml +++ b/data/weekly/xslt.xml @@ -3,45 +3,7 @@ GitHub Xslt Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xslt Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT - - ZUGFeRD/mustangproject - https://github.com/ZUGFeRD/mustangproject - Open Source Java e-Invoicing library, validator and tool (Factur-X/ZUGFeRD, UNCEFACT/CII XRechnung) - https://github.com/ZUGFeRD/mustangproject - XSLT - #EB8CEB - 236 - 132 - 6 - - - https://avatars.githubusercontent.com/u/3964386?s=40&v=4 - jstaerk - https://github.com/jstaerk - - - https://avatars.githubusercontent.com/u/74199346?s=40&v=4 - weclapp-dev - https://github.com/weclapp-dev - - - https://avatars.githubusercontent.com/u/196219?s=40&v=4 - phax - https://github.com/phax - - - https://avatars.githubusercontent.com/u/65352601?s=40&v=4 - aberndt-hub - https://github.com/aberndt-hub - - - https://avatars.githubusercontent.com/u/312108?s=40&v=4 - HardyLoppmann - https://github.com/HardyLoppmann - - - + Mon, 25 Nov 2024 13:30:36 GMT ConnectingEurope/eInvoicing-EN16931 https://github.com/ConnectingEurope/eInvoicing-EN16931 @@ -118,6 +80,44 @@ + + ZUGFeRD/mustangproject + https://github.com/ZUGFeRD/mustangproject + Open Source Java e-Invoicing library, validator and tool (Factur-X/ZUGFeRD, UNCEFACT/CII XRechnung) + https://github.com/ZUGFeRD/mustangproject + XSLT + #EB8CEB + 236 + 132 + 6 + + + https://avatars.githubusercontent.com/u/3964386?s=40&v=4 + jstaerk + https://github.com/jstaerk + + + https://avatars.githubusercontent.com/u/74199346?s=40&v=4 + weclapp-dev + https://github.com/weclapp-dev + + + https://avatars.githubusercontent.com/u/196219?s=40&v=4 + phax + https://github.com/phax + + + https://avatars.githubusercontent.com/u/65352601?s=40&v=4 + aberndt-hub + https://github.com/aberndt-hub + + + https://avatars.githubusercontent.com/u/312108?s=40&v=4 + HardyLoppmann + https://github.com/HardyLoppmann + + + uri-templates/uritemplate-test https://github.com/uri-templates/uritemplate-test @@ -195,116 +195,40 @@ - briankavanaugh/APA-7th-Edition - https://github.com/briankavanaugh/APA-7th-Edition - Microsoft Word XSD for generating APA 7th edition references - https://github.com/briankavanaugh/APA-7th-Edition - XSLT - #EB8CEB - 133 - 28 - 0 - - - https://avatars.githubusercontent.com/u/13356729?s=40&v=4 - briankavanaugh - https://github.com/briankavanaugh - - - https://avatars.githubusercontent.com/u/186353?s=40&v=4 - naltang - https://github.com/naltang - - - https://avatars.githubusercontent.com/u/157208729?s=40&v=4 - iagra20 - https://github.com/iagra20 - - - https://avatars.githubusercontent.com/u/19156050?s=40&v=4 - TJStar - https://github.com/TJStar - - - https://avatars.githubusercontent.com/u/12781300?s=40&v=4 - noor-latif - https://github.com/noor-latif - - - - - OpenSCAP/openscap - https://github.com/OpenSCAP/openscap - NIST Certified SCAP 1.2 toolkit - https://github.com/OpenSCAP/openscap - XSLT - #EB8CEB - 1,383 - 380 - 1 - - - https://avatars.githubusercontent.com/u/9050916?s=40&v=4 - jan-cerny - https://github.com/jan-cerny - - - https://avatars.githubusercontent.com/u/6666052?s=40&v=4 - isimluk - https://github.com/isimluk - - - https://avatars.githubusercontent.com/u/8696003?s=40&v=4 - pvrabec - https://github.com/pvrabec - - - https://avatars.githubusercontent.com/u/429134?s=40&v=4 - evgenyz - https://github.com/evgenyz - - - https://avatars.githubusercontent.com/u/6267063?s=40&v=4 - theinric - https://github.com/theinric - - - - - LOLBAS-Project/LOLBAS - https://github.com/LOLBAS-Project/LOLBAS - Living Off The Land Binaries And Scripts - (LOLBins and LOLScripts) - https://github.com/LOLBAS-Project/LOLBAS + 11ty/eleventy-base-blog + https://github.com/11ty/eleventy-base-blog + A starter repository for a blog web site using the Eleventy static site generator. + https://github.com/11ty/eleventy-base-blog XSLT #EB8CEB - 7,121 - 1,000 - 20 + 1,255 + 620 + 5 - https://avatars.githubusercontent.com/u/12997471?s=40&v=4 - api0cradle - https://github.com/api0cradle + https://avatars.githubusercontent.com/u/39355?s=40&v=4 + zachleat + https://github.com/zachleat - https://avatars.githubusercontent.com/u/2811785?s=40&v=4 - wietze - https://github.com/wietze + https://avatars.githubusercontent.com/u/33116358?s=40&v=4 + traviscibot + https://github.com/traviscibot - https://avatars.githubusercontent.com/u/2199813?s=40&v=4 - xenoscr - https://github.com/xenoscr + https://avatars.githubusercontent.com/u/81942?s=40&v=4 + mathiasbynens + https://github.com/mathiasbynens - https://avatars.githubusercontent.com/u/21028609?s=40&v=4 - bohops - https://github.com/bohops + https://avatars.githubusercontent.com/u/4167938?s=40&v=4 + benjifs + https://github.com/benjifs - https://avatars.githubusercontent.com/u/41170494?s=40&v=4 - Ekitji - https://github.com/Ekitji + https://avatars.githubusercontent.com/u/543633?s=40&v=4 + mohsen1 + https://github.com/mohsen1 diff --git a/data/weekly/xtend.json b/data/weekly/xtend.json index c7f39707a13a..db5396bb964a 100644 --- a/data/weekly/xtend.json +++ b/data/weekly/xtend.json @@ -2,6 +2,6 @@ "title": "GitHub Xtend Languages Weekly Trending", "description": "Weekly Trending of Xtend Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/xtend.xml b/data/weekly/xtend.xml index d989cf42f277..477bcecb5466 100644 --- a/data/weekly/xtend.xml +++ b/data/weekly/xtend.xml @@ -3,6 +3,6 @@ GitHub Xtend Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Xtend Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/yacc.json b/data/weekly/yacc.json index d2db23a18bf3..9cf56065a490 100644 --- a/data/weekly/yacc.json +++ b/data/weekly/yacc.json @@ -2,6 +2,6 @@ "title": "GitHub Yacc Languages Weekly Trending", "description": "Weekly Trending of Yacc Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/yacc.xml b/data/weekly/yacc.xml index 2f8c2a62391b..5fb97984d432 100644 --- a/data/weekly/yacc.xml +++ b/data/weekly/yacc.xml @@ -3,6 +3,6 @@ GitHub Yacc Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Yacc Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/yaml.json b/data/weekly/yaml.json index 05b9c3f70db8..593d827183ac 100644 --- a/data/weekly/yaml.json +++ b/data/weekly/yaml.json @@ -2,7 +2,7 @@ "title": "GitHub Yaml Languages Weekly Trending", "description": "Weekly Trending of Yaml Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "kubeflow/manifests", @@ -10,7 +10,7 @@ "description": "A repository for Kustomize manifests", "language": "YAML", "languageColor": "#cb171e", - "stars": "829", + "stars": "830", "forks": "888", "addStars": "5", "contributors": [ @@ -110,43 +110,6 @@ } ] }, - { - "title": "mustache/spec", - "url": "https://github.com/mustache/spec", - "description": "The Mustache spec.", - "language": "YAML", - "languageColor": "#cb171e", - "stars": "371", - "forks": "71", - "addStars": "1", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/2350?s=40&v=4", - "name": "pvande", - "url": "https://github.com/pvande" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1540185?s=40&v=4", - "name": "jgonggrijp", - "url": "https://github.com/jgonggrijp" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3291251?s=40&v=4", - "name": "Danappelxx", - "url": "https://github.com/Danappelxx" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/426238?s=40&v=4", - "name": "gasche", - "url": "https://github.com/gasche" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/774788?s=40&v=4", - "name": "softmoth", - "url": "https://github.com/softmoth" - } - ] - }, { "title": "stashapp/CommunityScrapers", "url": "https://github.com/stashapp/CommunityScrapers", @@ -184,6 +147,43 @@ } ] }, + { + "title": "mustache/spec", + "url": "https://github.com/mustache/spec", + "description": "The Mustache spec.", + "language": "YAML", + "languageColor": "#cb171e", + "stars": "371", + "forks": "71", + "addStars": "1", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/2350?s=40&v=4", + "name": "pvande", + "url": "https://github.com/pvande" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1540185?s=40&v=4", + "name": "jgonggrijp", + "url": "https://github.com/jgonggrijp" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3291251?s=40&v=4", + "name": "Danappelxx", + "url": "https://github.com/Danappelxx" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/426238?s=40&v=4", + "name": "gasche", + "url": "https://github.com/gasche" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/774788?s=40&v=4", + "name": "softmoth", + "url": "https://github.com/softmoth" + } + ] + }, { "title": "hackclub/dns", "url": "https://github.com/hackclub/dns", diff --git a/data/weekly/yaml.xml b/data/weekly/yaml.xml index 811add99c4ec..8b508c261d56 100644 --- a/data/weekly/yaml.xml +++ b/data/weekly/yaml.xml @@ -3,7 +3,7 @@ GitHub Yaml Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Yaml Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT kubeflow/manifests https://github.com/kubeflow/manifests @@ -11,7 +11,7 @@ https://github.com/kubeflow/manifests YAML #cb171e - 829 + 830 888 5 @@ -113,44 +113,6 @@ - - mustache/spec - https://github.com/mustache/spec - The Mustache spec. - https://github.com/mustache/spec - YAML - #cb171e - 371 - 71 - 1 - - - https://avatars.githubusercontent.com/u/2350?s=40&v=4 - pvande - https://github.com/pvande - - - https://avatars.githubusercontent.com/u/1540185?s=40&v=4 - jgonggrijp - https://github.com/jgonggrijp - - - https://avatars.githubusercontent.com/u/3291251?s=40&v=4 - Danappelxx - https://github.com/Danappelxx - - - https://avatars.githubusercontent.com/u/426238?s=40&v=4 - gasche - https://github.com/gasche - - - https://avatars.githubusercontent.com/u/774788?s=40&v=4 - softmoth - https://github.com/softmoth - - - stashapp/CommunityScrapers https://github.com/stashapp/CommunityScrapers @@ -189,6 +151,44 @@ + + mustache/spec + https://github.com/mustache/spec + The Mustache spec. + https://github.com/mustache/spec + YAML + #cb171e + 371 + 71 + 1 + + + https://avatars.githubusercontent.com/u/2350?s=40&v=4 + pvande + https://github.com/pvande + + + https://avatars.githubusercontent.com/u/1540185?s=40&v=4 + jgonggrijp + https://github.com/jgonggrijp + + + https://avatars.githubusercontent.com/u/3291251?s=40&v=4 + Danappelxx + https://github.com/Danappelxx + + + https://avatars.githubusercontent.com/u/426238?s=40&v=4 + gasche + https://github.com/gasche + + + https://avatars.githubusercontent.com/u/774788?s=40&v=4 + softmoth + https://github.com/softmoth + + + hackclub/dns https://github.com/hackclub/dns diff --git a/data/weekly/yang.json b/data/weekly/yang.json index 0f3c06ca898c..bd08f2787523 100644 --- a/data/weekly/yang.json +++ b/data/weekly/yang.json @@ -2,6 +2,6 @@ "title": "GitHub Yang Languages Weekly Trending", "description": "Weekly Trending of Yang Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/yang.xml b/data/weekly/yang.xml index 5ba29ed4cdec..0015490071fd 100644 --- a/data/weekly/yang.xml +++ b/data/weekly/yang.xml @@ -3,6 +3,6 @@ GitHub Yang Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Yang Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/yara.json b/data/weekly/yara.json index 775f5e989ed6..e62b904c2341 100644 --- a/data/weekly/yara.json +++ b/data/weekly/yara.json @@ -2,7 +2,7 @@ "title": "GitHub Yara Languages Weekly Trending", "description": "Weekly Trending of Yara Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "Neo23x0/signature-base", @@ -69,7 +69,7 @@ "description": "Repository of yara rules", "language": "YARA", "languageColor": "#220000", - "stars": "4,189", + "stars": "4,190", "forks": "1,007", "addStars": "12", "contributors": [ diff --git a/data/weekly/yara.xml b/data/weekly/yara.xml index 67155ea45225..8e1624e6c5b9 100644 --- a/data/weekly/yara.xml +++ b/data/weekly/yara.xml @@ -3,7 +3,7 @@ GitHub Yara Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Yara Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT Neo23x0/signature-base https://github.com/Neo23x0/signature-base @@ -72,7 +72,7 @@ https://github.com/Yara-Rules/rules YARA #220000 - 4,189 + 4,190 1,007 12 diff --git a/data/weekly/yasnippet.json b/data/weekly/yasnippet.json index 6a7596a9ce58..668964fdb89a 100644 --- a/data/weekly/yasnippet.json +++ b/data/weekly/yasnippet.json @@ -2,7 +2,7 @@ "title": "GitHub Yasnippet Languages Weekly Trending", "description": "Weekly Trending of Yasnippet Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "AndreaCrotti/yasnippet-snippets", diff --git a/data/weekly/yasnippet.xml b/data/weekly/yasnippet.xml index 709bd1588a1f..b28f811d4409 100644 --- a/data/weekly/yasnippet.xml +++ b/data/weekly/yasnippet.xml @@ -3,7 +3,7 @@ GitHub Yasnippet Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Yasnippet Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT AndreaCrotti/yasnippet-snippets https://github.com/AndreaCrotti/yasnippet-snippets diff --git a/data/weekly/yul.json b/data/weekly/yul.json index 343d59de14a9..493590af490f 100644 --- a/data/weekly/yul.json +++ b/data/weekly/yul.json @@ -2,6 +2,6 @@ "title": "GitHub Yul Languages Weekly Trending", "description": "Weekly Trending of Yul Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/yul.xml b/data/weekly/yul.xml index 49d45a37b3f8..7a8b3c65e32a 100644 --- a/data/weekly/yul.xml +++ b/data/weekly/yul.xml @@ -3,6 +3,6 @@ GitHub Yul Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Yul Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/zap.json b/data/weekly/zap.json index 103990a92821..a51f6b358723 100644 --- a/data/weekly/zap.json +++ b/data/weekly/zap.json @@ -2,7 +2,7 @@ "title": "GitHub Zap Languages Weekly Trending", "description": "Weekly Trending of Zap Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "project-chip/zap", diff --git a/data/weekly/zap.xml b/data/weekly/zap.xml index 2af2dc889e2c..b68cba7f3fe1 100644 --- a/data/weekly/zap.xml +++ b/data/weekly/zap.xml @@ -3,7 +3,7 @@ GitHub Zap Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Zap Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT project-chip/zap https://github.com/project-chip/zap diff --git a/data/weekly/zeek.json b/data/weekly/zeek.json index 17f0ad245cf9..be5e39be1c89 100644 --- a/data/weekly/zeek.json +++ b/data/weekly/zeek.json @@ -2,6 +2,6 @@ "title": "GitHub Zeek Languages Weekly Trending", "description": "Weekly Trending of Zeek Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/zeek.xml b/data/weekly/zeek.xml index 098eb3cbd345..31db1c0f2124 100644 --- a/data/weekly/zeek.xml +++ b/data/weekly/zeek.xml @@ -3,6 +3,6 @@ GitHub Zeek Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Zeek Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/zenscript.json b/data/weekly/zenscript.json index 2de2b3fa13ce..497bb5d0cb5b 100644 --- a/data/weekly/zenscript.json +++ b/data/weekly/zenscript.json @@ -2,7 +2,7 @@ "title": "GitHub Zenscript Languages Weekly Trending", "description": "Weekly Trending of Zenscript Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "pa1nki113r/Project_Brutality", diff --git a/data/weekly/zenscript.xml b/data/weekly/zenscript.xml index a439bade77d3..fadc0409ecaa 100644 --- a/data/weekly/zenscript.xml +++ b/data/weekly/zenscript.xml @@ -3,7 +3,7 @@ GitHub Zenscript Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Zenscript Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT pa1nki113r/Project_Brutality https://github.com/pa1nki113r/Project_Brutality diff --git a/data/weekly/zephir.json b/data/weekly/zephir.json index 409ad3d793ba..ef6daa882a09 100644 --- a/data/weekly/zephir.json +++ b/data/weekly/zephir.json @@ -2,6 +2,6 @@ "title": "GitHub Zephir Languages Weekly Trending", "description": "Weekly Trending of Zephir Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/zephir.xml b/data/weekly/zephir.xml index 7afc7dcff3d5..1856adddb0e0 100644 --- a/data/weekly/zephir.xml +++ b/data/weekly/zephir.xml @@ -3,6 +3,6 @@ GitHub Zephir Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Zephir Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/zig.json b/data/weekly/zig.json index 5125bf1840f1..acdd93307606 100644 --- a/data/weekly/zig.json +++ b/data/weekly/zig.json @@ -2,7 +2,7 @@ "title": "GitHub Zig Languages Weekly Trending", "description": "Weekly Trending of Zig Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [ { "title": "oven-sh/bun", @@ -10,8 +10,8 @@ "description": "Incredibly fast JavaScript runtime, bundler, test runner, and package manager – all in one", "language": "Zig", "languageColor": "#ec915c", - "stars": "74,386", - "forks": "2,782", + "stars": "74,395", + "forks": "2,781", "addStars": "136", "contributors": [ { @@ -47,8 +47,8 @@ "description": "General-purpose programming language and toolchain for maintaining robust, optimal, and reusable software.", "language": "Zig", "languageColor": "#ec915c", - "stars": "35,103", - "forks": "2,558", + "stars": "35,112", + "forks": "2,559", "addStars": "147", "contributors": [ { @@ -78,80 +78,6 @@ } ] }, - { - "title": "fairyglade/ly", - "url": "https://github.com/fairyglade/ly", - "description": "display manager with console UI", - "language": "Zig", - "languageColor": "#ec915c", - "stars": "5,499", - "forks": "307", - "addStars": "22", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/49339966?s=40&v=4", - "name": "AnErrupTion", - "url": "https://github.com/AnErrupTion" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5473047?s=40&v=4", - "name": "nullgemm", - "url": "https://github.com/nullgemm" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/718169?s=40&v=4", - "name": "stlaz", - "url": "https://github.com/stlaz" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/686169?s=40&v=4", - "name": "liweitianux", - "url": "https://github.com/liweitianux" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3621083?s=40&v=4", - "name": "roosemberth", - "url": "https://github.com/roosemberth" - } - ] - }, - { - "title": "ZigEmbeddedGroup/microzig", - "url": "https://github.com/ZigEmbeddedGroup/microzig", - "description": "Unified abstraction layer and HAL for several microcontrollers", - "language": "Zig", - "languageColor": "#ec915c", - "stars": "1,251", - "forks": "102", - "addStars": "15", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/7270159?s=40&v=4", - "name": "mattnite", - "url": "https://github.com/mattnite" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/3932972?s=40&v=4", - "name": "ikskuh", - "url": "https://github.com/ikskuh" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1974995?s=40&v=4", - "name": "vesim987", - "url": "https://github.com/vesim987" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/2528778?s=40&v=4", - "name": "rbino", - "url": "https://github.com/rbino" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/34610?s=40&v=4", - "name": "marnix", - "url": "https://github.com/marnix" - } - ] - }, { "title": "Not-Nik/raylib-zig", "url": "https://github.com/Not-Nik/raylib-zig", @@ -226,13 +152,87 @@ } ] }, + { + "title": "ZigEmbeddedGroup/microzig", + "url": "https://github.com/ZigEmbeddedGroup/microzig", + "description": "Unified abstraction layer and HAL for several microcontrollers", + "language": "Zig", + "languageColor": "#ec915c", + "stars": "1,251", + "forks": "102", + "addStars": "15", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/7270159?s=40&v=4", + "name": "mattnite", + "url": "https://github.com/mattnite" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3932972?s=40&v=4", + "name": "ikskuh", + "url": "https://github.com/ikskuh" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/1974995?s=40&v=4", + "name": "vesim987", + "url": "https://github.com/vesim987" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/2528778?s=40&v=4", + "name": "rbino", + "url": "https://github.com/rbino" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/34610?s=40&v=4", + "name": "marnix", + "url": "https://github.com/marnix" + } + ] + }, + { + "title": "fairyglade/ly", + "url": "https://github.com/fairyglade/ly", + "description": "display manager with console UI", + "language": "Zig", + "languageColor": "#ec915c", + "stars": "5,498", + "forks": "307", + "addStars": "22", + "contributors": [ + { + "avatar": "https://avatars.githubusercontent.com/u/49339966?s=40&v=4", + "name": "AnErrupTion", + "url": "https://github.com/AnErrupTion" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/5473047?s=40&v=4", + "name": "nullgemm", + "url": "https://github.com/nullgemm" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/718169?s=40&v=4", + "name": "stlaz", + "url": "https://github.com/stlaz" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/686169?s=40&v=4", + "name": "liweitianux", + "url": "https://github.com/liweitianux" + }, + { + "avatar": "https://avatars.githubusercontent.com/u/3621083?s=40&v=4", + "name": "roosemberth", + "url": "https://github.com/roosemberth" + } + ] + }, { "title": "zigtools/zls", "url": "https://github.com/zigtools/zls", "description": "A Zig language server supporting Zig developers with features like autocomplete and goto definition", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,026", + "stars": "3,027", "forks": "298", "addStars": "24", "contributors": [ @@ -269,7 +269,7 @@ "description": "[mirror] A dynamic tiling Wayland compositor", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,320", + "stars": "3,321", "forks": "152", "addStars": "17", "contributors": [ @@ -343,7 +343,7 @@ "description": "💻Build one codebase and get native UI on Windows, Linux and Web", "language": "Zig", "languageColor": "#ec915c", - "stars": "1,729", + "stars": "1,731", "forks": "64", "addStars": "13", "contributors": [ @@ -380,7 +380,7 @@ "description": "zig game engine & graphics toolkit", "language": "Zig", "languageColor": "#ec915c", - "stars": "3,388", + "stars": "3,391", "forks": "161", "addStars": "14", "contributors": [ @@ -410,80 +410,6 @@ "url": "https://github.com/wrench-bot" } ] - }, - { - "title": "tigerbeetle/tigerbeetle", - "url": "https://github.com/tigerbeetle/tigerbeetle", - "description": "The financial transactions database designed for mission critical safety and performance.", - "language": "Zig", - "languageColor": "#ec915c", - "stars": "10,643", - "forks": "520", - "addStars": "58", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/461112?s=40&v=4", - "name": "sentientwaffle", - "url": "https://github.com/sentientwaffle" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1711539?s=40&v=4", - "name": "matklad", - "url": "https://github.com/matklad" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/413206?s=40&v=4", - "name": "jorangreef", - "url": "https://github.com/jorangreef" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5728002?s=40&v=4", - "name": "batiati", - "url": "https://github.com/batiati" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/703017?s=40&v=4", - "name": "cb22", - "url": "https://github.com/cb22" - } - ] - }, - { - "title": "kristoff-it/zine", - "url": "https://github.com/kristoff-it/zine", - "description": "Fast, Scalable, Flexible Static Site Generator (SSG)", - "language": "Zig", - "languageColor": "#ec915c", - "stars": "656", - "forks": "40", - "addStars": "10", - "contributors": [ - { - "avatar": "https://avatars.githubusercontent.com/u/1950733?s=40&v=4", - "name": "kristoff-it", - "url": "https://github.com/kristoff-it" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/1760515?s=40&v=4", - "name": "MFAshby", - "url": "https://github.com/MFAshby" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5487021?s=40&v=4", - "name": "veggiemonk", - "url": "https://github.com/veggiemonk" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/61841960?s=40&v=4", - "name": "Arnau478", - "url": "https://github.com/Arnau478" - }, - { - "avatar": "https://avatars.githubusercontent.com/u/5203161?s=40&v=4", - "name": "klumme", - "url": "https://github.com/klumme" - } - ] } ] } \ No newline at end of file diff --git a/data/weekly/zig.xml b/data/weekly/zig.xml index ceef0522e1c7..7df09b06a475 100644 --- a/data/weekly/zig.xml +++ b/data/weekly/zig.xml @@ -3,7 +3,7 @@ GitHub Zig Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Zig Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT oven-sh/bun https://github.com/oven-sh/bun @@ -11,8 +11,8 @@ https://github.com/oven-sh/bun Zig #ec915c - 74,386 - 2,782 + 74,395 + 2,781 136 @@ -49,8 +49,8 @@ https://github.com/ziglang/zig Zig #ec915c - 35,103 - 2,558 + 35,112 + 2,559 147 @@ -80,82 +80,6 @@ - - fairyglade/ly - https://github.com/fairyglade/ly - display manager with console UI - https://github.com/fairyglade/ly - Zig - #ec915c - 5,499 - 307 - 22 - - - https://avatars.githubusercontent.com/u/49339966?s=40&v=4 - AnErrupTion - https://github.com/AnErrupTion - - - https://avatars.githubusercontent.com/u/5473047?s=40&v=4 - nullgemm - https://github.com/nullgemm - - - https://avatars.githubusercontent.com/u/718169?s=40&v=4 - stlaz - https://github.com/stlaz - - - https://avatars.githubusercontent.com/u/686169?s=40&v=4 - liweitianux - https://github.com/liweitianux - - - https://avatars.githubusercontent.com/u/3621083?s=40&v=4 - roosemberth - https://github.com/roosemberth - - - - - ZigEmbeddedGroup/microzig - https://github.com/ZigEmbeddedGroup/microzig - Unified abstraction layer and HAL for several microcontrollers - https://github.com/ZigEmbeddedGroup/microzig - Zig - #ec915c - 1,251 - 102 - 15 - - - https://avatars.githubusercontent.com/u/7270159?s=40&v=4 - mattnite - https://github.com/mattnite - - - https://avatars.githubusercontent.com/u/3932972?s=40&v=4 - ikskuh - https://github.com/ikskuh - - - https://avatars.githubusercontent.com/u/1974995?s=40&v=4 - vesim987 - https://github.com/vesim987 - - - https://avatars.githubusercontent.com/u/2528778?s=40&v=4 - rbino - https://github.com/rbino - - - https://avatars.githubusercontent.com/u/34610?s=40&v=4 - marnix - https://github.com/marnix - - - Not-Nik/raylib-zig https://github.com/Not-Nik/raylib-zig @@ -232,6 +156,82 @@ + + ZigEmbeddedGroup/microzig + https://github.com/ZigEmbeddedGroup/microzig + Unified abstraction layer and HAL for several microcontrollers + https://github.com/ZigEmbeddedGroup/microzig + Zig + #ec915c + 1,251 + 102 + 15 + + + https://avatars.githubusercontent.com/u/7270159?s=40&v=4 + mattnite + https://github.com/mattnite + + + https://avatars.githubusercontent.com/u/3932972?s=40&v=4 + ikskuh + https://github.com/ikskuh + + + https://avatars.githubusercontent.com/u/1974995?s=40&v=4 + vesim987 + https://github.com/vesim987 + + + https://avatars.githubusercontent.com/u/2528778?s=40&v=4 + rbino + https://github.com/rbino + + + https://avatars.githubusercontent.com/u/34610?s=40&v=4 + marnix + https://github.com/marnix + + + + + fairyglade/ly + https://github.com/fairyglade/ly + display manager with console UI + https://github.com/fairyglade/ly + Zig + #ec915c + 5,498 + 307 + 22 + + + https://avatars.githubusercontent.com/u/49339966?s=40&v=4 + AnErrupTion + https://github.com/AnErrupTion + + + https://avatars.githubusercontent.com/u/5473047?s=40&v=4 + nullgemm + https://github.com/nullgemm + + + https://avatars.githubusercontent.com/u/718169?s=40&v=4 + stlaz + https://github.com/stlaz + + + https://avatars.githubusercontent.com/u/686169?s=40&v=4 + liweitianux + https://github.com/liweitianux + + + https://avatars.githubusercontent.com/u/3621083?s=40&v=4 + roosemberth + https://github.com/roosemberth + + + zigtools/zls https://github.com/zigtools/zls @@ -239,7 +239,7 @@ https://github.com/zigtools/zls Zig #ec915c - 3,026 + 3,027 298 24 @@ -277,7 +277,7 @@ https://github.com/riverwm/river Zig #ec915c - 3,320 + 3,321 152 17 @@ -353,7 +353,7 @@ https://github.com/capy-ui/capy Zig #ec915c - 1,729 + 1,731 64 13 @@ -391,7 +391,7 @@ https://github.com/hexops/mach Zig #ec915c - 3,388 + 3,391 161 14 @@ -422,81 +422,5 @@ - - tigerbeetle/tigerbeetle - https://github.com/tigerbeetle/tigerbeetle - The financial transactions database designed for mission critical safety and performance. - https://github.com/tigerbeetle/tigerbeetle - Zig - #ec915c - 10,643 - 520 - 58 - - - https://avatars.githubusercontent.com/u/461112?s=40&v=4 - sentientwaffle - https://github.com/sentientwaffle - - - https://avatars.githubusercontent.com/u/1711539?s=40&v=4 - matklad - https://github.com/matklad - - - https://avatars.githubusercontent.com/u/413206?s=40&v=4 - jorangreef - https://github.com/jorangreef - - - https://avatars.githubusercontent.com/u/5728002?s=40&v=4 - batiati - https://github.com/batiati - - - https://avatars.githubusercontent.com/u/703017?s=40&v=4 - cb22 - https://github.com/cb22 - - - - - kristoff-it/zine - https://github.com/kristoff-it/zine - Fast, Scalable, Flexible Static Site Generator (SSG) - https://github.com/kristoff-it/zine - Zig - #ec915c - 656 - 40 - 10 - - - https://avatars.githubusercontent.com/u/1950733?s=40&v=4 - kristoff-it - https://github.com/kristoff-it - - - https://avatars.githubusercontent.com/u/1760515?s=40&v=4 - MFAshby - https://github.com/MFAshby - - - https://avatars.githubusercontent.com/u/5487021?s=40&v=4 - veggiemonk - https://github.com/veggiemonk - - - https://avatars.githubusercontent.com/u/61841960?s=40&v=4 - Arnau478 - https://github.com/Arnau478 - - - https://avatars.githubusercontent.com/u/5203161?s=40&v=4 - klumme - https://github.com/klumme - - - \ No newline at end of file diff --git a/data/weekly/zil.json b/data/weekly/zil.json index 6f5f9ac1e54d..4b52d63e6efb 100644 --- a/data/weekly/zil.json +++ b/data/weekly/zil.json @@ -2,6 +2,6 @@ "title": "GitHub Zil Languages Weekly Trending", "description": "Weekly Trending of Zil Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/zil.xml b/data/weekly/zil.xml index 3dc0a7934423..f8e49a0d29cb 100644 --- a/data/weekly/zil.xml +++ b/data/weekly/zil.xml @@ -3,6 +3,6 @@ GitHub Zil Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Zil Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file diff --git a/data/weekly/zimpl.json b/data/weekly/zimpl.json index 072cf05ffd92..8bca1559af3d 100644 --- a/data/weekly/zimpl.json +++ b/data/weekly/zimpl.json @@ -2,6 +2,6 @@ "title": "GitHub Zimpl Languages Weekly Trending", "description": "Weekly Trending of Zimpl Languages in GitHub", "link": "https://github.com/isboyjc/github-trending-api", - "pubDate": "Mon, 25 Nov 2024 07:15:39 GMT", + "pubDate": "Mon, 25 Nov 2024 13:30:36 GMT", "items": [] } \ No newline at end of file diff --git a/data/weekly/zimpl.xml b/data/weekly/zimpl.xml index b32ed1516085..b6d0ee587c67 100644 --- a/data/weekly/zimpl.xml +++ b/data/weekly/zimpl.xml @@ -3,6 +3,6 @@ GitHub Zimpl Languages Weekly Trending https://github.com/isboyjc/github-trending-api Weekly Trending of Zimpl Languages in GitHub - Mon, 25 Nov 2024 07:15:39 GMT + Mon, 25 Nov 2024 13:30:36 GMT \ No newline at end of file